CN109071572A - 氨基氯氢二硅烷 - Google Patents

氨基氯氢二硅烷 Download PDF

Info

Publication number
CN109071572A
CN109071572A CN201780028078.6A CN201780028078A CN109071572A CN 109071572 A CN109071572 A CN 109071572A CN 201780028078 A CN201780028078 A CN 201780028078A CN 109071572 A CN109071572 A CN 109071572A
Authority
CN
China
Prior art keywords
silicon
film
compound
precursor
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780028078.6A
Other languages
English (en)
Inventor
N·章
B·K·黄
B·D·雷肯
X·周
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanda optoelectronic semiconductor materials Co.,Ltd.
Original Assignee
Dow Silicones Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Silicones Corp filed Critical Dow Silicones Corp
Publication of CN109071572A publication Critical patent/CN109071572A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明公开了一种用于沉积的硅前体化合物,该硅前体化合物包含作为二硅烷并且包含至少一个氯基团、至少一个二烷基氨基和至少一个氢基团的化合物;一种用于成膜的组合物,该组合物包含硅前体化合物和下列中的至少一者:惰性气体、分子氢、碳前体、氮前体、和氧前体;一种合成硅前体化合物的方法;一种使用该硅前体化合物在基底上形成含硅膜的方法;由此形成的含硅膜;以及形成该硅前体化合物的方法。

Description

氨基氯氢二硅烷
本发明整体涉及用于成膜的前体化合物和组合物、合成前体化合物的方法、通过沉积装置用前体化合物或组合物形成膜的方法、以及通过该方法形成的膜。
单质硅和其他硅材料诸如氧化硅、碳化硅、氮化硅、碳氮化硅和碳氮氧化硅具有各种已知的用途。例如,在用于电子或光伏器件的电子电路的制造中,硅膜可用作半导体、绝缘层或牺牲层。
已知的制备硅材料的方法可使用一种或多种硅前体。这些硅前体的用途不限于制备用于电子或光伏半导体应用的硅。例如,硅前体可用于制备基于硅的润滑剂、弹性体和树脂。
我们看到电子和光伏产业中对于改善的硅前体的持久需求。我们认为改善的前体将能够使沉积温度降低和/或制造出更精细的半导体特征结构,从而得到性能更好的电子和光伏器件。
发明内容
我们发现了一种改善的硅前体。本发明提供了以下各个实施方案:
一种用于沉积的前体化合物,该前体化合物包含如下化合物:该化合物为二硅烷并且其包含至少一个氯基团、至少一个二烷基氨基和至少一个氢基团(下文称为“硅前体化合物”)。
一种用于成膜的组合物,该组合物包含硅前体化合物和下列中的至少一者:惰性气体、分子氢、碳前体、氮前体、和氧前体。
一种合成硅前体化合物的方法,该方法包括使具有至少两个氯基团和至少一个二烷基氨基的二硅烷与铝氢化物接触。
一种在基底上形成含硅膜的方法,该方法包括在基底的存在下使包含硅前体化合物的硅前体的蒸气经受沉积条件以便在基底上形成含硅膜。
一种根据该方法形成的膜。
具体实施方式
以引用的方式将发明内容和说明书摘要并入本文。下文进一步描述上文概述的本发明的实施方案、用途和优点。
本文中使用各种常见的规则来描述本发明的多个方面。例如,所有的物质状态都是在25℃和101.3kPa下测定的,除非另外指明。所有%均按重量计,除非另外指明或指示。除非另外指明,否则所有%值均基于用于合成或制备组合物的所有成分的总量,所述总量合计为100%。在其中包括属和亚属的任何马库什群组包括属中的亚属,例如,在“R为烃基或烯基”中,R可以为烯基,或者R可以为烃基,其除了别的亚属外包括烯基。对于美国的实务,本文引用的所有美国专利申请公布和专利或者其一部分(如果仅引用该部分的话)据此在并入的主题不与本说明书相冲突的程度上以引用的方式并入本文,在任何这种冲突的情况下,应以本说明书为准。
本文中使用各种专利术语来描述本发明的多个方面。例如,“另选地”表示不同的和有区别的实施方案。“比较例”意指非发明实验。“包含”及其变型(包括、含有)是开放式的。“由…组成”及其变型是封闭式的。“接触”意指形成物理接触。“可”提供一个选择,而不是必要的。“任选地”意指不存在或者存在。
本文中使用各种化学术语来描述本发明的多个方面。所述术语的含义对应于它们由IUPAC公布的定义,除非本文中另有定义。为了方便起见,对某些化学术语进行了定义。
术语“沉积”为在特定位置上产生浓缩物质的工艺。该浓缩物质在维度上可以或可不受到限制。沉积的示例为形成膜、形成棒以及形成颗粒的沉积。
术语“膜”意指在一个维度受到限制的材料。受限的维度可以被表征为“厚度”,并且被表征为在所有其他条件相同的情况下随着沉积所述材料以形成膜的过程的时间长度增加而增加的尺度。
术语“卤素”意指氟、氯、溴或碘,除非另有定义。
术语“IUPAC”是指国际纯粹与应用化学联合会(International Union of Pureand Applied Chemistry)。
术语“不含”意指没有或完全不存在。
“元素周期表”意指IUPAC在2011年公布的版本。
术语“前体”意指含有所示元素的原子并且可用作在通过沉积方法形成的膜中的元素源的物质或分子。
术语“分离”意指造成在物理上分开,从而不再直接接触。
术语“基底”意指其至少一个表面上可承载另一种材料的物理支撑体。
本发明提供了一种用于成膜的硅前体化合物和组合物。硅前体化合物特别适合沉积工艺以用于形成含硅膜,虽然硅前体化合物不限于此类应用。例如,硅前体化合物可用于其它应用中,例如用作制备硅氧烷或硅氮烷材料的反应物。本发明还提供了形成膜的方法和根据该方法形成的膜。
该硅前体化合物为二硅烷且其包含至少一个氯基团、至少一个二烷基氨基和至少一个氢基团。当在本发明的组合物和方法中使用硅前体化合物时,硅前体化合物可具有99面积%(GC)至99.9999999面积%(GC)的纯度。然而,据设想,如果在非电子应用中使用,硅前体可具有95%至98%的纯度。
在一个实施方案中,硅前体化合物具有式(I):(R1R2N)aClbHcSiSiHdCle(R1R2N)f,其中每个R1独立地为H、(C1-C6)烷基、(C3-C6)环烷基、(C2-C6)烯基、(C2-C6)炔基、或苯基;并且每个R2独立地为(C1-C6)烷基、(C3-C6)环烷基、(C2-C6)烯基、(C2-C6)炔基或苯基;或在同一氮原子或不同氮原子上的R1和R2键合在一起以成为-R1a-R2a,其中-R1a-R2a-为(C2-C5)亚烷基;并且其中a、b、c、d、e和f为独立地在零至三的范围内的整数;前提条件是a和f中的至少一个不为零,b和e中的至少一个不为零,并且c和d中的至少一个不为零。
在硅前体化合物的一些方面中,在式(I)中,每个R1和R2独立地为(C1-C6)烷基;或者,R1为(C1-C6)烷基并且R2为(C3-C5)烷基;作为另外一种选择,R1为甲基或乙基并且R2为异丙基、仲丁基、异丁基或叔丁基;或者,每个R1和R2独立地为异丙基、仲丁基、异丁基或叔丁基;或者,R1为甲基并且R2为叔丁基;或者,每个R1和R2独立地为(C3-C4)烷基;或者,每个R1和R2为异丙基;或者,每个R1和R2为仲丁基;或者,R1为(C3-C6)环烷基;或者,R1为(C2-C6)烯基或(C2-C6)炔基;或者,R1为H;或者,R1为苯基;或者,并且R1如紧接前述四个方面中任一个所定义,并且R2为(C1-C6)烷基或R2与R1相同;或者,R1和R2键合在一起以成为-R1a-R2a,其中-R1a-R2a-为(C3-C5)亚烷基;或者,在同一个氮上的R1和R2键合在一起以成为-R1a-R2a,其中-R1a-R2a-为(C4或C5)亚烷基。
在硅前体化合物的一些方面中,在式(I)中,a和f中仅一个为一,并且其它为零。
在硅前体化合物的一些方面中,在式(I)中,b和e独立地为零、1、或2,或者0,或者1,或者2,或者3,或者0或1,或者1或2。
在硅前体化合物的一些方面中,在式(I)中,b+e为1至4,或者1,或者2,或者3,或者4,或者2至4,或者3或4,或者1至3,或者1或2,或者2或3,或者3或4。
在一些方面中,硅前体化合物的为[(CH3)2CH]2NSiCl2SiH3、[(CH3)2CH]2NSiH2SiH2Cl、[(CH3CH2)2N]2SiClSiH3、[(CH3CH2)(CH3)N]2SiClSiH3、HSiClN[CH(CH3)2]2SiCl3、HSiCl2SiCl2N[CH(CH3)2]2、或HSiClN[CH(CH3)2]2SiCl2N[CH(CH3)2]2,或者[(CH3)2CH]2NSiCl2SiH3、[(CH3)2CH]2NSiH2SiH2Cl、[(CH3CH2)2N]2SiClSiH3或[(CH3CH2)(CH3)N]2SiClSiH3
硅前体化合物可以任何方式提供。例如,该硅前体化合物可借由合成或以其他方式获得用于该方法中。在一个实施方案中,通过以下方法合成硅前体化合物。在第一步骤中,2HSiCl3+热->HSiCl2SiCl3+HCl,其可经由诸如蒸发或汽提从中分离。在(正式)第二步骤中,2n HNR1R2+HSiCl2SiCl3->HSi2(NR1R2)nCl5-n,其中n为1至4,并且R1和R2如上文所定义。当NR1R2基团的来源为HNR1R2的时,形成反应副产物H2NR1R2Cl。当NR1R2基团的来源为MANR1R2时,形成反应副产物MA(C1)m。可诸如通过过滤或滗析从中分离H2NR1R2Cl和MA(Cl)m盐。该方法的第二步骤可包括在烃类媒介物中使五氯二硅烷(HSiCl2SiCl3)与NR1R2基团的来源接触,以得到硅前体化合物;其中NR1R2基团的来源是金属R1R2酰胺,[(R1R2N]mMA,其中下标m为1或2,其中当m为1时,MA为元素周期表第I族的元素,并且当m为2时,MA为元素周期表第II族的元素,或者NR1R2基团的来源是HNR1R2
合成该硅前体化合物的方法的第二步骤可在烃媒介物或醚媒介物中进行。醚媒介物可包含二甲硅烷基醚、二烃基醚或亚烷基二醇二烷基醚、或它们中任意两种或更多种的混合物。二烃基醚可为直链醚、环醚、或二芳基醚、或它们中任意两种或更多种的混合物。醚媒介物的示例是二乙基醚、二甲基醚、四氢呋喃、1,2-二甲氧基乙烷、四甘醇二甲醚。亚烷基二醇二烷基醚可为四亚甲基二醇二(C1-C4)烷基醚、丙二醇二(C2-C4)烷基醚、乙二醇二(C3或C4)烷基醚或它们中任意两种或更多种的混合物。烃媒介物可包含具有至少5个碳原子的烷烃,具有至少5个碳原子的环烷烃,具有至少6个碳原子的芳烃,或它们的任意两种或更多种的混合物。烃媒介物可包括戊烷、己烷、环己烷、庚烷、苯、甲苯、二甲苯、或它们中任意两种或更多种的混合物。
烃媒介物的组合物可被设想成优化接触步骤(例如,选择具有用于实现所需反应温度的沸点的烃媒介物或缺乏溶解反应副产物的能力的烃媒介物)。除此之外或另选地,烃媒介物的组合物可被设想成优化任选的分离步骤(例如,选择具有允许其蒸发而不蒸发硅前体化合物的所需沸点的烃媒介物)。烃媒介物可由碳和氢原子组成,或者可为由碳、氢和卤素原子组成的卤代烃媒介物。由C和H原子组成的烃媒介物可为烷烃、芳香烃及其中任意两种或更多种的混合物。烷烃可为己烷、环己烷、庚烷、异链烷烃或它们中任意两种或更多种的混合物。芳香烃可为甲苯、二甲苯或它们中任意两种或更多种的混合物。卤代烃媒介物可为二氯甲烷。具有烃媒介物的不同组成的方法可在至少一种结果、特性、功能和/或用途方面彼此不同。烃媒介物的不同组成可对于硅前体化合物、NR1R2基团的来源、反应副产物或它们中任意两种或更多种的组合提供不同溶解度。
本发明还涉及用于制备化合物的方法,该化合物为二硅烷并且其包含至少一个氯基团、至少一个二烷基氨基和至少一个氢基团。该方法包括使具有至少两个氯基团和至少一个二烷基氨基的二硅烷与铝氢化物接触。优选地,二硅烷具有仅氯基团和二烷基氨基。铝氢化物是具有至少一个与铝原子键合的氢基团的化合物。铝氢化物的示例包括例如二异丁基铝氢化物、二乙基铝氢化物、三叔丁氧基锂铝氢化物、三[(3-乙基-3-戊基)氧基]锂铝氢化物、双(2-甲氧基乙氧基)钠铝氢化物、锂铝氢化物、钠铝氢化物和铝氢化物。优选的铝氢化物为二异丁基铝氢化物和二乙基铝氢化物,优选二异丁基铝氢化物。优选地,二硅烷与铝氢化物的摩尔比为0.1∶1至0∶1,或者0.2∶1至3.5∶1,或者0.3∶1至3∶1,或者1∶1至4∶1,或者1∶1至3.5∶1,或者2∶1至3∶1。优选地,反应温度为-30℃至40℃、或者-30℃至20℃,或者-25℃至15℃。优选地,反应在没有溶剂的情况下进行。
如上所述,用于成膜的组合物包含硅前体化合物和以下中至少一者:惰性气体、分子氢、碳前体、氮前体和氧前体。分子氢可与用于形成元素硅膜的组合物中的硅前体化合物一起使用。分子氢、碳前体、氮前体或氧前体的蒸气态或气态在本文中通常可被称为附加的反应物气体。
根据该方法的一个实施方案,碳前体可与用于形成硅碳膜的组合物中的硅前体化合物一起使用。硅碳膜含有Si和C原子,并且可包含碳化硅。碳前体可包含,或者基本上由,或者由C、H和任选的Si原子组成。当碳前体用于分别形成碳氮化硅膜或碳氧化硅膜的方法中时,包含C、H和任选的Si原子的碳前体还可包含N或O原子,或者当碳前体用于形成碳氮氧化硅膜的方法中时,还可包含N和O原子。基本上由C、H和任选地Si原子组成的碳前体缺乏N和O原子,但可任选地具有一个或多个卤素原子(例如,Cl)。由C和H原子组成的碳前体的示例为烃诸如烷烃。由C、H和Si原子组成的碳前体的示例为烃基硅烷诸如丁基二硅烷或四甲基硅烷。
根据该方法的一个实施方案,氮前体可与用于形成硅氮膜的组合物中的硅前体化合物一起使用。氮前体与硅前体化合物不同。硅氮膜包含Si和N原子和任选的C和/或O原子,并且可包含氮化硅、氮氧化硅或碳氮氧化硅。氮化硅可为SixNy,其中下标x为1、2或3,或者1至4的整数,并且下标y为1至5的整数。氮前体可以包括N原子和任选地H原子,或者该氮前体可基本上由N原子和任选地H原子组成,或者该氮前体可由N和任选地H原子组成。当氮前体用于分别形成碳氮化硅膜或氮氧化硅膜的方法中时,包含N和任选地H原子的氮前体还可包含C或O原子,或者当氮前体用于形成碳氮氧化硅膜的方法中时,还可包含C和O原子。基本上由N原子和任选地H原子组成的氮前体缺乏C和O原子,但可任选地具有一个或多个卤素原子(例如,Cl)。由N原子组成的氮前体的示例为分子氮。由N和H原子组成的氮前体的示例为氨和肼。由O和N原子组成的氮前体的示例是氧化氮(N2O)和二氧化氮(NO2)。
根据该方法的一个实施方案,氧前体可与用于形成硅氧膜的组合物中的硅前体化合物一起使用。硅氧膜包含Si和O原子和任选地C和/或N原子,并且可包含氧化硅、碳氧化硅、氮氧化硅或碳氮氧化硅。氧化硅可为SiO或SiO2。氧前体可包含O原子和任选地H原子,或者可基本上由O原子和任选地H原子组成,或者可由O原子和任选地H原子组成。当氧前体用于分别形成碳氧化硅膜或氮氧化硅膜的方法中时,包含O原子和任选地H原子的氧前体还可包含C或N原子,或者当氧前体用于形成碳氮氧化硅膜的方法中时,还可包含C和N原子。由O原子组成的氧前体的示例为分子氧和臭氧。由O和H原子组成的氧前体的示例为水和过氧化氢。由O和N原子组成的氧前体的示例为氧化氮、一氧化二氮和二氧化氮。
惰性气体可与前述前体中的任何一种或者组合物或方法的任何实施方案组合使用。惰性气体的示例为氦气、氩气以及它们的混合物。例如,在其中形成的含硅膜为元素硅膜的方法的一个实施方案中,氦气可与硅前体化合物和分子氢组合使用。另选地,在其中形成的含硅膜分别为硅碳膜、硅氮膜、或硅氧膜的方法的一个实施方案中,氦气可与硅前体化合物以及碳前体、氮前体和氧前体中的任一种一起使用。
通过该方法形成的膜是包含Si并且在一个维度上受限制的材料,其可被称为材料的厚度。含硅膜可以是元素硅膜、硅碳膜、硅氮膜或硅氧膜。(例如,氧化硅、氮化硅、碳氮硅、氮氧化硅、或碳氮氧化硅膜。)通过该方法形成的元素硅膜缺乏C、N和O原子,并且可为无定形或结晶的Si材料。由该方法形成的硅碳膜包含Si和C原子以及任选的N和/或O原子。由该方法形成的硅氮膜包含Si和N原子以及任选的C和/或O原子。由该方法形成的硅氧膜包含Si和O原子以及任选的C和/或N原子。
该膜可用于电子器件和光伏应用中。例如,氮化硅膜可形成为绝缘层、钝化层、或电容器中多晶硅层之间的电介质层。
形成膜的方法使用沉积装置。用于该方法中的沉积装置一般基于形成膜所期望的方法来选择,并且可以为本领域技术人员已知的任何沉积装置。
在某些实施方案中,沉积装置包括物理气相沉积装置。在这些实施方案中,沉积装置通常选自溅射装置和直流(DC)磁控溅射装置。这些物理沉积气相装置中的每一个的最佳操作参数基于用于该方法中的硅前体化合物以及其中利用经由沉积装置形成膜的期望应用。在某些实施方案中,所述沉积装置包括溅射装置。溅射装置可以是,例如,离子束溅射装置、反应性溅射装置、或离子辅助溅射装置。
更典型地,然而沉积装置包括原子层沉积装置或化学气相沉积装置。在使用原子层沉积装置的实施方案中,形成膜的方法可称为原子层沉积方法。同样地,在使用化学气相沉积装置的实施方案中,形成膜的方法可称为化学气相沉积方法。原子层沉积和化学气相沉积装置和方法在本领域中是众所周知的。以下通过引用原子层沉积装置的使用来举例说明本方法,尽管本方法可容易地适用于化学气相沉积装置。
在使用原子层沉积装置的方法的实施方案中,原子层沉积装置可选自例如热原子层沉积装置、等离子体增强原子层沉积装置和空间原子层沉积装置。这些原子层沉积装置中的每一个的最佳操作参数基于用于该方法中的硅前体化合物以及其中利用经由该沉积装置形成的膜的期望应用。本领域的技术人员将知道如何优化所采用的特定装置的操作参数。
在原子层沉积中,通常引入用于形成膜的气体并在沉积室中以一系列循环进行反应,其中循环包括用硅前体化合物填充反应室(第一半反应),用惰性物质吹扫反应器,用另一种反应气体填充反应室(第二半反应),然后用惰性气体吹扫反应器。两个半反应(第一半反应和第二半反应)的一系列循环在基底表面上形成适当的膜元素或分子。原子层沉积通常需要向体系添加能量,诸如加热沉积室和基底。
在使用化学气相沉积装置的方法的实施方案中,化学气相沉积装置可选自例如可流动化学气相沉积装置、热化学气相沉积装置、等离子体增强化学气相沉积装置、光化学气相沉积装置、电子回旋共振装置、电感耦合等离子体装置、磁约束等离子体装置、低压化学气相沉积装置和喷射气相沉积装置。这些化学沉积气相装置中的每一个的最佳操作参数基于用于该方法中的硅前体化合物以及其中利用经由沉积装置形成的膜的期望应用。在某些实施方案中,沉积装置包括等离子体增强化学气相沉积装置。在其它实施方案中,沉积装置包括低压化学气相沉积装置。
在化学气相沉积中,用于形成膜的气体通常在沉积室中混合和反应。反应形成呈蒸气态的适当的膜元素或分子。元素或分子然后沉积在基底(或晶片)上并聚集以形成膜。化学气相沉积通常需要向体系添加能量,诸如加热沉积室和基底。
气体物质的反应通常是本领域中公知的,并且任何常规的化学气相沉积(CVD)技术均可经由本方法进行。例如,可使用以下方法,诸如:简单的热气相沉积、等离子体增强化学气相沉积(PECVD)、电子回旋共振(ECRCVD)、常压化学气相沉积(APCVD)、低压化学气相沉积(LPCVD)、超高真空化学气相沉积(UHVCVD)、气溶胶辅助化学气相沉积(AACVD)、直接液体注射化学气相沉积(DLICVD)、微波等离子体辅助化学气相沉积(MPCVD)、远程等离子体增强化学气相沉积(RPECVD)、原子层化学气相沉积(ALCVD或ALD)、热丝化学气相沉积(HWCVD)、混合物理化学气相沉积(HPCVD)、快速热化学气相沉积(RTCVD)和气相外延化学气相沉积(VPECVD)、光辅助化学气相沉积(PACVD)、火焰辅助化学气相沉积(FACVD)或任何类似的技术。
取决于膜所期望的最终用途,化学气相沉积或原子层沉积可用于形成具有各种厚度的膜。例如,膜可具有数纳米的厚度或数微米的厚度,或更大或更小的厚度(或落入这些值之间的厚度)。这些膜可任选地被涂层覆盖,诸如SiO2涂层、SiO2/改性陶瓷氧化物层、含硅涂层、含硅碳涂层、含碳化硅涂层、含硅氮涂层、含氮化硅涂层、含硅氮碳涂层、含硅氧氮涂层和/或金刚石样碳涂层。此类涂层及其沉积方法通常是本领域已知的。
用于所述方法中的基底不受限制。在某些实施方案中,基底仅受在沉积室的温度和环境下对热稳定性和化学稳定性的需要的限制。因此,基底可例如为玻璃、金属、塑料、陶瓷、硅(例如,单晶硅、多晶硅、非晶硅等)。
本方法的实施方案可包括反应性环境,该反应性环境包含一氧化二氮(N2O)。此类反应性环境通常是本领域所熟知的。在这些实施方案中,该方法通常涉及在存在一氧化二氮的情况下分解硅前体化合物。此类方法的示例描述于美国专利US 5,310,583中。利用一氧化二氮可改变在化学气相沉积方法中形成的所得膜的组成。
化学气相沉积装置和原子层沉积装置、以及因此所用的化学气相沉积方法和原子层沉积方法一般通过平衡多个因素来选择,该因素包括但不限于硅前体化合物、期望的膜纯度、基底的几何构造、以及经济考虑。
在化学气相沉积和原子层沉积中操纵的主要操作变量包括但不限于温度、基底温度、压力、硅前体化合物的气相浓度、任何附加的反应物气体浓度(例如,任何碳前体、氮前体和/或氧前体的气体浓度)以及总气体流量。化学气相沉积或原子层沉积由化学反应产生,该化学反应包括但不限于高温分解法、氧化、还原、水解、以及它们的组合。选择化学气相沉积或原子层沉积的最佳温度需要了解硅前体化合物和所选化学反应的动力学和热力学。
常规的化学气相沉积方法通常需要显著高的温度,诸如大于600℃,例如600℃至1000℃。然而,据信在低得多的温度下,硅前体化合物可用于化学气相沉积或原子层沉积。例如,该方法可在25℃至700℃,或者100℃至700℃,或者200℃至700℃,或者200℃至600℃,或者200℃至500℃,或者200℃至400℃,或者100℃至300℃的温度下进行。进行所述方法的温度可以为等温的或动态的。
化学气相沉积或原子层沉积工艺通常涉及形成前体,将前体输送到反应室中,以及使前体吸附到加热的基底上或者前体进行化学反应并随后吸附到基底上。下文示出对化学气相沉积或原子层沉积方法的粗略调查以示出可用的大量选项中的一些。
在热CVD或ALD中,通过使气化形式的硅前体化合物流在加热的基底上方通过来沉积膜。当气化形式的硅前体化合物接触加热的基底时,硅前体化合物通常反应和/或分解以形成膜。
在PECVD中,气化形式的硅前体化合物通过使其通过等离子场以形成反应性物质来反应。然后,反应性物质以膜形式聚集并沉积在基底上。一般来讲,PECVD在热CVD上的优点是可使用较低的基底温度。用于PECVD的等离子体包含来源于各种源的能量,该源诸如放电、射频或微波范围内的电磁场、激光或粒子束。一般来讲,PECVD以中等功率密度(0.1瓦每平方厘米至5瓦每平方厘米(W/cm2))利用射频(10千赫兹(kHz)至102兆赫兹(MHz))或微波能量(0.1千兆赫兹至10千兆赫兹(GHz)),尽管可修改这些变量中的任何一个。然而,具体的频率、功率和压力通常适合于沉积装置。
在AACVD中,将硅前体化合物溶解在化学介质中以形成混合物。将包含硅前体化合物和化学介质的混合物包装在传统的气溶胶中。气溶胶雾化并将硅前体化合物引入加热室中,其中硅前体化合物经历分解和/或化学反应。AACVD的一个优点是不需要真空而形成膜的能力。
所选择的沉积工艺和操作参数将影响膜的结构和性质。通常,可控制膜结构的取向、膜聚结的方式、膜的均匀性和膜的结晶/非晶体结构。
应注意,有助于期望的沉积的环境也可用于沉积室中。例如,反应性环境诸如空气、氧、氧等离子体、氨、胺、肼等或惰性环境均可用于本文。
另外,本发明提供根据本方法形成的膜。膜的组成和结构不仅取决于沉积装置及其参数,还取决于所用的硅前体化合物以及该方法中是否存在任何反应性环境。硅前体化合物可与任何其它已知的前体化合物组合使用,或者可在不含任何其它前体化合物的方法中使用。
因为硅前体化合物含有至少一个Si-N键,因此硅前体化合物可用于形成氮化硅膜而不使用氮前体,尽管如果需要也可使用氮前体。也就是说,可能不需要添加氮前体(例如,第二蒸气)来形成氮化硅膜。可能能够优化沉积条件以控制本发明方法是形成元素Si膜还是SiN膜。如果需要,氮前体可用于第二气相中以富集SiN膜的氮含量。
另选地,硅前体化合物可与在传统上用于形成包含晶体硅或氮化硅的硅膜的其它基于硅的前体化合物一起使用。在此类实施方案中,膜可为例如结晶膜或外延膜。取决于方法中反应性环境的存在,除硅和氮之外,所述膜还可包含氧和/或碳。
硅前体化合物的纯度可通过29Si-NMR、反相液相色谱法或更可能的是通过后文所述的气相色谱法(GC)来测定。例如,由GC测定的纯度可为60面积%至≤100面积%(GC)、或者70面积%至≤100面积%(GC)、或者80面积%至≤100面积%(GC)、或者90面积%至≤100面积%(GC)、或者93面积%至≤100面积%(GC)、或者95面积%至≤100面积%(GC)、或者97面积%至≤100面积%(GC)、或者99.0面积%至≤100面积%(GC)。每个≤100面积%(GC)可以独立地如先前所定义。
通过以下的非限制性实施例来进一步说明本发明,并且本发明的实施方案可以包括以下非限制性实施例的特征和限制的任意组合。环境温度为约23℃,除非另外指明。
气相色谱法-火焰离子化检测器(GC-FID)条件:长度30米、内径0.32mm的毛细管柱,并且在毛细管柱的内表面上含有涂层形式的0.25μm厚固定相,其中该固定相由苯基甲基硅氧烷组成。载气为以每分钟105mL的流速使用的氦气。GC仪是Agilent型号7890A气相色谱仪。入口温度为200℃。GC实验温度分布由下列温度组成:在50℃浸泡(保持)2分钟、以15℃/分钟的速率升温到250℃、然后在250℃浸泡(保持)10分钟。
GC-MS仪器和条件:通过电子碰撞电离和化学电离气相色谱-质谱法(EI GC-MS和CI GC-MS)来分析样品。Agilent 6890 GC条件包括DB-1色谱柱,30米(m)×0.25毫米(mm)×0.50微米(μm)膜配置,入口温度为200℃,烘箱程序为在50℃下浸泡2分钟,以15℃/分钟的速度升温至250℃,并在250℃下浸泡10分钟。氦载气的流动为1mL/分钟的恒定流量和50∶1的分流进样。Agilent 5973 MSD条件包括从15道尔顿至800道尔顿的MS扫描范围、使用5%NH3和95%CH4的定制CI气体混合物的EI电离和CI电离。
29Si-NMR仪器和溶剂:使用Varian 400MHz汞光谱仪。使用C6D6作为溶剂。
1H-NMR仪器和溶剂:使用Varian 400MHz汞光谱仪。使用C6D6作为溶剂。
实施例1:1-二异丙基氨基-2-氯二硅烷(DPDCH4)的合成。
在15mL闪烁瓶中,将0.20g(0.7mmol)的1,2-双(二异丙胺)二硅烷(BisDPDS)稀释在2mL的戊烷中并使用磁力搅拌棒搅拌。加入0.21g(0.7mmol)的六氯二硅烷并搅拌30分钟。通过GC-MS分析显示几乎所有BisDPDS都被消耗以使产物DPDCH4成为唯一的主要产物(>90%转化率)。
实施例2:二异丙基氨基四氯二硅烷(DPDCH)、二异丙基氨基三氯二硅烷(DPDCH2)和1-二异丙基氨基-1,1-二氯二硅烷(DPDCH3)的原位合成。
将二异丙基氨基五氯二硅烷(DPDC,0.52g,1.6mmol)加入配备有磁力搅拌棒的30mL闪烁瓶中。将热电偶线夹在小瓶的底部和陶瓷搅拌板的顶部之间以监测反应温度。将二异丁基氢化铝(DiBAH,0.23g,1.6mmol)逐滴加入搅拌的DPDC中,其中观察到放热。使用GC-FID和GC-MS分析反应混合物,得到以下组成:2.00%(i-Pr2-N)SiCl2H,1.45%(i-Pr2-N)SiCl3,22.50%(i-Pr2-N)Si2Cl2H3(DPDCH3),痕量(i-Pr2-N)Si2Cl3H2(DPDCH2),3.15%(i-Pr2-N)Si2Cl4H(DPDCH),68.83%(i-Pr2-N)Si2Cl5(DPDC)和2.07%其它氯硅烷。
实施例3:1-二异丙基氨基-1,1-二氯二硅烷(DPDCH3)的合成。
在充有氩气的手套箱中,向配配有磁力搅拌器的1L夹套圆底烧瓶中加入66.8%纯度的二异丙基氨基五氯二硅烷(DPDC,268.6g,约0.54mol)并冷却至-15℃。将二异丁基氢化铝(DiBAH,229.1g,1.61mol)加入DPDC中,在3小时内使用大塑料移液管以30g等分试样进行剧烈搅拌,以使反应温度保持在10℃以下。在添加结束时,通过以10℃的增量升高冷却器设置使反应混合物恢复到室温(可观察到第二次放热)。一旦反应混合物达到温度,将烧瓶的内容物转移到配备有热电偶、磁力搅拌棒和蒸馏塔的(无夹套的)1L三颈圆底烧瓶中。通过在74℃至82℃罐温下在完全活性真空下的条带蒸馏从高沸点副产物中分离出80%粗1-二异丙基氨基-1,1-二氯二硅烷(DPDCH3)。收率:129.0g(83.0%)。
实施例4:二异丙基氨基四氯二硅烷HSi2(NPri 2)Cl4和双(二异丙基氨基)三氯二硅烷HSi2(NPr1 2)2Cl3的合成。
向500ml圆底烧瓶中加入11.1g(47.4mmol)的五氯二硅烷(PCDS)和110ml的无水己烷。将烧瓶在干冰-异丙醇浴中冷却至-10℃。在搅拌下,在-10℃下在15分钟内加入含有9.60g(94.9mmol)的二异丙基胺和20ml的无水己烷的溶液。形成黄白色浆液。添加后,将反应混合物温热至室温并继续在室温下搅拌2小时。然后将浆液通过覆盖有0.5英寸厚的干燥硅藻土的D型玻璃料过滤。将盐饼用20ml的无水己烷洗涤两次。将130ml透明滤液在真空(低至1托)下在至多室温下汽提直至除去所有低沸物。分离出罐残余物(6.70g),为透明无色液体产物。用GC-TCD、GC-MS和1H NMR分析产物。该产物含有76.2%的氨基氯氢二硅烷,包括44.3%的1-二异丙基氨基-1,2,2,2-四氯二硅烷iPr2N-SiClH-SiCl3、17.1%的1-二异丙基氨基-1,1,2,2-四氯二硅烷HCl2Si-SiCl2-NPri 2和14.8%的双(二异丙基氨基)三氯二硅烷异构体HSi2(NPri 2)2Cl3
实施例5:1,1-双(乙基甲基氨基)-1-氯二硅烷的合成。
将1.80g(10.9mmol)的1,1,1-三氯二硅烷(3CDS)在5ml己烷中的溶液在-5℃下在15分钟内加入250ml圆底烧瓶中2.12g(35.9mmol)的乙基甲基胺和3.63g(35.9mmol)的三乙胺在90ml己烷中的溶液中。添加后,将反应混合物(浆液)在室温至40℃下搅拌30分钟。然后过滤反应混合物,得到澄清液体。在真空至1托下将液体中的挥发分含量除去。分离出澄清的液体(0.96g)。用GC-FID估算,该液体含有约30重量%的1,1-双(乙基甲基氨基)-1-氯二硅烷。用GC-MS和1H NMR表征1,1-双(乙基甲基氨基)-1-氯二硅烷的结构。
实施例6:1,1-双(二乙基氨基)-1-氯二硅烷的合成。
将1.84g(11.1mmol)的1,1,1-三氯二硅烷(3CDS)在10ml己烷中的溶液在-5℃下在15分钟内加入250ml圆底烧瓶中5.35g(73.2mmol)的二乙胺在100ml己烷中的溶液中。添加后,将反应混合物(浆液)在室温下搅拌1.5小时。然后过滤反应混合物,得到澄清液体。在真空至1托下将液体中的挥发分含量除去。分离出澄清的液体(1.35g)。用GC-FID估算,该液体含有约59重量%的1,1-双(二乙基氨基)-1-氯二硅烷。用GC-MS和1H NMR表征1,1-双(二乙基氨基)-1-氯二硅烷的结构。
实施例7:使用具有氮或氨/氮的1-二异丙基氨基-1,1-二氯二硅烷(DPDCH3)和PEALD形成氮化硅膜。
使用PEALD反应器和含有DPDCH3并与PEALD反应器流体连通的小圆筒,将含有DPDCH3的圆筒加热至77℃。用氮气(N2)吹扫PEALD反应器,其中PEALD反应器含有多个在350℃(设定点)加热的水平取向和间隔开的硅晶片。然后,按照以下顺序,PEALD SiN膜随DPDCH3生长:DPDCH3投料,1秒/N2至10秒/N2吹扫,30秒/等离子体与N2或NH3+N2,15秒/N2吹扫,30秒。重复上述步骤顺序,直至在晶片上形成具有期望厚度的共形氮化硅膜。
使用光谱椭偏仪(M-2000DI,J.A.Woollam)表征氮化硅膜的厚度和折射率(在波长632nm处)。从375nm至1690nm的波长范围收集椭圆偏光测量数据,并使用由J.A.Woollam提供的具有软件的Tauc-Lorentz振荡器模型进行分析。在室温下使用在去离子水中稀释的500:1HF溶液进行通过PEALD工艺生长的薄膜的湿蚀刻速率测试。根据在稀释的HF溶液中蚀刻之前和之后的厚度差计算湿蚀刻速率。结果示于下表中。
实施例8(假想例):使用硅前体化合物和氨(NH3)通过LPCVD形成氮化硅膜:使用LPCVD反应器和含有硅前体化合物并与LPCVD反应器流体连通的鼓泡器,将含有该硅前体化合物的鼓泡器加热至70℃以增加其蒸气压。然后使He载气流过鼓泡器,以携带硅前体化合物的蒸气进入LPCVD反应器中,其中LPCVD反应器容纳有氨蒸气和被加热到500℃的多个竖直取向并间隔开的硅晶片,从而在该晶片上形成共形的氮化硅膜。
实施例9(假想例):使用具有氨的硅前体化合物和PECVD形成氮化硅膜:使用PECVD反应器和与PECVD反应器流体连通的鼓泡器,将含有硅前体化合物的鼓泡器加热至70℃以增加其蒸气压。然后使He载气流过鼓泡器,以携带硅前体化合物的蒸气进入PECVD反应器中,其中PECVD反应器具有氨衍生的等离子体并含有被加热到500℃的多个水平取向并间隔开的硅晶片,从而在该晶片上形成共形的氮化硅膜。
实施例10(假想例):使用硅前体化合物与LPCVD形成氧化硅膜:使用LPCVD反应器和与LPCVD反应器流体连通的鼓泡器,将含有该硅前体化合物的鼓泡器加热至70℃以增加其蒸气压。然后使He载气流过鼓泡器,以携带硅前体化合物的蒸气进入LPCVD反应器中,其中LPCVD反应器具有氧气氛并含有被加热到500℃的多个竖直取向并间隔开的硅晶片,从而在该晶片上形成共形的氧化硅膜。
实施例11(假想例):使用具有甲烷的硅前体化合物和PECVD形成碳化硅膜:使用PECVD反应器和与PECVD反应器流体连通的鼓泡器,将含有该硅前体化合物的鼓泡器加热至70℃以增加其蒸气压。然后使He载气流过鼓泡器,以携带硅前体化合物的蒸气进入PECVD反应器中,其中PECVD反应器具有甲烷衍生的等离子体并含有被加热到500℃的多个水平取向并间隔开的硅晶片,从而在该晶片上形成共形的碳化硅膜。
以下的权利要求是以引用方式并入本文中,并且术语“权利要求”和“多个权利要求”可分别用术语“方面”或“多个方面”代替。本发明的实施方案也包括这些所得的带编号的方面。

Claims (15)

1.一种化合物,所述化合物为二硅烷并且所述化合物包含至少一个氯基团、至少一个二烷基氨基和至少一个氢基团。
2.根据权利要求1所述的化合物,其中所述化合物具有式:
(R1R2N)aClbHcSiSiHdCle(R1R2N)f,其中每个R1独立地为H、(C1-C6)烷基、(C3-C6)环烷基、(C2-C6)烯基、(C2-C6)炔基、或苯基;并且每个R2独立地为(C1-C6)烷基、(C3-C6)环烷基、(C2-C6)烯基、(C2-C6)炔基、或苯基;或在同一氮原子或不同氮原子上的R1和R2键合在一起以成为-R1a-R2a,其中-R1a-R2a-为(C2-C5)亚烷基;并且其中a、b、c、d、e和f为独立地在零至三的范围内的整数;前提条件是a和f中的至少一个不为零,b和e中的至少一个不为零,并且c和d中的至少一个不为零。
3.根据权利要求2所述的化合物,包括以下限制a)、b)、c)和d)中的一者或多者:
a)R1和R2独立地为(C2-C6)烷基;
b)仅a和f中的一者为一,并且其它为零;
c)b和e独立地为零、一或二;
d)b+e为一至四。
4.根据权利要求3所述的化合物,其中R1和R2独立地为C3-C4烷基。
5.根据权利要求1所述的化合物,其为[(CH3)2CH]2NSiCl2SiH3、[(CH3)2CH]2NSiH2SiH2Cl、[(CH3CH2)2N]2SiClSiH3、[(CH3CH2)(CH3)N]2SiClSiH3、HSiClN[CH(CH3)2]2SiCl3、HSiCl2SiCl2N[CH(CH3)2]2、或HSi2Cl3[N(CH(CH3)2)2]2
6.一种用于制造化合物的方法,所述化合物为二硅烷并且所述化合物包含至少一个氯基团、至少一个二烷基氨基和至少一个氢基团;所述方法包括使具有至少两个氯基团和至少一个二烷基氨基的二硅烷与铝氢化物接触。
7.根据权利要求6所述的方法,其中所述铝氢化物包括:锂铝氢化物,三叔丁氧基锂铝氢化物,三[(3-乙基-3-戊基)氧基]锂铝氢化物,双(2-甲氧基乙氧基)钠铝氢化物,二异丁基铝氢化物,二乙基铝氢化物,或锂铝氢化物、三叔丁氧基锂铝氢化物、三[(3-乙基-3-戊基)氧基]锂铝氢化物、双(2-甲氧基乙氧基)钠铝氢化物、二异丁基铝氢化物和二乙基铝氢化物中的两种或更多种的组合。
8.一种在基底上形成含硅膜的方法,所述方法包括在基底的存在下使包含前述权利要求中任一项所述的化合物的硅前体的蒸气经受沉积条件以便在所述基底上形成含硅膜。
9.根据权利要求8所述的方法,包括以下限制e)、f)、g)、h)和i)中的一者或多者:
e)其中所述含硅膜是元素硅膜、硅碳膜、硅氮膜或硅氧膜;
f)包括在所述基底的存在下使包含权利要求1至6中任一项所述的化合物的硅前体的第一蒸气和包含氦或氢的第二蒸气经受沉积条件,以便在所述基底上形成含硅膜,其中所述含硅膜是元素硅膜;
g)包括在所述基底的存在下使包含权利要求1至6中任一项所述的化合物的硅前体的第一蒸气和包含烃、烃基硅烷或它们中任意两种的组合的碳前体的第二蒸气经受沉积条件,以便在所述基底上形成含硅膜,其中所述含硅膜是硅碳膜;
h)包括在所述基底的存在下使包含权利要求1至6中任一项所述的化合物的硅前体的第一蒸气和包含分子氮、氨、肼、胺或它们中任意两种或三种的组合的氮前体的第二蒸气经受沉积条件,以便在所述基底上形成含硅膜,其中所述含硅膜是硅氮膜;
i)包括在所述基底的存在下使包含权利要求1至6中任一项所述的化合物的硅前体的第一蒸气和包含分子氧、臭氧、一氧化氮、二氧化氮、一氧化二氮、水、过氧化氢或它们中任意两种或三种的组合的氧前体的第二蒸气经受沉积条件,以便在所述基底上形成含硅膜,其中所述含硅膜是硅氧膜。
10.根据权利要求8至9中任一项所述的方法,其中将所述基底加热并设置在被配置用于原子层沉积的沉积反应器中,所述方法包括重复进料包含权利要求1至6中任一项所述的化合物的硅前体的第一蒸气、用惰性气体吹扫、将所述第二蒸气进料至所述沉积反应器中、以及用惰性气体吹扫,以便使用原子层沉积在加热的基底上形成所述含硅膜,其中所述进料能够为相同或不同的。
11.根据权利要求8至9中任一项所述的方法,其中将所述基底加热并设置在被配置用于化学气相沉积的沉积反应器中,所述方法包括进料包含权利要求1至6中任一项所述的化合物的硅前体的第一蒸气、以及将所述第二蒸气进料至所述沉积反应器中,以便使用化学气相沉积在加热的基底上形成所述含硅膜,其中所述进料能够为相同或不同的。
12.根据权利要求10或11所述的方法,其中所述气相沉积条件缺乏碳和氧,并且所述硅氮膜包括氮化硅膜。
13.根据前述权利要求中任一项所述的方法,其中所述基底为半导体材料。
14.一种用于形成硅氮膜的组合物,所述组合物包含硅前体和氮前体,所述硅前体包含权利要求1至6中任一项所述的化合物。
15.根据权利要求1至6中任一项所述的组合物在形成硅氮膜的方法中的用途。
CN201780028078.6A 2016-05-17 2017-05-15 氨基氯氢二硅烷 Pending CN109071572A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662337371P 2016-05-17 2016-05-17
US62/337371 2016-05-17
US201662439236P 2016-12-27 2016-12-27
US62/439236 2016-12-27
PCT/US2017/032619 WO2017200908A1 (en) 2016-05-17 2017-05-15 Aminochlorohydridodisilanes

Publications (1)

Publication Number Publication Date
CN109071572A true CN109071572A (zh) 2018-12-21

Family

ID=58772657

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780028078.6A Pending CN109071572A (zh) 2016-05-17 2017-05-15 氨基氯氢二硅烷

Country Status (6)

Country Link
US (1) US20190169212A1 (zh)
JP (1) JP6668504B2 (zh)
KR (1) KR102188816B1 (zh)
CN (1) CN109071572A (zh)
TW (1) TWI746554B (zh)
WO (1) WO2017200908A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7065805B2 (ja) * 2019-05-13 2022-05-12 大陽日酸株式会社 ハロゲン化アミノシラン化合物、薄膜形成用組成物およびシリコン含有薄膜

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020164890A1 (en) * 2001-05-01 2002-11-07 Kwan Kim Yeong Method of forming silicon containing thin films by atomic layer deposition utilizing s12cl6 and nh3
CN1596324A (zh) * 2001-11-30 2005-03-16 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 用化学蒸气沉积技术沉积氮化硅膜和氧氮化硅膜的方法
CN1244716C (zh) * 2001-06-20 2006-03-08 三星电子株式会社 通过使用三(二甲基氨基)硅烷的原子层沉积形成含硅薄膜的方法
CN104347353A (zh) * 2013-07-31 2015-02-11 东京毅力科创株式会社 硅膜的成膜方法、薄膜的成膜方法以及截面形状控制方法
CN104769705A (zh) * 2012-11-07 2015-07-08 Up化学株式会社 制造含硅薄膜的方法
WO2015184214A1 (en) * 2014-05-30 2015-12-03 Dow Corning Corporation Process of synthesizing diisopropylaminw-disilanes

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5310583A (en) * 1992-11-02 1994-05-10 Dow Corning Corporation Vapor phase deposition of hydrogen silsesquioxane resin in the presence of nitrous oxide
AU2006312012B2 (en) * 2005-11-03 2011-11-17 Barry Stuecker Security system
US20080194109A1 (en) * 2007-02-14 2008-08-14 Renesas Technology Corp. Method of fabricating a semiconductor device
US8101242B2 (en) * 2008-03-07 2012-01-24 Sri International Method of imparting corrosion resistance to a substrate surface, and coated substrates prepared thereby
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US20120107614A1 (en) * 2010-10-29 2012-05-03 Yigal Dov Blum Method of coating a substrate surface, and coated substrates prepared thereby
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11046793B2 (en) * 2018-02-22 2021-06-29 Exxonmobil Chemical Patents Inc. Silica quenching agents for use in polymerization process

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020164890A1 (en) * 2001-05-01 2002-11-07 Kwan Kim Yeong Method of forming silicon containing thin films by atomic layer deposition utilizing s12cl6 and nh3
CN1244716C (zh) * 2001-06-20 2006-03-08 三星电子株式会社 通过使用三(二甲基氨基)硅烷的原子层沉积形成含硅薄膜的方法
CN1596324A (zh) * 2001-11-30 2005-03-16 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 用化学蒸气沉积技术沉积氮化硅膜和氧氮化硅膜的方法
CN104769705A (zh) * 2012-11-07 2015-07-08 Up化学株式会社 制造含硅薄膜的方法
CN104347353A (zh) * 2013-07-31 2015-02-11 东京毅力科创株式会社 硅膜的成膜方法、薄膜的成膜方法以及截面形状控制方法
WO2015184214A1 (en) * 2014-05-30 2015-12-03 Dow Corning Corporation Process of synthesizing diisopropylaminw-disilanes

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JOHANNES BELZNER ET AL.: "Synthesis of di- and trisilanes with potentially chelating substituents", 《JOURNAL OF ORGANOMETALLIC CHEMISTRY》 *

Also Published As

Publication number Publication date
US20190169212A1 (en) 2019-06-06
TW201806960A (zh) 2018-03-01
JP6668504B2 (ja) 2020-03-18
WO2017200908A1 (en) 2017-11-23
KR102188816B1 (ko) 2020-12-11
KR20190004323A (ko) 2019-01-11
TWI746554B (zh) 2021-11-21
JP2019514933A (ja) 2019-06-06

Similar Documents

Publication Publication Date Title
CN108431012A (zh) 三(乙硅烷基)胺
US11142462B2 (en) Trichlorodisilane
US10157735B2 (en) Pentachlorodisilane
KR101913106B1 (ko) 모노아미노실란 화합물
US20220119947A1 (en) Chlorodisilazanes
US10030037B2 (en) Diaminosilane compounds
WO2016191199A1 (en) Diisopropylaminopentachlorodisilane
CN109071572A (zh) 氨基氯氢二硅烷
KR102228807B1 (ko) SiH-무함유 비닐다이실란

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20210616

Address after: 67 Pingsheng Road, Shengpu Town, Suzhou Industrial Park, Suzhou City, Jiangsu Province

Applicant after: JIANGSU NATA OPTO-ELECTRONIC MATERIAL Co.,Ltd.

Address before: Delaware, USA

Applicant before: DDP special electronic materials 9 Co.,Ltd.

Effective date of registration: 20210616

Address after: Delaware, USA

Applicant after: DDP special electronic materials 9 Co.,Ltd.

Address before: michigan

Applicant before: DOW CORNING Corp.

Effective date of registration: 20210616

Address after: 239000, 117 Xincheng Avenue, Shitan Industrial Park, Shizi Town, Quanjiao County, Chuzhou City, Anhui Province

Applicant after: Nanda optoelectronic semiconductor materials Co.,Ltd.

Address before: No. 67, Pingsheng Road, Shengpu Town, Suzhou Industrial Park, Suzhou City, Jiangsu Province

Applicant before: JIANGSU NATA OPTO-ELECTRONIC MATERIAL Co.,Ltd.

TA01 Transfer of patent application right
RJ01 Rejection of invention patent application after publication

Application publication date: 20181221

RJ01 Rejection of invention patent application after publication