KR19990062428A - 원자층 증착 공정을 이용하는 도전층 형성방법 - Google Patents

원자층 증착 공정을 이용하는 도전층 형성방법 Download PDF

Info

Publication number
KR19990062428A
KR19990062428A KR1019980022577A KR19980022577A KR19990062428A KR 19990062428 A KR19990062428 A KR 19990062428A KR 1019980022577 A KR1019980022577 A KR 1019980022577A KR 19980022577 A KR19980022577 A KR 19980022577A KR 19990062428 A KR19990062428 A KR 19990062428A
Authority
KR
South Korea
Prior art keywords
layer
metal
gas
forming
metal atom
Prior art date
Application number
KR1019980022577A
Other languages
English (en)
Other versions
KR100269328B1 (ko
Inventor
박창수
채윤숙
강상범
이상인
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019980022577A priority Critical patent/KR100269328B1/ko
Priority to JP32131698A priority patent/JP3914647B2/ja
Priority to US09/212,090 priority patent/US6174809B1/en
Publication of KR19990062428A publication Critical patent/KR19990062428A/ko
Application granted granted Critical
Publication of KR100269328B1 publication Critical patent/KR100269328B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 원자층증착(atomic layer deposition) 공정을 사용하는 도전층 형성방법을 개시한다. 본 발명은 금속을 함유하는 전구체 및 환원가스를 반응시키어 반도체기판 상에 희생금속원자층을 형성하고, 희생금속원자층과 반응을 잘하는 금속할로겐화합물(metal halide) 가스를 사용하여 반도체기판 상에 금속할로겐화합물 가스로부터 분해된 금속원자가 침적된 금속원자층을 형성하는 것을 특징으로 한다. 또한, 본 발명은 금속원자층 상에 실리콘 소스가스를 사용하여 실리콘원자층을 추가로 형성함으로써 금속원자층과 실리콘층을 서로 교대로 적층시킨다. 이로써, 본 발명은 반도체 기판 상에 단차도포성이 우수한 금속층 또는 금속실리사이드층을 형성할 수 있다.

Description

원자층증착 공정을 이용하는 도전층 형성방법
본 발명은 도전층 형성방법에 관한 것으로, 특히 원자층증착(atomic layer deposition) 공정을 사용하는 반도체 소자의 도전층 형성방법에 관한 것이다.
반도체소자의 집적도가 증가함에 따라 디자인 룰(design rule)이 점점 감소하고 있다. 이에 따라, 콘택홀의 어스펙트 비는 증가하는 반면에, 접합깊이(junction depth)는 얕아지고 있다. 접합깊이는 모스 트랜지스터의 짧은채널 효과와 직접적으로 관련이 있다. 즉, 고집적 반도체소자에 적합한 모스 트랜지스터는 채널 길이가 짧아야 하며, 짧은 채널을 갖는 모스트랜지스터의 특성을 개선하기 위해서는 소오스/드레인 영역의 깊이,즉 접합 깊이를 얕게 형성하여야 한다. 얕은 접합과 금속배선(metal interconnection)을 접촉시키는 배선 기술(interconnection technology)에 있어서, 장벽금속층은 필수적으로 사용된다. 이는, 금속배선이 얕은 접합을 침투하는(penetrating) 접합 스파이킹 현상을 방지하기 위함이다. 장벽금속층으로는 타이타늄 질화층(TiN)이 널리 사용되며, 장벽금속층 및 접합 사이에는 오믹층(ohmic layer), 예컨대 타이타늄 실리사이드층이 개재된다. 타이타늄 실리사이드층은 1540℃의 높은 용융점(melting point), 13μΩ-㎝ 내지 16μΩ-㎝의 낮은 비저항 및 N형 불순물층(N-type impurity layer)에 대하여 0.6eV의 낮은 장벽높이(barrier height)를 보이므로 오믹층 또는 배선에 널리 사용되고 있다. 오믹층으로 사용되는 타이타늄 실리사이드층은 접합, 즉 불순물로 도우핑된 실리콘 기판(불순물층) 상에 타이타늄층을 형성한 후에 타이타늄층 및 실리콘기판을 서로 반응시키기 위한 열공정을 실시함으로써 형성된다.
상기한 바와 같이 종래의 금속배선을 형성하는 방법은 불순물층 상에 층간절연층을 형성하고, 상기 층간절연층을 패터닝하여 불순물층의 소정영역을 노출시키는 콘택홀을 형성한다. 그리고, 콘택홀이 형성된 결과물 전면에 오믹층, 장벽금속층, 및 금속배선을 차례로 형성한다. 여기서, 오믹층은 불순물층 상에 타이타늄막을 형성한 후에 타이타늄층을 열처리하여 타이타늄 실리사이드층을 형성하거나 불순물층 상에 타이타늄 실리사이드층을 직접 형성할 수도 있다. 오믹층으로 사용되는 타이타늄 실리사이드층은 불순물층에 손상이 가해지는 현상을 방지하기 위하여 가능한 낮은 온도에서 형성하여야 한다. 이에 따라, 플라즈마 증가형 화학기상증착(plasma-enhanced chemical vapor deposition; 이하 PECVD라 한다) 공정에 의한 타이타늄 실리사이드층 형성방법이 제안된 바 있다(J. Lee et al., Plasma Enhanced CVD of Blanket TiSi2on Oxide Patterned Wafer, J. Electrochem. Soc., vol. 139, no. 4, 1992, pp.1159-1165 / Alan E. Morgan et al., Material characterization of plasma-enhanced CVD titanium silicide, J. Vac. Sci. Technol. B4(3), 1986, pp. 723-731). 그러나, 고집적 반도체소자의 높은 어스펙트 비를 갖는 콘택홀에 PECVD 공정을 사용하여 타이타늄 실리사이드층을 형성하면, 플라즈마 특성에 기인하여 불량한 단차도포성(poor step coverage)을 보이는 문제점이 있다. 한편, V. Ilderem 등 및 G. J. Reynolds 등은 600℃ 이상의 높은 온도에서 저압 화학기상증착(low pressure CVD; 이하 LPCVD라 한다) 공정을 사용하여 타이타늄 실리사이드층을 형성하는 방법을 제안한 바 있다(V. Ilderem et al., Optimized Deposition Parameters for Low Pressure CVD titanium silicide, J. Electrochem. Soc., 1988, pp. 2590-2596 / G. J. Reynolds et al., Selective titanium disilicide by Low Pressure CVD, J. Appl. Phys. 65(8), 1989, pp. 3212-3218). 그러나, 600℃ 이상의 고온에서 타이타늄 실리사이드층을 형성하면, 타이타늄층과 접촉하는 불순물층의 실리콘 소모(silicon consumption)가 증가하여 불순물층의 접합 누설전류 특성이 저하된다. 따라서, LPCVD에 의한 타이타늄 실리사이드층은 얕은 접합이 요구되는 고집적 반도체소자에 적용하기가 어렵다.
본 발명의 목적은 원자층증착 공정을 사용하여 500℃ 이하의 저온에서 단차도포성을 개선시킬 수 있는 도전층 형성방법을 제공하는 데 있다.
도 1은 본 발명의 일 실시예를 설명하기 위한 공정 순서도이다.
도 2는 본 발명의 일 실시예를 설명하기 위한 타이밍 다이아그램이다.
도 3은 본 발명의 다른 실시예를 설명하기 위한 공정 순서도이다.
도 4는 본 발명의 다른 실시예를 설명하기 위한 타이밍 다이아그램이다.
도 5는 본 발명의 일 실시예 및 다른 실시예에 사용되는 도전층 형성용 장비의 개략도이다.
도 6은 본 발명의 일 실시예에 따라 형성된 타이타늄층의 단면도를 전자주사현미경(SEM; scanning electron microscopy)으로 촬영한 사진이다.
도 7은 도 6의 타이타늄층에 대한 성분을 XRF(x-ray fluorescence) 장비로 측정한 결과이다.
상기 목적을 달성하기 위한 본 발명의 일 태양(aspect)에 의하면, 본 발명은 반도체기판 상에 희생금속원자층(sacrificial metal atomic layer)을 형성하고, 상기 희생금속원자층을 금속할로겐화합물(metal halide) 가스와 반응시킴으로써 상기 희생금속원자층을 제거함과 동시에 상기 반도체기판 상에 금속할로겐화합물 가스로부터 분해된 금속원자들이 침적된 금속원자층을 형성하는 것을 특징으로 한다. 상기 반도체기판은 실리콘기판이며, 그 표면의 소정영역에 불순물로 도우핑된 접합(juncton), 즉 불순물층(impurity layer)을 갖는다. 그리고, 상기 반도체기판 상에 상기 불순물층의 소정영역을 노출시키는 콘택홀을 갖는 층간절연층 패턴이 형성될 수 있다. 상기 반도체기판 상에 처음으로 형성된 금속원자층, 즉 초기 금속원자층 상에 상기 희생금속원자층 및 상기 금속원자층을 적어도 1회 이상 순차적으로 반복적으로 형성함으로써, 상기 반도체기판 상에 복수의 금속원자층으로 이루어진 금속층을 형성한다. 여기서, 반도체기판 상에 처음으로 형성되는 희생금속원자층, 즉 초기 희생금속원자층은 상기 노출된 불순물층의 표면전체가 완전히 덮이도록 형성되어야 한다. 만일, 상기 콘택홀에 의해 노출된 불순물층의 표면이 초기 희생금속원자층에 의해 완전히 덮이지 않는 경우에 금속할로겐화합물 가스가 불순물층과 서로 반응하여 불순물층에 손상이 가해진다. 따라서, 상기 초기 희생금속원자층을 형성하기 전에 상기 콘택홀에 의해 노출되는 불순물층의 표면 전체를 완전히 덮는 초기 희생금속층을 형성할 수도 있다. 이때, 상기 초기 희생금속층은 반도체기판을 500℃ 이하, 바람직하게는 300℃ 내지 500℃로 가열한 상태에서 형성한다. 상기 초기 희생금속층은 상기 희생금속원자층과 동일한 물질층이다. 상기 초기 희생금속층 또는 상기 희생금속원자층은 희생금속 소스가스와 환원가스를 반응시키어 형성한다. 여기서, 상기 환원가스로는 수소가스를 사용하는 것이 바람직하다.
한편, 상기 금속할로겐화합물 가스는 희생금속원자층과 원활한 반응을 하기 위하여 상기 희생금속원자층 내의 금속원자와 상기 금속할로겐화합물 내에 함유된 할로겐 원소로 이루어지는 화합물의 깁스자유 에너지(Gibbs free energy)보다 낮은 깁스자유 에너지를 갖는 물질이어야 한다. 다시 말해서, 상기 희생금속원자층은 상기 금속할로겐화합물을 이루는 금속원자 및 할로겐원자의 결합을 깨뜨리고 할로겐원자와 결합할 수 있는 금속원자들로 이루어진 물질층이어야 한다. 예를 들면, 반도체기판 상에 타이타늄으로 이루어진 금속원자층을 형성하고자 하는 경우에는 상기 금속할로겐화합물로 TiCl4가스, TiI4가스, TiBr4가스, 또는 TiF4등을 사용하는 것이 바람직하다. 이때, 상기 금속할로겐화합물이 TiCl4가스인 경우에는 상기 희생금속원자층으로 알루미늄층(Al), 란타눔층(La), 프라세오디뮴층(Pr), 인듐층(In), 세리윰층(Ce), 네오디뮴층(Nd) 또는 베릴리움층(Be)층이 바람직하다. 이는, 상기 TiCl4가스의 깁스자유에너지가 Al2Cl6가스, LaCl3가스, PrCl3가스, In2Cl6가스, CeCl3가스, NdCl3가스 또는 Be2Cl4가스의 깁스자유에너지보다 낮기 때문이다. 이와 마찬가지로, 반도체기판 상에 타이타늄으로 이루어진 금속원자층을 형성하기 위하여 TiI4가스를 금속할로겐화합물로 사용하는 경우에는 상기 희생금속원자층으로 알루미늄층, 지르코니움층(Zr) 또는 하프니움층(Hf)이 바람직하다. 이는, TiI4가스의 깁스자유에너지가 Al2I6가스, ZrI4가스 또는 HfI4가스의 깁스자유에너지보다 낮기 때문이다.
상기한 금속할로겐화합물 가스 이외에도 반도체기판에 형성하고자 하는 금속원자층의 종류에 따라 여러 가지의 금속할로겐화합물 가스, 예컨대 TaCl5가스, TaI5가스, TaBr5가스, TaF5가스, HfCl4가스, HfI4가스, HfBr4가스, HfF4가스, ZrCl4가스, ZrI4가스, ZrBr4가스 또는 ZrF4가스 등이 사용될 수 있다. 그리고, 각각의 경우에 적합한 희생금속원자층을 사전에 형성함으로써 원하는 금속원자층을 형성할 수 있다.
상기한 바와 같이 희생금속원자층이 형성된 결과물 표면 또는 초기 희생금속층과 초기 희생금속원자층이 형성된 결과물 표면에 금속할로겐화합물 가스를 공급하면, 상기 희생금속원자층 내의 금속원자들 및 상기 초기 희생금속층 내의 금속원자들이 금속할로겐화합물 가스의 할로겐 원자와 결합하여 휘발성 가스를 발생시키고 금속할로겐화합물 내의 금속원자들, 예컨대 천이족 금속원자들은 반도체기판, 즉 불순물층 상에 침적되어 금속원자층을 형성한다. 상기 희생금속원자층 및 금속원자층은 모두 반도체기판을 500℃ 이하, 바람직하게는 300℃ 내지 500℃의 온도로 가열한 상태에서 순차적으로 형성한다.
상기 목적을 달성하기 위한 본 발명의 다른 태양(aspect)에 의하면, 본 발명은 본 발명의 일 태양과 동일한 방법으로 반도체기판 상에 희생금속원자층 및 금속원자층을 형성하고, 상기 금속원자층 상에 실리콘원자층을 형성한다. 여기서, 반도체기판 상에 처음으로 형성되는 희생금속원자층, 즉 초기 희생금속원자층을 형성하기 전에 본 발명의 일 태양과 같이 반도체기판 상에 초기 희생금속층을 형성할 수도 있다. 그리고, 상기 처음으로 형성되는 실리콘층, 즉 초기 실리콘층이 형성된 결과물 상에 희생금속원자층, 금속원자층 및 실리콘원자층을 순차적으로 적어도 1회 이상 반복적으로 형성함으로써, 반도체기판 상에 복수의 금속원자층 및 복수의 실리콘원자층을 교대로 적층시킨다. 이때, 하나의 금속원자층의 두께 및 하나의 실리콘층의 두께를 각각 적절히 조절하면, 원하는 조성비를 갖는 금속 실리사이드층을 형성할 수 있다. 상기 실리콘원자층은 반도체기판의 온도를 500℃ 이하, 바람직하게는 300℃ 내지 500℃의 온도로 유지시킨 상태에서 형성한다. 상기 실리콘원자층은 실리콘 소스가스, 즉 실리콘원자를 함유하는 전구체를 사용하여 형성한다.
상술한 바와 같이 본 발명에 의하면, 500℃ 이하의 저온에서 높은 어스펙트비를 보이는 콘택홀을 갖는 반도체기판 표면에 단차도포성이 우수한 금속층 또는 금속실리사이드층을 형성할 수 있다. 따라서, 얕은 접합이 요구되는 고집적 반도체소자를 제조함에 있어서 신뢰성이 우수한 도전막, 즉 신뢰성이 우수한 장벽금속층 또는 오믹층(ohmic layer)을 형성할 수 있다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명하기로 한다.
도 1 및 도 2는 각각 본 발명에 따른 일 실시예를 설명하기 위한 공정 순서도 및 타이밍 다이아그램(timing diagram)이다. 그리고, 도 5는 본 발명의 일 실시예 또는 다른 실시예에 의한 도전층을 형성하는 데 사용되는 장비의 개략도이다.
도 5를 참조하면, 본 발명에 따른 도전층을 형성하는 데 사용되는 장비는 반응 챔버(51)와, 반도체기판(55)이 놓이도록 상기 반응 챔버(51)의 바닥에 설치된 서셉터(susceptor; 53)와, 반응 가스들이 상기 반응 챔버(51) 내부로 주입되도록 상기 서셉터(53) 상부에 설치된 샤우어 헤드(shower head; 57)와, 상기 반응 챔버(51) 내부의 압력을 조절하기 위하여 상기 반응 챔버(51)와 연결된 진공펌프(59)를 구비한다. 여기서, 상기 샤우어 헤드(57)는 서로 분리된 2개의 가스주입관(gas inlet; A 및 B)을 구비한다. 그리고, 금속 소스가스(metal source gas) 및 불활성 가스(inert gas)는 상기 가스주입관(A)을 통하여 반응 챔버(51) 내부로 주입되고, 실리콘 소스가스(silicon source gas), 희생금속 소스가스(sacrificial metal source gas) 및 환원가스(reducer gas)는 상기 가스주입관(B)을 통하여 반응 챔버(51) 내부로 주입된다. 이는, 서로 반응하기 쉬운 가스들이 챔버(51) 내부에 도달하기 전에 하나의 가스관(A 또는 B) 내에서 반응하는 것을 억제시키기 위함이다. 상기 금속 소스가스 및 상기 불활성 가스는 각각 제1 밸브(V1) 및 제2 밸브(V2)에 의하여 가스주입관(A) 내부로의 주입이 제어되고, 상기 실리콘 소스가스, 상기 희생금속 소스가스 및 상기 환원가스는 각각 제3 밸브(V3), 제4 밸브(V4) 및 제5 밸브(V5)에 의하여 상기 가스주입관(B) 내부로의 주입이 제어된다. 그리고, 상기 챔버(51)에 수소 원격 플라즈마를 주입할 수 있는 수소 원격 플라즈마 발생기(61)가 설치되어 있다. 상기 수소 원격 플라즈마 발생기(61)는 상기 챔버와 이격되고 수소 플라즈마를 발생할 수 있는 다른 챔버로 구성된다. 다시 말하면, 상기 수소 원격 플라즈마 발생기(61)는 상기 챔버와 이격된 다른 챔버에서 수소 플라즈마를 발생시켜 챔버로 수소 플라즈마를 주입할 수 있다.
도 1, 도 2 및 도 5를 참조하여 본 발명에 따른 일 실시예를 설명하면, 반도체기판, 예컨대 실리콘기판의 소정영역 표면에 불순물로 도우핑된 접합, 즉 불순물층을 형성한다. 상기 불순물층은 모스 트랜지스터의 소오스/드레인 영역에 해당하고, 고집적 반도체소자의 경우에 0.1㎛ 이하의 얕은 깊이로 형성하여야 한다. 이는, 모스 트랜지스터의 짧은채널효과(short channel effect)가 접합깊이와 매우 밀접한 관계가 있기 때문이다. 즉, 불순물층의 접합깊이가 얕을수록 모스 트랜지스터의 짧은채널효과는 개선된다. 상기 불순물층이 형성된 결과물 전면에 층간절연막을 형성하고, 상기 층간절연막을 패터닝하여 상기 불순물층의 소정영역을 노출시키는 콘택홀을 형성한다. 이때, 반도체소자의 집적도가 증가할수록 상기 층간절연막의 두께는 두꺼워지고 콘택홀의 직경은 작아진다. 따라서, 반도체소자의 집적도가 증가할수록 콘택홀의 어스펙트비는 증가한다. 상기 콘택홀이 형성된 반도체기판(55)을 도전층 형성용 장비(equipment for forming a conductive layer)의 반응 챔버(51) 내에 설치된 서셉터(susceptor; 53) 상에 로딩시킨다. 그리고, 공정 레서피(process recipe) 중에 하나의 사이클이 수행되는 횟수를 가리키는 n값을 0으로 초기화시킴과 동시에 원하는 사이클 수 k를 입력시킨다(10).
이어서, 상기 반도체기판(55)의 온도(Ts)를 500℃ 이하, 바람직하게는 300℃ 내지 500℃로 조절한 상태에서 제2 밸브(V2), 제4 밸브(V4), 및 제5 밸브(V5)를 오픈시키어 불활성 가스(inert gas), 희생금속 소스가스(sacrificial matal source gas) 및 환원가스(reducer gas)를 챔버(51) 내부로 소정의 시간동안 주입시킴으로써, 콘택홀이 형성된 반도체기판(55) 전면에 초기 희생금속층을 형성한다(11). 상기 희생금속 소스가스 및 상기 환원가스는 하나의 가스주입관(B) 내에서 서로 섞이지만 가스주입관(B) 내부의 온도가 100℃ 내지 150℃ 정도로 낮으므로 서로 반응하지 않는다. 이때, 상기 반응 챔버(51) 내의 압력은 3torr 정도로 조절한다. 상기 초기 희생금속층은 후속공정에서 원하는 금속원자층을 형성하기 위하여 사용되는 금속 소스가스(metal source gas), 즉 천이족 금속과 할로겐 원소로 이루어진 금속할로겐화합물(metal-halide) 가스와 반응을 잘하는 금속층인 것이 바람직하다. 예컨대, 상기 금속원자층으로 타이타늄막을 형성하고자 하는 경우에는 상기 금속할로겐화합물 가스로 타이타늄을 함유하는 금속할로겐화합물, 즉 TiCl4가스, TiI4가스, TiBr4가스, 또는 TiF4가스 등이 바람직하다. 그리고, 상기 금속할로겐화합물 가스로 TiCl4가스를 사용하는 경우에는 상기 초기 희생금속층이 알루미늄층, 란타눔층, 프라세오디뮴층, 인듐층, 세리윰층, 네오디뮴층 또는 베릴리움층인 것이 바람직하다. 이때, 가장 바람직한 초기 희생금속층으로는 알루미늄층이다. 이는, 표 1a로부터 알 수 있듯이 알루미늄이 염소에 대하여 가장 높은 깁스자유에너지를 보일뿐만 아니라, 다양한 전구체(precursor)를 갖고 있기 때문이다. 상기 불활성 가스로는 아르곤 가스 또는 질소 가스가 바람직하고, 상기 환원가스로는 수소가스가 바람직하다. 상기 환원가스는 상기 희생금속 소스가스를 환원시키는 역할을 한다. 참고로, 절대온도 700K(427℃)에서 여러 가지의 금속할로겐화합물 가스들에 대한 깁스자유에너지들이 표 1a, 표 1b, 표 2, 표 3 및 표 4에 보여졌다.
427℃에서 염소를 함유하는 여러 가지의 기체 화합물에 대한 깁스자유에너지
화합물 깁스자유에너지(kJ/mol) 화합물 깁스자유에너지(kJ/mol) 화합물 깁스자유에너지(kJ/mol)
Al2Cl6 -1121.9 HfCl3 -626.7 BeCl2 -373.1
ThCl4 -895.8 EuCl3 -621.6 BCl3 -367.7
UCl5 -811.9 YbCl3 -621.5 SiCl3 -365.7
HfCl4 -804.7 K2Cl2 -609.8 SnCl4 -362.3
ZrCl4 -777.6 Rb2Cl2 -607.6 InCl3 -335.8
LaCl3 -708.9 Li2Cl2 -597.8 AlCl2 -305.5
PrCl3 -706.9 SiCl4 -569.6 TaCl3 -300.1
In2Cl6 -703.7 AlCl3 -550.1 GeCl3 -299.8
CeCl3 -699.5 Fe2Cl6 -526.8 MnCl2 -286.4
NdCl3 -696.6 BaCl2 -524.3 WCl5 -285.6
Be2Cl4 -692.6 SrCl2 -498.1 CsCl -276.7
TiCl4 -678.3 TaCl4 -497.5 ZnCl2 -273.5
GdCl3 -674.3 CaCl2 -489.1 WCl4 -267.6
TbCl3 -668.1 PbCl4 -462.1 Ti2Cl2 -259.8
HoCl3 -659.7 VaCl4 -447.2 GaCl2 -258.4
ErCl3 -651.7 GeCl4 -410.8 SbCl5 -249.9
Cs2Cl2 -644.1 MgCl2 -407.8 Cu3Cl3 -242.9
TmCl3 -641.5 Fe2Cl4 -406.5 PCl3 -242.3
TaCl5 -636.6 GaCl3 -388.6 FeCl3 -240.6
427℃에서 염소를 함유하는 여러 가지의 기체 화합물에 대한 깁스자유에너지
화합물 깁스자유에너지(kJ/mol) 화합물 깁스자유에너지(kJ/mol) 화합물 깁스자유에너지(kJ/mol)
InCl2 -240.2 CaCl -165.1 NiCl2 -101.8
BiCl3 -238.5 TeCl4 -136.4 HCl -98.7
AsCl3 -231.4 HgCl2 -136.2 SeCl2 -50.5
SnCl2 -215.8 TeCl2 -134.6 BiCl -30.9
BaCl -198.5 CoCl2 -125.2 BeCl -6.2
SiCl2 -195.5 GaCl -123.1 AgCl 29.6
SrCl -181.5 AlCl -111.6 BCl 74.3
FeCl2 -174.5 BCl2 -109.9 SiCl 123.7
427℃에서 이오다인(I)를 함유하는 여러 가지의 기체 화합물에 대한 깁스자유에너지
화합물 깁스자유에너지(kJ/mol) 화합물 깁스자유에너지(kJ/mol) 화합물 깁스자유에너지(kJ/mol)
ThI4 -512 ZrI4 -409 TiI4 -320
Al2I6 -510 HfI4 -405 PbI4 -266
K2I2 -480 DyI3 -402 MgI2 -239
LaI3 -457 TmI3 -399 CuI -237
PrI3 -448 GdI3 -388 CsI -220
CeI3 -442 BaI2 -380 TaI5 -202
NdI3 -438 UI4 -377 SiI4 -150
Li2I2 -427 SrI2 -353 HI -11.8
ErI3 -410 CaI2 -338 - -
427℃에서 브로민(Br)을 함유하는 여러 가지의 기체 화합물에 대한 깁스자유에너지
화합물 깁스자유에너지(kJ/mol) 화합물 깁스자유에너지(kJ/mol) 화합물 깁스자유에너지(kJ/mol)
Al2Br6 -860 HoBr3 -567 CaBr2 -435
Mg2Br4 -764 ErBr3 -566 PbBr4 -428
ThBr4 -743 TmBr3 -563 TaBr5 -424
HfBr4 -639 TbBr3 -559 EuBr2 -413
ZrBr4 -627 DyBr3 -559 SiBr4 -387
LaBr3 -621 GdBr3 -551 Cu3Br3 -187
CeBr3 -616 Li2Br2 -534 WBr6 -139
PrBr3 -612 TiBr4 -527 HBr -58.6
UBr4 -602 Na2Br2 -510 - -
NdBr3 -598 SrBr2 -453 - -
427℃에서 불소(F)를 함유하는 여러 가지의 기체 화합물에 대한 깁스자유에너지
화합물 깁스자유에너지(kJ/mol) 화합물 깁스자유에너지(kJ/mol) 화합물 깁스자유에너지(kJ/mol)
Al2F6 -2439 HfF4 -1592 Li3F3 -1457
UF6 -1953 ZrF4 -1587 PrF3 -1231
TaF5 -1687 S2F10 -1581 AsF5 -1080
ThF4 -1687 SiF4 -1515 CuF2 -287.3
Mg2F4 -1624 WF6 -1513 HF -277.1
NbF5 -1607 TiF4 -1467 - -
표 1 내지 표 4로부터, 반도체기판 상에 원하는 금속원자층을 형성하는 데 적합한 금속 소스가스 및 초기 희생금속층을 선택할 수 있다. 예를 들면, 금속원자층으로 타이타늄층을 형성하고자 하는 경우에 초기 희생금속층으로는 알루미늄층, 란타눔층, 프라세오디뮴층, 인듐층, 세리윰층, 네오디뮴층 또는 베릴리움층이 적합하고, 금속 소스가스로는 TiCl4가스가 적합하다. 상기 초기 희생금속층으로서 알루미늄층(Al)을 형성하기 위한 희생금속 소스가스로는 알루미늄을 함유하는 전구체, 예컨대 디이부틸알루미늄 하이드라이드((C4H9)2AlH), 트리이부틸알루미늄 하이드라이드((C4H9)3AlH), 트리에틸알루미늄((C2H5)3Al), 트리메틸알루미늄(TMA; (CH3)3Al), 트리메틸아민(AlH3N(CH3)3), 디메틸알루미늄 하이드라이드((CH3)2AlH), 또는 디메틸에틸아민 알란((CH3)2C2H5N:AlH3)을 사용하는 것이 바람직하다. 또한, 초기 희생금속층으로서 란타눔층(La)을 형성하기 위한 희생금속 소스가스로는 란타눔을 함유하는 전구체, 예컨대 트리스사이클로펜타디에닐 란타눔((C5H5)3La) 또는 트리스이프로필사이클로펜타디에닐 란타눔((C2H7C4H4)3La)와 같은 전구체를 사용하는 것이 바람직하고, 초기 희생금속층으로서 프라세오디뮴층(Pr)을 형성하기 위한 희생금속 소스가스로는 프라세오디뮴을 함유하는 전구체, 예컨대 트리스사이클로펜타디에닐 프라세오디뮴((C5H5)3Pr) 또는 트리스이프로필사이클로펜타디에닐 프라세오디뮴((C3H7C5H4)3Pr)과 같은 전구체를 사용하는 것이 바람직하다. 또한, 초기 희생금속층으로서 인듐층(In)을 형성하기 위한 희생금속 소스가스로는 인듐을 함유하는 전구체, 예컨대 사이클로디에닐 인듐(C2H5In), 펜타메틸사이클로펜타디에닐 인듐((CH3)5C5In), 트리에틸 인듐((C2H5)3In), 또는 트리메틸 인듐((CH3)3In)과 같은 전구체를 사용하는 것이 바람직하고, 초기 희생금속층으로서 세리윰층(Ce)을 형성하기 위한 희생금속 소스가스로는 세리윰을 함유하는 전구체, 예컨대 트리스사이클로펜타디에닐 세리윰((C5H5)3Ce) 또는 트리스이프로필사이클로펜타디에닐 세리윰(((C5H5)C5H4)3Ce)과 같은 전구체를 사용하는 것이 바람직하다. 또한, 초기 희생금속층으로서 네오디뮴층(Nd)을 형성하기 위한 희생금속 소스가스로는 네오디뮴을 함유하는 전구체, 예컨대 트리스사이클로펜타디에닐 네오디뮴((C5H5)3Nd) 또는 트리스이프로필사이클로펜타디에닐 네오디뮴((C3H7C5H4)3Nd)와 같은 전구체를 사용하는 것이 바람직하고, 초기 희생금속층으로서 베릴리움층(Be)을 형성하기 위한 희생금속 소스가스로는 디에틸베릴리움(Be(C2H5)2)과 같은 전구체를 사용하는 것이 바람직하다. 상기한 희생금속 소스가스들중 알루미늄을 함유하는 전구체는 가장 바람직한 희생금속 소스가스이다. 이는, 표 1 내지 표 4로부터 알 수 있듯이 알루미늄은 할로겐 원소, 예컨대 염소(Cl), 이오다인(I), 브로민(Br), 또는 불소(F)에 대하여 매우 높은 깁스자유에너지(Gibbs free energy)를 가지고 있으며, 상기한 바와 같이 매우 다양한 전구체를 갖고 있기 때문이다.
초기 희생금속층을 알루미늄층으로 형성하는 경우에 대표적인 희생금속 소스가스로는 TMA((CH3)3Al) 가스를 들 수 있다. 이때, 환원가스인 수소가스와 TMA 가스는 서로 반응하여 TMA 가스의 메틸기(CH3)를 메탄기(CH4)로 변화시킨다. 상기 메탄기(CH4)는 반응 챔버(51) 밖으로 배출되고 알루미늄원자들이 반도체기판 표면에 침적되어 알루미늄층이 형성된다.
다음에, 상기 초기 희생 금속층이 형성된 결과물의 주위를 상기 수소 원격 플라즈마 발생기(61)로 수소 원격 플라즈마(H2remote plasma)를 주입하여 상기 희생 금속 소스 가스에서 나와 챔버 내에 잔류하는 CHX성분의 잔류물을 완전히 제거한다(12). 여기서, 수소 원격 플라즈마는 반응을 수행하는 챔버와 이격된 다른 챔버에서 수소 플라즈마를 발생시킨 것이다. 이렇게 되면, 기체 상태의 수소를 이용하는 것 보다 CHX를 완전하게 제거할 수 있어 순수한 초기 희생 금속층만을 형성할 수 있고, 이에 따라 후속의 금속 원자층을 순도 높게 형성할 수 있다.
본 실시예에서는 상기 원격 플라즈마를 주입하기 전에 상기 챔버를 퍼지하지 않았으나, 상기 원격 플라즈마를 주입하는 단계 전에 상기 챔버를 불활성 가스 또는 수소 가스로 퍼지하여 희생 금속 소오스 가스에서 분해된 잔류물들을 제거할 수 도 있다.
이어서, 상기 초기 희생금속층이 형성된 결과물의 주위를 상기 불활성 가스로 퍼지시키어 반응 챔버(51) 내에 잔류하는 희생금속 소스가스를 완전히 배출시키는 제1 퍼지 공정을 실시한다(13). 상기 제1 퍼지공정이 실시되는 동안에 상기 환원가스를 계속해서 공급하여도 무방하다. 그리고, 상기 반도체기판의 온도는 500℃이하, 바람직하게는 300℃ 내지 500℃의 온도로 유지시킨다. 여기서, 상기 초기 희생금속층을 형성하는 동안에 유지되는 반도체기판의 온도와 상기 제1 퍼지 공정이 진행되는 동안에 유지되는 반도체기판의 온도는 서로 동일하거나 다르게 조절할 수도 있다.
상기 제1 퍼지공정이 완료된 후에 상기 반응 챔버(51) 내에 다시 상기 희생금속 소스가스, 상기 환원가스 및 상기 불활성 가스를 다시 주입시키어 상기 희생금속 소스가스 및 상기 환원가스를 반응시킴으로써, 상기 초기 희생금속층 상에 희생금속원자층을 형성한다(15). 예컨대, 상기 희생금속 소스가스 및 상기 환원가스로 각각 TMA((CH3)3Al) 가스 및 수소(H2) 가스를 사용하는 경우에 상기 희생금속원자층으로 알루미늄층이 형성된다. 여기서, 상기 희생금속원자층은 상기 초기 희생금속층과 동일한 물질층으로 형성한다. 예를 들면, 상기 초기 희생금속층이 알루미늄층이면, 상기 희생금속원자층도 알루미늄층으로 형성한다. 또한, 상기 희생금속원자층은 상기 초기 희생금속층을 형성하는 데 사용되는 희생금속 소스가스와 동일한 희생금속 소스가스를 사용하여 형성한다. 이때, 상기 희생금속원자층은 4Å 내지 5Å 정도의 매우 얇은 두께로 형성하는 것이 바람직하다. 여기서, 상기 희생금속원자층이 상기 노출된 불순물층의 전체표면을 완전히 덮는다면, 상기한 초기 희생금속층을 형성하는 공정은 생략할 수도 있다. 다시 말해서, 상기 초기 희생금속층은 상기 희생금속원자층이 형성된 후에 이어지는 금속원자층 형성시 반응 챔버(51) 내로 주입되는 금속 소스가스가 불순물층의 실리콘 원자들과 반응하는 현상을 방지하기 위하여 형성하는 것이다.
다음에, 상기 희생 금속 원자층이 형성된 결과물의 주위를 상기 수소 원격 플라즈마 발생기(61)로 수소 원격 플라즈마(H2remote plasma)를 주입하여 상기 희생 금속 소스 가스에서 나와 챔버 내에 잔류하는 CHX성분의 잔류물을 완전히 제거한다(16). 여기서, 수소 원격 플라즈마는 반응을 수행하는 챔버와 이격된 다른 챔버에서 수소 플라즈마를 발생시킨 것이다. 이렇게 되면, 기체 상태의 수소를 이용하는 것 보다 CHX를 완전하게 제거할 수 있어 순수한 희생 금속 원자층만을 형성할 수 있고, 이에 따라 후속의 금속 원자층을 순도 높게 형성할 수 있다.
본 실시예에서는 상기 원격 플라즈마를 주입하기 전에 상기 챔버를 퍼지하지 않았으나, 상기 원격 플라즈마를 주입하는 단계 전에 상기 챔버를 불활성 가스 또는 수소 가스로 퍼지하여 희생 금속 소오스 가스에서 분해된 잔류물들을 제거할 수 도 있다.
계속해서, 상기 희생금속원자층이 형성된 결과물의 주위를 상기 불활성 가스로 퍼지시키어 반응 챔버(51) 내에 잔류하는 희생금속 소스가스를 완전히 배출시키는 제2 퍼지 공정을 실시한다(17). 상기 제2 퍼지공정이 실시되는 동안에 상기 환원가스를 계속해서 공급하여도 무방하다. 상기 제2 퍼지공정이 완료된 후에 반응 챔버(51) 내부로 금속소스 가스(metal source gas), 불활성 가스 및 환원가스를 주입시키어 상기 희생금속원자층 및 상기 초기 희생금속층을 제거함과 동시에 반도체기판 전면에 금속원자층을 형성한다(19). 이때, 상기 금속소스 가스로는 형성하고자 하는 금속층의 금속원자를 함유하는 금속할로겐화합물(metal halide) 가스, 예컨대 TiCl4가스를 사용하는 것이 바람직하다. 상기 불활성 가스, 예컨대 질소 가스 또는 아르곤 가스는 상기 금속소스 가스, 즉 금속할로겐화합물 가스의 운송가스(carrier gas) 역할을 한다. 상기 희생금속원자층 및 초기 희생금속층이 알루미늄층인 경우에 금속할로겐화합물 가스로 TiCl4가스를 사용하면, 알루미늄층의 알루미늄 원자와 TiCl4가스의 염소 원자(Cl)가 결합하여 Al2Cl6가스가 생성되고 TiCl4가스로부터 분해된 타이타늄 원자(Ti)들은 반도체기판 상에 침적되어 타이타늄층(Ti)이 형성된다. 상기 Al2Cl6가스는 반응 챔버(51) 밖으로 배출된다.
상기한 바와 같이 알루미늄층과 TiCl4가스가 반응하여 타이타늄층(Ti)이 형성되는 이유는 표 1a로부터 알 수 있듯이 Al2Cl6가스의 깁스자유에너지가 TiCl4가스의 깁스자유에너지보다 높기 때문이다. 상기 금속할로겐화합물 가스로는 TiCl4가스 이외에 TaCl5가스, HfCl4가스, ZrCl4가스, TiI4가스, TaI5가스, HfI4가스, ZrI4가스, TiBr4가스, TaBr5가스, HfBr4가스, ZrBr4가스, TiF4가스, TaF5가스, HfF4가스 또는 ZrF4가스가 사용될 수 있다. 만일, 금속할로겐화합물 가스로서 HfCl4가스 또는 ZrCl4가스를 사용하여 하프니움층(Hf) 또는 지르코니움층(Zr)을 형성하고자 하는 경우에는 희생금속원자층 또는 초기 희생금속층으로 란타눔층, 프라세오디뮴층, 인듐층, 세리움층, 네오디뮴층 및 베릴리움층보다는 알루미늄층이 적합하다. 이는, 표 1a로부터 알 수 있듯이 HfCl4가스 및 ZrCl4가스의 깁스자유에너지가 LaCl3가스, PrCl3가스, In2Cl6가스, CeCl3가스, NdCl3가스 및 Be2Cl4가스의 깁스자유에너지보다 높기 때문이다. 이와 마찬가지로, 상기한 대부분의 금속할로겐화합물 가스를 사용하여 원하는 금속원자층을 형성하기 위해서는 표 2 내지 표 4로부터 희생금속원자층 또는 초기 희생금속층으로 알루미늄층이 가장 바람직하다는 것을 알 수 있다. 상기 초기 희생 금속층 형성 공정(11)부터 상기 금속원자층 형성공정(19)까지 모두 동일한 온도에서 형성하는 것이 바람직하다.
다음에, 상기 금속 원자층이 형성된 결과물의 주위를 상기 수소 원격 플라즈마 발생기(61)로 수소 원격 플라즈마(H2remote plasma)를 주입하여 상기 금속 소스 가스에서 나와 챔버 내에 잔류하는 ClX성분의 잔류물을 완전히 제거한다(20). 여기서, 수소 원격 플라즈마는 반응을 수행하는 공정 챔버와 이격된 다른 챔버에서 수소 플라즈마를 발생시킨 것이다. 이렇게 되면, 기체 상태의 수소를 이용하는 것 보다 ClX를 완전하게 제거할 수 있어 순수한 금속 원자층만을 형성할 수 있다.
다음에, 상기 금속원자층이 형성된 결과물의 주위를 상기 불활성 가스로 퍼지시키어 챔버(51) 내에 잔류하는 금속 소스가스를 완전히 배출시키는 제3 퍼지 공정을 실시한다(25). 상기 제3 퍼지공정이 실시되는 동안에 상기 환원가스를 계속해서 공급하여도 무방하다. 그리고, 상기 원격 플라즈마 주입 공정(20) 및 제3 퍼지 공정(25)도 상기 초기 희생 금속층 형성 공정(11)부터 상기 금속원자층 형성공정(19)까지 수행한 온도와 동일한 온도에서 수행한다.
상기 금속원자층이 형성된 후에 상기 n값은 1만큼 증가한다(21). 그리고, 상기 증가된 n값과 초기에 설정된 사이클 수 k를 서로 비교한다(23). 만일, 상기 증가된 n값이 초기에 설정된 사이클 수 k보다 작으면, 상기 증가된 n값이 사이클 수 k와 같아질 때까지 상기 희생금속원자층 형성공정(15)부터 상기 제3 퍼지공정(25)을 순차적으로 반복하여 실시함으로써, 반도체기판 상에 원하는 두께를 갖는 금속층을 형성한다. 상기 금속층이 형성된 결과물을 소정의 온도에서 열처리하면, 불순물층과 상기 금속층 사이의 계면에 금속 실리사이드층이 형성된다. 여기서, 상기 금속 실리사이드층은 상기 금속층과 상기 불순물층 사이의 접촉저항을 개선시키는 오믹층(ohmic layer) 역할을 한다.
실제로, 상기한 본 발명의 일 실시예에 따라 형성된 타이타늄층이 도 6에 보여졌다. 도 6은 5 이상의 높은 어스펙트비를 갖는 콘택홀 내부 및 주변에 형성된 타이타늄층의 단면을 전자주사현미경(SEM; scanning electron microscopy)으로 촬영한 사진이다. 여기서, 초기 희생금속층 형성공정부터 제3 퍼지공정까지 모두 반도체기판의 온도(Ts)를 450℃로 가열한 상태에서 진행하였다. 상기 초기 희생금속층은 TMA 가스 및 수소가스를 약 10초 동안 반응시킴으로써 알루미늄층으로 형성하였다. 이때, 불활성 가스인 질소 가스 또한 반응 챔버 내부로 주입시키었다. 상기 질소 가스 및 수소 가스는 반응 챔버 내부의 압력이 약 3torr인 상태에서 각각 40sccm(standard cubic centimeter per minute) 및 1000sccm의 유량(flow rate)으로 반응 챔버 내부로 주입시키었다. 그리고, 상기 TMA 가스는 상온(room temperature)을 유지하는 버블러(bubbler)를 사용하여 생성시켰다. 이때, 상기 TMA 가스에 대한 운송가스(carrier gas)는 사용하지 않았으므로 TMA 가스는 단순히 TMA 가스의 증기압(vapor pressure)와 반응 챔버 내부의 압력 차이에 의해서 반응 챔버 내부로 주입되었다. 상기 초기 희생금속층(알루미늄층)을 형성한 후에 상기 TMA 가스의 공급을 중단시키고 반응 챔버 내에 잔류하는 TMA 가스를 완전히 제거하기 위하여 약 5초동안 제1 퍼지 공정을 실시하였다. 이때, 상기 질소 가스 및 수소 가스는 반응 챔버 내의 압력을 약 8torr로 유지시킨 상태에서 지속적으로 주입시키었다. 계속해서, 상기 제1 퍼지 공정이 완료된 후에 TMA 가스를 약 1초동안 반응 챔버 내로 다시 주입시킴으로써, 상기 수소가스와 TMA 가스를 반응시키어 얇은 희생금속원자층, 즉 알루미늄원자층을 형성하였다. 그리고, 상기 TMA 가스의 공급을 중단시킨 상태에서 상기 제1 퍼지공정과 동일한 제2 퍼지공정을 실시하였다, 다음에, 상기 반응 챔버 내로 금속소스 가스로서 TiCl4가스를 약 5초동안 주입하여 알루미늄층과 TiCl4가스를 반응시킴으로써 상기 반도체기판 전면에 타이타늄원자층을 형성하였다. 계속해서, 상기 제1 퍼지공정, 상기 희생금속원자층 형성공정, 상기 제2 퍼지공정 및 상기 금속원자층 형성공정을 순차적으로 50회 반복하여 실시하였다.
도 6을 참조하면, 상기한 본 발명의 일 실시예에 따라 형성된 타이타늄층은 5 이상의 어스펙트비를 갖는 콘택홀의 내부 및 주변에 약 600Å 정도의 균일한 두께로 형성되었음을 알 수 있다.
도 7은 도 6에 보여진 타이타늄층의 성분을 XRF(x-ray fluorescence) 장비로 측정한 결과이다. 여기서, 가로축은 엑스선의 회절각도(diffraction angle)를 나타내고, 세로축은 회절된 엑스선의 세기를 나타낸다. 또한, 엑스선의 회절각도(2θ)가 140。 내지 147。의 범위를 나타내는 그래프는 알루미늄 성분에 대한 측정결과이고, 84。 내지 89。의 범위를 나타내는 그래프는 타이타늄 성분에 대한 측정결과이고, 90。 내지 96。의 범위를 나타내는 그래프는 염소 성분에 대한 측정결과이다.
도 7로부터 본 발명의 일 실시예에 따라 형성된 타이타늄층은 타이타늄원자 이외의 어떤 불순물도 함유하지 않음을 알 수 있다.
도 3 및 도 4는 각각 본 발명의 다른 실시예를 설명하기 위한 공정순서도 및 타이밍 다이아그램이다. 여기서, 본 발명의 일 실시예와 동일한 참조 번호로 표시한 부분은 동일한 공정을 의미하므로 이들에 대한 설명은 생략하기로 한다.
도 3, 도 4 및 도 5를 참조하면, 본 발명의 다른 실시예는 본 발명의 일 실시예에 따른 초기 희생금속층 형성공정(11)부터 제3 퍼지 공정을 실시한 후에 실리콘원자층 형성공정(27)을 추가로 더 실시함으로써 금속실리사이드층을 형성하는 데 그 특징이 있다. 실리콘원자층은 제3 퍼지공정(25)이 완료된 후에 반응 챔버(51) 내에 실리콘 소스가스를 주입하여 반응시킴으로써 금속원자층 상에 형성된다. 이때, 상기 실리콘층을 형성하는 동안에 반도체기판의 온도는 제3 퍼지공정(25)에서와 동일한 온도, 즉 500℃ 이하, 바람직하게는 300℃ 내지 500℃로 유지시킨다. 그리고, 본 발명의 일 실시예에서와 마찬가지로 상기 수소 원격 플라즈마 주입 공정(12)부터 상기 실리콘원자층 형성공정(27)을 순차적으로 원하는 회수만큼 반복적으로 실시함으로써 금속원자층 및 실리콘원자층을 교대로 적층시킨다. 이때, 상기 금속원자층 및 상기 실리콘원자층이 교대로 형성되는 도중에 이들이 서로 반응하여 금속실리사이드층이 형성될 수도 있다. 금속실리사이드층의 조성비는 금속원자층의 두께 및 실리콘원자층의 두께를 적절히 조절함으로써 변화시킬수 있다. 상기 실리콘 소스가스로는 사일레인(SiH4) 가스, 다이사일레인(Si2H6) 가스, 비스트리메틸실릴아세틸렌((CH3)3SiC≡CSi(CH)3) 가스, 비스트리메틸실릴메탄(((CH3)3Si)2CH2) 가스, t-부틸다이메틸클로로사일레인((CH3)3CSi(CH3)2Cl) 가스, n-부틸트리클로로사일레인((C4H9)SiCl3) 가스, N-다이에틸아미노트리메틸사일레인((CH3)3SiN(C2H5)2) 가스, 다이메틸다이클로로사일레인((CH3)2SiCl2) 가스, 다이메틸폴리사일레인(((CH3)2Si-)n) 가스, 다이페닐다이클로로사일레인((C6H5)2SiCl2) 가스, 다이페닐사일레인((C6H5)2SiH2) 가스, 에틸트리클로로사일레인(C2H5SiCl3) 가스, 헥사클로로다이사일레인(Cl3SiSiCl3) 가스, 헥사메틸다이사일레인((CH3)3SiSi(CH3)3) 가스, 메틸다이클로로사일레인(CH3SiCl2H) 가스, 메틸페닐다이클로로사일레인((CH3)(C6H5)SiCl2) 가스, 페닐트리클로로사일레인(C6H5SiCl3) 가스, 실리콘브로마이드(SiBr4) 가스, 실리콘클로라이드(SiCl4) 가스, 실리콘플루오라이드(SiF4) 가스, 실리콘이오다이드(SiI4) 가스, 실리콘프탈로시아닌다이클로라이드((C32H16N8)SiCl2) 가스, 테트라키스트리메틸실릴사일레인(Si(Si(CH3)3)4) 가스, 테트라메틸사일레인(Si(CH3)4) 가스, 트리클로로메틸사일레인(CH3SiCl3) 가스, 트리클로로사일레인(HSiCl3) 가스, 트리에틸클로로사일레인((C2H5)3SiCl) 가스, 트리플루오로메틸트리메틸사일레인(CF3Si(CH3)3) 가스, 트리메틸클로로사일레인((CH3)3SiCl) 가스, 트리메틸사일레인((CH3)3SiH) 가스, 트리메틸실릴아세틸렌((CH3)3SiC≡CH) 가스, 트리메틸실릴사이클로펜타디엔((C5H5)Si(CH3)3) 가스, 트리메틸시닐펜타메틸사이클로펜타디엔((C5(CH3)5)Si(CH3)3) 가스, 트리페닐클로로사일레인((C6H5)3SiCl) 가스, 트리페닐사일레인((C6H5)3SiH) 가스, 트리스다이메틸아미노사일레인(((CH3)2N)3CH) 가스 또는 비닐트리클로로사일레인(CH2〓CHSiCl3) 가스를 사용하는 것이 바람직하다.
본 발명의 다른 실시예에 따르면, 상기 금속원자층의 종류에 따라 원하는 금속 실리사이드층, 예컨대 타이타늄 실리사이드층, 탄탈륨 실리사이드층, 지르코니움 실리사이드층 또는 하프니움 실리사이드층 등을 형성할 수 있다. 또한, 어스펙트비가 높은 콘택홀 내에 단차도포성(step coverage)이 우수한 금속 실리사이드층을 형성할 수 있다.
본 발명은 상기 실시예들에 한정되지 않고 당업자의 수준에서 그 변형 및 개량이 가능하다.
상술한 바와 같이 본 발명에 따르면, 높은 어스펙트비를 갖는 콘택홀 내에 단차도포성(step coverage)이 우수한 금속층 또는 금속실리사이드층을 형성할 수 있다. 이에 따라, 고집적 반도체소자에 적합한 금속배선을 형성할 수 있다.

Claims (25)

  1. 반도체기판 상에 희생금속원자층을 형성하는 단계;
    상기 희생금속원자층을 금속할로겐화합물(metal halide) 가스와 반응시킴으로써, 상기 희생금속원자층을 제거함과 동시에 상기 반도체기판 상에 상기 금속할로겐화합물 가스로부터 분해된 금속원자들이 침적된 금속원자층을 형성하는 단계; 및
    상기 반도체기판과 접촉하는 금속원자층 상에 상기 희생금속원자층 및 상기 금속원자층을 적어도 1회 이상 번갈아가면서 반복적으로 형성함으로써, 상기 반도체기판 상에 복수의 금속원자층을 차례로 적층시키는 단계를 포함하는 금속층 형성방법.
  2. 제1항에 있어서, 상기 희생금속원자층을 형성하는 단계 전에
    반도체기판 상에 초기 희생금속층을 형성하는 단계를 더 구비하는 것을 특징으로 하는 금속층 형성방법.
  3. 제2항에 있어서, 상기 초기 희생금속층은 상기 반도체기판을 300℃ 내지 500℃로 가열한 상태에서 형성하는 것을 특징으로 하는 금속층 형성방법.
  4. 제1항에 있어서, 상기 희생금속원자층 및 상기 금속원자층은 상기 반도체기판을 300℃ 내지 500℃로 가열한 상태에서 형성하는 것을 특징으로 하는 금속층 형성방법.
  5. 제1항에 있어서, 상기 희생금속원자층의 금속원자와 상기 금속할로겐화합물 가스의 할로겐원자로 이루어지는 화합물의 깁스자유에너지는 상기 금속할로겐화합물의 깁스자유에너지보다 높은 것을 특징으로 하는 금속층 형성방법.
  6. 제2항에 있어서, 상기 희생금속원자층을 형성하는 단계 전에 상기 초기 희생금속층이 형성된 결과물 주위를 불활성 가스(inert gas)로 퍼지시키는(purge) 단계를 더 구비하는 것을 특징으로 하는 금속층 형성방법.
  7. 제1항에 있어서, 상기 금속원자층을 형성하는 단계 전에 상기 희생금속층이 형성된 결과물 주위를 불활성 가스로 퍼지시키는 단계를 더 구비하는 것을 특징으로 하는 금속층 형성방법.
  8. 제1항에 있어서, 상기 복수의 금속원자층을 차례로 적층시키는 단계 이후에
    상기 복수의 금속원자층이 차례로 적층된 결과물을 열처리하여 상기 복수의 금속원자층과 상기 반도체기판을 서로 반응시킴으로써, 상기 반도체기판과 상기 복수의 금속원자층 사이의 계면에 오믹층(ohmic layer)을 형성하는 단계를 더 포함하는 것을 특징으로 하는 금속층 형성방법.
  9. 제8항에 있어서, 상기 오믹층은 금속실리사이드층인 것을 특징으로 하는 금속층 형성방법.
  10. 제2항에 있어서, 상기 초기 희생 금속층을 형성하는 단계 후에 상기 초기 희생금속층이 형성된 결과물을 수소 원격 플라즈마 처리하여 희생 금속 소스에서 분해되어 나온 잔류물을 제거하는 단계를 더 구비하는 것을 특징으로 하는 금속층 형성방법.
  11. 제1항에 있어서, 상기 희생 금속 원자층을 형성하는 단계 후에 상기 희생금속 원자층이 형성된 결과물을 수소 원격 플라즈마 처리하여 희생 금속 소스에서 분해되어 나온 잔류물을 제거하는 단계를 더 구비하는 것을 특징으로 하는 금속층 형성방법.
  12. 제1항에 있어서, 상기 금속 원자층을 형성하는 단계 후에 상기 금속 원자층이 형성된 결과물을 수소 원격 플라즈마 처리하여 상기 금속할로겐화합물(metal halide) 가스에서 분해되어 나온 잔류물을 제거하는 단계를 더 구비하는 것을 특징으로 하는 금속층 형성방법.
  13. 제12항에 있어서, 상기 수소 원격 플라즈마 처리후에 상기 금속 원자층이 형성된 결과물 주위를 불활성 가스로 퍼지하는 단계를 더 구비하는 것을 특징으로 하는 금속층 형성방법.
  14. 반도체기판 상에 희생금속원자층을 형성하는 단계;
    상기 희생금속원자층을 금속할로겐화합물(metal halide) 가스와 반응시킴으로써, 상기 희생금속원자층을 제거함과 동시에 상기 반도체기판 상에 상기 금속할로겐화합물 가스로부터 분해된 금속원자들이 침적된 금속원자층을 형성하는 단계;
    상기 금속원자층 상에 실리콘원자층을 형성하는 단계; 및
    상기 실리콘원자층 상에 상기 희생금속원자층, 상기 금속원자층, 및 상기 실리콘원자층을 적어도 1회 이상 순차적으로 형성함으로써, 상기 반도체기판 상에 금속원자층 및 실리콘원자층을 교대로 적층시키는 단계를 포함하는 금속실리사이드층 형성방법.
  15. 제14항에 있어서, 상기 희생금속원자층을 형성하는 단계 전에
    반도체기판 상에 초기 희생금속층을 형성하는 단계를 더 구비하는 것을 특징으로 하는 금속실리사이드층 형성방법.
  16. 제15항에 있어서, 상기 초기 희생금속층은 상기 반도체기판을 300℃ 내지 500℃로 가열한 상태에서 형성하는 것을 특징으로 하는 금속실리사이드층 형성방법.
  17. 제14항에 있어서, 상기 희생금속원자층, 상기 금속원자층 및 상기 실리콘원자층은 상기 반도체기판을 300℃ 내지 500℃로 가열한 상태에서 형성하는 것을 특징으로 하는 금속실리사이드층 형성방법.
  18. 제14항에 있어서, 상기 희생금속원자층의 금속원자와 상기 금속할로겐화합물 가스의 할로겐원자로 이루어지는 화합물의 깁스자유에너지는 상기 금속할로겐화합물의 깁스자유에너지보다 높은 것을 특징으로 하는 금속실리사이드층 형성방법.
  19. 제15항에 있어서, 상기 희생금속원자층을 형성하는 단계 전에 상기 초기 희생금속층이 형성된 결과물 주위를 불활성 가스로 퍼지시키는(purge) 단계를 더 구비하는 것을 특징으로 하는 금속실리사이드층 형성방법.
  20. 제14항에 있어서, 상기 금속원자층을 형성하는 단계 전에 상기 희생금속층이 형성된 결과물 주위를 불활성 가스로 퍼지시키는 단계를 더 구비하는 것을 특징으로 하는 금속실리사이드층 형성방법.
  21. 제14항에 있어서, 상기 실리콘원자층을 형성하는 단계 전에 상기 금속원자층이 형성된 결과물 주위를 불활성 가스로 퍼지시키는 단계를 더 구비하는 것을 특징으로 하는 금속실리사이드층 형성방법.
  22. 제15항에 있어서, 상기 초기 희생 금속층을 형성하는 단계 후에 상기 초기 희생금속층이 형성된 결과물을 수소 원격 플라즈마 처리하여 희생 금속 소스에서 분해되어 나온 잔류물을 제거하는 단계를 더 구비하는 것을 특징으로 하는 금속실리사이드층 형성방법.
  23. 제14항에 있어서, 상기 희생 금속 원자층을 형성하는 단계 후에 상기 희생금속 원자층이 형성된 결과물을 수소 원격 플라즈마 처리하여 희생 금속 소스에서 분해되어 나온 잔류물을 제거하는 단계를 더 구비하는 것을 특징으로 하는 금속 실리사이드층 형성방법.
  24. 제14항에 있어서, 상기 금속 원자층을 형성하는 단계 후에 상기 금속 원자층이 형성된 결과물을 수소 원격 플라즈마 처리하여 상기 금속할로겐화합물(metal halide) 가스에서 분해되어 나온 잔류물을 제거하는 단계를 더 구비하는 것을 특징으로 하는 금속실리사이드층 형성방법.
  25. 제14항에 있어서, 상기 실리콘원자층은 실리콘 소스가스를 반응시키어 형성하는 것을 특징으로 하는 금속실리사이드층 형성방법.
KR1019980022577A 1997-12-31 1998-06-16 원자층 증착 공정을 이용하는 도전층 형성방법 KR100269328B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1019980022577A KR100269328B1 (ko) 1997-12-31 1998-06-16 원자층 증착 공정을 이용하는 도전층 형성방법
JP32131698A JP3914647B2 (ja) 1997-12-31 1998-10-26 原子層蒸着工程を用いた金属層形成方法
US09/212,090 US6174809B1 (en) 1997-12-31 1998-12-15 Method for forming metal layer using atomic layer deposition

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR101997082126 1997-12-31
KR19970082126 1997-12-31
KR1019970082126 1997-12-31
KR1019980022577A KR100269328B1 (ko) 1997-12-31 1998-06-16 원자층 증착 공정을 이용하는 도전층 형성방법

Publications (2)

Publication Number Publication Date
KR19990062428A true KR19990062428A (ko) 1999-07-26
KR100269328B1 KR100269328B1 (ko) 2000-10-16

Family

ID=26633351

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980022577A KR100269328B1 (ko) 1997-12-31 1998-06-16 원자층 증착 공정을 이용하는 도전층 형성방법

Country Status (3)

Country Link
US (1) US6174809B1 (ko)
JP (1) JP3914647B2 (ko)
KR (1) KR100269328B1 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR100408733B1 (ko) * 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR100443085B1 (ko) * 2001-05-01 2004-08-04 삼성전자주식회사 헥사 클로로 디실란 및 암모니아를 사용한 원자층의적층을 이용하여 실리콘을 함유하는 박막을 형성하는 방법
KR100449782B1 (ko) * 2001-07-19 2004-09-22 삼성전자주식회사 원자층 적층 방법과 이를 이용한 박막 적층 방법 및금속층 적층 방법
KR100474072B1 (ko) * 2002-09-17 2005-03-10 주식회사 하이닉스반도체 귀금속 박막의 형성 방법
KR100604787B1 (ko) * 1999-10-04 2006-07-31 삼성전자주식회사 원자층 적층을 이용한 금속막 형성방법
KR100795534B1 (ko) * 1999-10-15 2008-01-16 에이에스엠 인터내셔널 엔.브이. 상감법 금속화를 위한 균일한 라이닝층
US7670944B2 (en) 1999-10-15 2010-03-02 Asm International N.V. Conformal lining layers for damascene metallization

Families Citing this family (599)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6958174B1 (en) * 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
WO2001029893A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
EP1199378A4 (en) * 2000-03-27 2006-09-20 Mitsubishi Heavy Ind Ltd METHOD AND DEVICE FOR PRODUCING A METAL FILM
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6524867B2 (en) * 2000-12-28 2003-02-25 Micron Technology, Inc. Method for forming platinum-rhodium stack as an oxygen barrier
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
KR100853903B1 (ko) * 2001-03-20 2008-08-25 맷슨 테크놀로지, 인크. 비교적 높은 유전율을 갖는 코팅을 기판 상에 증착하는 방법
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR20030000423A (ko) * 2001-06-25 2003-01-06 삼성전자 주식회사 Iv족 금속 전구체를 이용한 원자층 증착방법
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6918960B2 (en) * 2001-11-28 2005-07-19 Micron Technology, Inc. CVD of PtRh with good adhesion and morphology
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
JP2005530307A (ja) * 2002-01-03 2005-10-06 ネア・パワー・システムズ・インコーポレーテッド 表面にコンフォーマル導電層を有する多孔質燃料電池電極構造体
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6767823B2 (en) * 2002-03-06 2004-07-27 Micron Technology, Inc. Plasma enhanced chemical vapor deposition method of forming titanium silicide comprising layers
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6991653B2 (en) * 2002-03-21 2006-01-31 Sdgi Holdings, Inc. Vertebral body and disc space replacement devices
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20040025787A1 (en) * 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US8205009B2 (en) * 2002-04-25 2012-06-19 Emc Israel Development Center, Ltd. Apparatus for continuous compression of large volumes of data
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040105244A1 (en) * 2002-08-06 2004-06-03 Ilyas Mohammed Lead assemblies with offset portions and microelectronic assemblies with leads having offset portions
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US7084078B2 (en) * 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
KR100463633B1 (ko) * 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US7198820B2 (en) * 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
DE10319540A1 (de) * 2003-04-30 2004-11-25 Infineon Technologies Ag Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7030430B2 (en) * 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050045092A1 (en) * 2003-09-03 2005-03-03 Taiwan Semiconductor Manufacturing Co. Method of multi-element compound deposition by atomic layer deposition for IC barrier layer applications
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
JP4059183B2 (ja) 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) * 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7687409B2 (en) * 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7662729B2 (en) * 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) * 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
JP4975414B2 (ja) * 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Cvd又はaldによる膜の堆積のための方法
US8060713B1 (en) 2005-12-21 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Consolidating snapshots in a continuous data protection system using journaling
US7849361B2 (en) * 2005-12-22 2010-12-07 Emc Corporation Methods and apparatus for multiple point in time data access
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
CN101479834B (zh) * 2006-06-30 2011-06-08 应用材料股份有限公司 纳米结晶形成
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
KR20080062764A (ko) * 2006-12-29 2008-07-03 삼성전자주식회사 게르마늄실리사이드 형성방법 및 이를 적용하여 게르마늄실리사이드가 형성된 디바이스
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
KR100920455B1 (ko) 2007-10-01 2009-10-08 포항공과대학교 산학협력단 열처리 공정 없는 플라스마 원자층 증착법을 이용한 금속실리사이드 박막의 제조방법
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US7840536B1 (en) 2007-12-26 2010-11-23 Emc (Benelux) B.V., S.A.R.L. Methods and apparatus for dynamic journal expansion
US8041940B1 (en) 2007-12-26 2011-10-18 Emc Corporation Offloading encryption processing in a storage area network
US7860836B1 (en) 2007-12-26 2010-12-28 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to recover data in a continuous data protection environment using a journal
US7958372B1 (en) 2007-12-26 2011-06-07 Emc (Benelux) B.V., S.A.R.L. Method and apparatus to convert a logical unit from a first encryption state to a second encryption state using a journal in a continuous data protection environment
US9501542B1 (en) 2008-03-11 2016-11-22 Emc Corporation Methods and apparatus for volume synchronization
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
KR101540077B1 (ko) * 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
KR101461127B1 (ko) 2008-05-13 2014-11-14 삼성디스플레이 주식회사 반도체 장치 및 이의 제조 방법
US8108634B1 (en) 2008-06-27 2012-01-31 Emc B.V., S.A.R.L. Replicating a thin logical unit
US7719443B1 (en) 2008-06-27 2010-05-18 Emc Corporation Compressing data in a continuous data protection environment
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8060714B1 (en) 2008-09-26 2011-11-15 Emc (Benelux) B.V., S.A.R.L. Initializing volumes in a replication system
US7882286B1 (en) 2008-09-26 2011-02-01 EMC (Benelux)B.V., S.A.R.L. Synchronizing volumes for replication
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8392680B1 (en) 2010-03-30 2013-03-05 Emc International Company Accessing a volume in a distributed environment
US8433869B1 (en) 2010-09-27 2013-04-30 Emc International Company Virtualized consistency group using an enhanced splitter
US8478955B1 (en) 2010-09-27 2013-07-02 Emc International Company Virtualized consistency group using more than one data protection appliance
US8694700B1 (en) 2010-09-29 2014-04-08 Emc Corporation Using I/O track information for continuous push with splitter for storage device
US8335771B1 (en) 2010-09-29 2012-12-18 Emc Corporation Storage array snapshots for logged access replication in a continuous data protection system
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8335761B1 (en) 2010-12-02 2012-12-18 Emc International Company Replicating in a multi-copy environment
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9256605B1 (en) 2011-08-03 2016-02-09 Emc Corporation Reading and writing to an unexposed device
US8898112B1 (en) 2011-09-07 2014-11-25 Emc Corporation Write signature command
US9011968B2 (en) 2011-09-16 2015-04-21 Empire Technology Development Llc Alteration of graphene defects
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9223659B1 (en) 2012-06-28 2015-12-29 Emc International Company Generating and accessing a virtual volume snapshot in a continuous data protection system
EP3685874B1 (en) 2012-06-28 2023-10-18 Philips Image Guided Therapy Corporation Connecting intravascular devices, associated systems and methods
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10235145B1 (en) 2012-09-13 2019-03-19 Emc International Company Distributed scale-out replication
US9336094B1 (en) 2012-09-13 2016-05-10 Emc International Company Scaleout replication of an application
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9383937B1 (en) 2013-03-14 2016-07-05 Emc Corporation Journal tiering in a continuous data protection system using deduplication-based storage
US9696939B1 (en) 2013-03-14 2017-07-04 EMC IP Holding Company LLC Replicating data using deduplication-based arrays using network-based replication
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9110914B1 (en) 2013-03-14 2015-08-18 Emc Corporation Continuous data protection using deduplication-based storage
US8996460B1 (en) 2013-03-14 2015-03-31 Emc Corporation Accessing an image in a continuous data protection using deduplication-based storage
US9244997B1 (en) 2013-03-15 2016-01-26 Emc Corporation Asymmetric active-active access of asynchronously-protected data storage
US9152339B1 (en) 2013-03-15 2015-10-06 Emc Corporation Synchronization of asymmetric active-active, asynchronously-protected storage
US9081842B1 (en) 2013-03-15 2015-07-14 Emc Corporation Synchronous and asymmetric asynchronous active-active-active data access
US9069709B1 (en) 2013-06-24 2015-06-30 Emc International Company Dynamic granularity in data replication
US9087112B1 (en) 2013-06-24 2015-07-21 Emc International Company Consistency across snapshot shipping and continuous replication
US9146878B1 (en) 2013-06-25 2015-09-29 Emc Corporation Storage recovery from total cache loss using journal-based replication
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9367260B1 (en) 2013-12-13 2016-06-14 Emc Corporation Dynamic replication system
US9405765B1 (en) 2013-12-17 2016-08-02 Emc Corporation Replication of virtual machines
US9158630B1 (en) 2013-12-19 2015-10-13 Emc Corporation Testing integrity of replicated storage
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9189339B1 (en) 2014-03-28 2015-11-17 Emc Corporation Replication of a virtual distributed volume with virtual machine granualarity
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10082980B1 (en) 2014-06-20 2018-09-25 EMC IP Holding Company LLC Migration of snapshot in replication system using a log
US9274718B1 (en) 2014-06-20 2016-03-01 Emc Corporation Migration in replication system
US9619543B1 (en) 2014-06-23 2017-04-11 EMC IP Holding Company LLC Replicating in virtual desktop infrastructure
CN105336615B (zh) * 2014-07-08 2018-06-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN105336599B (zh) * 2014-07-23 2018-02-13 中国科学院微电子研究所 半导体器件制造方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10101943B1 (en) 2014-09-25 2018-10-16 EMC IP Holding Company LLC Realigning data in replication system
US10324798B1 (en) 2014-09-25 2019-06-18 EMC IP Holding Company LLC Restoring active areas of a logical unit
US10437783B1 (en) 2014-09-25 2019-10-08 EMC IP Holding Company LLC Recover storage array using remote deduplication device
US9910621B1 (en) 2014-09-29 2018-03-06 EMC IP Holding Company LLC Backlogging I/O metadata utilizing counters to monitor write acknowledgements and no acknowledgements
US9529885B1 (en) 2014-09-29 2016-12-27 EMC IP Holding Company LLC Maintaining consistent point-in-time in asynchronous replication during virtual machine relocation
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US10496487B1 (en) 2014-12-03 2019-12-03 EMC IP Holding Company LLC Storing snapshot changes with snapshots
US9600377B1 (en) 2014-12-03 2017-03-21 EMC IP Holding Company LLC Providing data protection using point-in-time images from multiple types of storage devices
US9405481B1 (en) 2014-12-17 2016-08-02 Emc Corporation Replicating using volume multiplexing with consistency group file
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9632881B1 (en) 2015-03-24 2017-04-25 EMC IP Holding Company LLC Replication of a virtual distributed volume
US10296419B1 (en) 2015-03-27 2019-05-21 EMC IP Holding Company LLC Accessing a virtual device using a kernel
US9411535B1 (en) 2015-03-27 2016-08-09 Emc Corporation Accessing multiple virtual devices
US9678680B1 (en) 2015-03-30 2017-06-13 EMC IP Holding Company LLC Forming a protection domain in a storage architecture
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10853181B1 (en) 2015-06-29 2020-12-01 EMC IP Holding Company LLC Backing up volumes using fragment files
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9684576B1 (en) 2015-12-21 2017-06-20 EMC IP Holding Company LLC Replication using a virtual distributed volume
US10133874B1 (en) 2015-12-28 2018-11-20 EMC IP Holding Company LLC Performing snapshot replication on a storage system not configured to support snapshot replication
US10067837B1 (en) 2015-12-28 2018-09-04 EMC IP Holding Company LLC Continuous data protection with cloud resources
US10235196B1 (en) 2015-12-28 2019-03-19 EMC IP Holding Company LLC Virtual machine joining or separating
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10235087B1 (en) 2016-03-30 2019-03-19 EMC IP Holding Company LLC Distributing journal data over multiple journals
US10579282B1 (en) 2016-03-30 2020-03-03 EMC IP Holding Company LLC Distributed copy in multi-copy replication where offset and size of I/O requests to replication site is half offset and size of I/O request to production volume
US10152267B1 (en) 2016-03-30 2018-12-11 Emc Corporation Replication data pull
US10235060B1 (en) 2016-04-14 2019-03-19 EMC IP Holding Company, LLC Multilevel snapshot replication for hot and cold regions of a storage system
US10087522B2 (en) * 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) * 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10210073B1 (en) 2016-09-23 2019-02-19 EMC IP Holding Company, LLC Real time debugging of production replicated data with data obfuscation in a storage system
US10235091B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Full sweep disk synchronization in a storage system
US10019194B1 (en) 2016-09-23 2018-07-10 EMC IP Holding Company LLC Eventually consistent synchronous data replication in a storage system
US10146961B1 (en) 2016-09-23 2018-12-04 EMC IP Holding Company LLC Encrypting replication journals in a storage system
US10235090B1 (en) 2016-09-23 2019-03-19 EMC IP Holding Company LLC Validating replication copy consistency using a hash function in a storage system
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4470189A (en) * 1983-05-23 1984-09-11 International Business Machines Corporation Process for making polycide structures
US4943539A (en) * 1989-05-09 1990-07-24 Motorola, Inc. Process for making a multilayer metallization structure
US5718618A (en) * 1996-02-09 1998-02-17 Wisconsin Alumni Research Foundation Lapping and polishing method and apparatus for planarizing photoresist and metal microstructure layers
US5679585A (en) * 1996-11-15 1997-10-21 Advanced Micro Devices, Inc. Method for forming metal silicide on a semiconductor surface with minimal effect on pre-existing implants
US5880026A (en) * 1996-12-23 1999-03-09 Texas Instruments Incorporated Method for air gap formation by plasma treatment of aluminum interconnects
US5930590A (en) * 1997-08-06 1999-07-27 American Energy Services Fabrication of volcano-shaped field emitters by chemical-mechanical polishing (CMP)
US5918130A (en) * 1997-09-08 1999-06-29 Advanced Micro Devices, Inc. Transistor fabrication employing formation of silicide across source and drain regions prior to formation of the gate conductor
US5951791A (en) * 1997-12-01 1999-09-14 Inco Limited Method of preparing porous nickel-aluminum structures

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100604787B1 (ko) * 1999-10-04 2006-07-31 삼성전자주식회사 원자층 적층을 이용한 금속막 형성방법
KR100795534B1 (ko) * 1999-10-15 2008-01-16 에이에스엠 인터내셔널 엔.브이. 상감법 금속화를 위한 균일한 라이닝층
US7670944B2 (en) 1999-10-15 2010-03-02 Asm International N.V. Conformal lining layers for damascene metallization
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR100408733B1 (ko) * 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
KR100443085B1 (ko) * 2001-05-01 2004-08-04 삼성전자주식회사 헥사 클로로 디실란 및 암모니아를 사용한 원자층의적층을 이용하여 실리콘을 함유하는 박막을 형성하는 방법
KR100449782B1 (ko) * 2001-07-19 2004-09-22 삼성전자주식회사 원자층 적층 방법과 이를 이용한 박막 적층 방법 및금속층 적층 방법
KR100474072B1 (ko) * 2002-09-17 2005-03-10 주식회사 하이닉스반도체 귀금속 박막의 형성 방법

Also Published As

Publication number Publication date
US6174809B1 (en) 2001-01-16
KR100269328B1 (ko) 2000-10-16
JPH11238698A (ja) 1999-08-31
JP3914647B2 (ja) 2007-05-16

Similar Documents

Publication Publication Date Title
KR100269328B1 (ko) 원자층 증착 공정을 이용하는 도전층 형성방법
JP7485736B2 (ja) 3d nandデバイス用の誘電体材料上におけるモリブデンを含有する低抵抗膜の成膜
CN1128465C (zh) 利用原子层淀积工艺形成导电层的方法
KR102209817B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
US9048183B2 (en) NMOS metal gate materials, manufacturing methods, and equipment using CVD and ALD processes with metal based precursors
US9159571B2 (en) Tungsten deposition process using germanium-containing reducing agent
KR100304714B1 (ko) 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6902763B1 (en) Method for depositing nanolaminate thin films on sensitive surfaces
US7749871B2 (en) Method for depositing nanolaminate thin films on sensitive surfaces
CN106471154B (zh) 含氟导电膜
US20060208215A1 (en) Method for hafnium nitride deposition
CN108475636A (zh) 使用五取代的二硅烷气相沉积含硅膜
US20090081868A1 (en) Vapor deposition processes for tantalum carbide nitride materials
CN106591800A (zh) 用于栅极介电质的原子层沉积的实施方法
US7989339B2 (en) Vapor deposition processes for tantalum carbide nitride materials
JP2003524888A (ja) 感受性表面上にナノラミネート薄膜を堆積するための方法
TWI842872B (zh) 層形成方法及設備
KR20180058123A (ko) 텅스텐 박막 증착 방법
JP2021522408A (ja) ホウ素核形成層を利用した低温モリブデン膜堆積
WO2023230170A1 (en) Hybrid atomic layer deposition
TW202041702A (zh) 層形成方法及設備
KR20200073452A (ko) 저온 실리콘 절연막 증착 방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080701

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee