KR102209817B1 - 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들 - Google Patents

유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들 Download PDF

Info

Publication number
KR102209817B1
KR102209817B1 KR1020140003642A KR20140003642A KR102209817B1 KR 102209817 B1 KR102209817 B1 KR 102209817B1 KR 1020140003642 A KR1020140003642 A KR 1020140003642A KR 20140003642 A KR20140003642 A KR 20140003642A KR 102209817 B1 KR102209817 B1 KR 102209817B1
Authority
KR
South Korea
Prior art keywords
transfer agent
silicon
processing chamber
precursor
sic
Prior art date
Application number
KR1020140003642A
Other languages
English (en)
Other versions
KR20140090964A (ko
Inventor
애드리언 라보이
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20140090964A publication Critical patent/KR20140090964A/ko
Priority to KR1020210010430A priority Critical patent/KR102357418B1/ko
Application granted granted Critical
Publication of KR102209817B1 publication Critical patent/KR102209817B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

여기에 기재된 것은, 반도체 기판들의 표면들 상에 SiC/SiCN 막 층들을 형성하는 방법들이다. 방법들은, 실리콘-함유 막-전구체 및 유기금속 리간드 전달제를 프로세싱 챔버로 도입하는 단계, 조건들 하에서 반도체 기판의 표면 상으로 실리콘-함유 막-전구체, 유기금속 리간드 전달제, 또는 그 양자를 흡착시키는 단계로서, 그들 중 하나 또는 양자는 흡착-제한된 층을 형성하는, 흡착시키는 단계, 및 그들 중 하나 또는 양자가 흡착-제한된 층을 형성한 이후, 유기금속 리간드 전달제와 실리콘-함유 막-전구체를 반응시키는 단계를 포함할 수도 있다. 반응은 막 층의 형성을 초래한다. 몇몇 실시형태들에서, 유기금속 리간드 전달제의 실질적으로 모든 금속을 함유하는 부산물이 또한 형성되며, 방법들은 프로세싱 챔버로부터 부산물을 제거하는 단계를 더 포함할 수도 있다. 또한 여기에 기재된 것은, SiC/SiCN 막 층들을 형성하기 위한 반도체 프로세싱 장치들이다.

Description

유기금속 공-반응물들과의 교차-복분해 반응들을 통해 SIC 및 SICN 막들을 증착시키기 위한 장치들 및 방법들{APPARATUSES AND METHODS FOR DEPOSITING SIC AND SICN FILMS VIA CROSS-METATHESIS REACTIONS WITH ORGANOMETALLIC CO-REACTANTS}
반도체 디바이스들의 다양한 박막층들은 원자층 증착 (ALD) 프로세스들을 이용하여 증착될 수도 있다. 그러나, 몇몇 ALD 프로세스들은 암모늄 염화물과 같은 원치않는 부산물들을 생성한다. 몇몇 ALD 프로세스들은 원하는 막을 증착하는데 너무 시간-소비적이다. 이것은 종종, SiC 및 SiCN으로서 각각 일반적으로 식별되는 실리콘 탄화물들 및/또는 질소 함유 실리콘 탄화물들의 막들을 형성하는 경우이다. 이들 접근법들 중 몇몇은, 웨이퍼 표면 상의 막 전구체들의 완전한 반응을 보장하기 위해, 긴 투여 (dosing) 시간들을 이용한다. 그러나, 연장된 투여 시간들은 막 핵형성 페이즈 (phase) 들동안 값비싼 전구체를 낭비할 수도 있다. 또한, 프로세싱 시간을 연장하는 부가적인 효과는 프로세스 툴 스루풋을 감소시킬 수도 있으며, 생산 라인을 지원하기 위한 부가적인 프로세스 툴들의 인스톨 및 유지보수를 요구한다. 한편, 종래의 접근법들, 즉 전술한 ALD 프로세스들에 의해 생성된 실리콘 탄화물 및 질화물 막들은, 부적절한 디바이스 성능을 제공하는 물리적, 화학적, 또는 전기적 특징들을 가질 수도 있다. 따라서, 집적 회로의 제조 시의 잠재적인 개선들은, SiC 및 SiCN 막들을 증착하고, 특히 개선된 ALD 타입 프로세스들을 통해 그러한 막들을 증착하기 위한 개선된 방법들 및 장치들의 개발을 통해 실현될 수도 있다.
여기에 기재된 것은, 반도체 기판들의 표면들 상에 SiC/SiCN 막 층들을 형성하는 방법들이다. 방법들은, 실리콘-함유 막-전구체 및 유기금속 리간드 전달제를 프로세싱 챔버로 도입하는 단계, 조건들 하에서 반도체 기판의 표면 상으로 실리콘-함유 막-전구체, 유기금속 리간드 전달제, 또는 그 양자를 흡착시키는 단계로서, 그들 중 하나 또는 양자는 흡착-제한된 층을 형성하는, 흡착시키는 단계, 및 그들 중 하나 또는 양자가 흡착-제한된 층을 형성한 이후, 유기금속 리간드 전달제와 실리콘-함유 막-전구체를 반응시키는 단계를 포함할 수도 있다. 반응은 막 층의 형성을 초래한다. 몇몇 실시형태들에서, 유기금속 리간드 전달제의 실질적으로 모든 금속을 함유하는 부산물이 또한 형성되며, 방법들은 프로세싱 챔버로부터 부산물을 제거하는 단계를 더 포함할 수도 있다. 몇몇 실시형태들에서, 실리콘-함유 막-전구체는, 유기금속 리간드 전달제를 프로세싱 챔버로 도입하기 전에 흡착-제한된 층을 형성한다. 다른 실시형태들에서, 유기금속 리간드 전달제는, 실리콘-함유 막-전구체를 프로세싱 챔버로 도입하기 전에 흡착-제한된 층을 형성한다. 몇몇 실시형태들에서, SiC/SiCN 막 층은 컨포멀 (conformal) 막 층이며, 특정한 그러한 실시형태들에서, 막이 형성되는 기판의 표면은 패터닝된 3차원 피쳐들을 갖는다.
몇몇 실시형태들에서, 유기금속 리간드 전달제의 금속은 아연, 알루미늄, 및 망간으로부터 선택된다. 몇몇 실시형태들에서, 유기금속 리간드 전달제는 할로겐 원자를 함유하지 않는다. 몇몇 실시형태들에서, 유기금속 리간드 전달제는 금속 알킬 화합물이며, 특정한 그러한 실시형태들에서, 금속 알킬 화합물의 알킬기들은 메틸, 에틸, 프로필, 및 t-부틸 기능기들로부터 선택된다. 몇몇 실시형태들에서, 유기금속 리간드 전달제는 금속 알킬아민 화합물이다.
몇몇 실시형태들에서, 실리콘-함유 막-전구체는, 할로겐 원자를 함유하지 않는 실란이다. 몇몇 실시형태들에서, 실란은 메틸, 에틸, 프로필, 및 t-부틸 기능기들로부터 선택된 알킬기를 포함하고, 몇몇 실시형태들에서, 실란은 메틸, 에틸, 프로필, 및 t-부틸 기능기들로부터 선택된 2개의 알킬기들을 포함한다. 몇몇 실시형태들에서, 실란은 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란, 트리메틸실란, 디에틸실란, 디-t-부틸실란, 알릴실란, 2차-부틸실란, 세릴실란 (thexylsilane), 이소아밀실란, t-부틸디실란, 및 디-t-부틸디실란으로부터 선택된다. 몇몇 실시형태들에서, 실란은 제 1 아민기를 포함하고, 특정한 그러한 실시형태들에서, 제 1 아민기는 제 1 알킬기로 치환된다 (substitute). 몇몇 실시형태들에서, 실란은 제 2 알킬기로 치환되는 제 2 아민기를 더 포함한다. 실시형태들에 의존하여, 제 1 알킬기는 메틸, 에틸, 프로필, 및 t-부틸 기능기들로부터 선택될 수도 있고, 제 2 알킬기는 메틸, 에틸, 프로필, 및 t-부틸 기능기들로부터 선택될 수도 있다. 몇몇 실시형태들에서, 실란은 모노-아미노실란, 디-아미노실란, 트리-아미노실란, 테트라-아미노실란, t-부틸아미노실란, 메틸아미노실란, t-부틸실란아민, n-터트-부틸트리메틸실릴아민, t-부틸 시릴카바메이트, SiHCH3(N(CH3)2)2, SiH(N(CH3)2)3, SiHCl(N(CH3)2)2, Si(CH3)2(NH2)2, N(SiH3)3, 및 (NR)2Si(CH3)2 로부터 선택되며, 여기서, R은 H, Me, Et, i-Pr, n-Pr, 및 t-부틸로부터 선택된다.
또한 여기에 기재된 것은, 반도체 기판들의 표면들 상에 SiC/SiCN 막 층들을 형성하기 위한 반도체 프로세싱 장치들이다. 몇몇 실시형태들에서, 장치들은, 챔버 내에 기판 홀더를 갖는 프로세싱 챔버, 프로세싱 챔버에 유동적으로 (fluidically) 접속된 제 전달 시스템, 하나 이상의 진공 펌프들, 하나 이상의 진공 펌프들에 프로세싱 챔버를 유체이동되게 연결시키는 하나 이상의 가스 유출구들, 및 머신 판독가능 코드를 포함하는 시스템 제어기를 포함할 수도 있다. 실시형태에 의존하여, 머신 판독가능 코드는, 실리콘-함유 막-전구체가 조건들 하에서 기판의 표면 상으로 흡착되도록 실리콘-함유 막-전구체를 프로세싱 챔버로 도입하기 위해 제 전달 시스템을 동작시키기 위한 명령들을 포함할 수도 있으며, 그에 의해, 실리콘-함유 막-전구체는 흡착-제한된 층을 형성한다. 머신 판독가능 코드는, 리간드 전달제의 실질적으로 모든 금속을 함유하는 부산물 및 막 층을 형성하기 위해 유기금속 리간드 전달제가 흡착된 실리콘-함유 막-전구체와 반응하도록, 유기금속 리간드 전달제를 프로세싱 챔버로 도입하기 위해 제 전달 시스템을 동작시키기 위한 명령들을 더 포함할 수도 있다. 몇몇 실시형태들에서, 머신 판독가능 코드는, 프로세싱 챔버로부터 부산물을 배출시키도록 하나 이상의 가스 유출구들을 동작시키기 위한 명령들을 더 포함할 수도 있다.
도 1은 원자층 증착 (ALD) 을 통해 막 층들을 증착시키기 위한 프로세스 시퀀스를 개략적으로 도시한다.
도 2a는, 실리콘-함유 막 전구체와 유기금속 트리메틸알루미늄 사이의 교차-복분해 반응을 형성하는 SiC/SiCN 막을 개략적으로 도시한다.
도 2b는, 실리콘-함유 막 전구체와 유기금속 디메틸아연 사이의 교차-복분해 반응을 형성하는 SiC/SiCN 막을 개략적으로 도시한다.
도 3은 프로세싱 챔버, 하나의 프로세스 스테이션, 및 제 전달 시스템을 도시하는 SiC/SiCN 막들을 형성하기 위한 반도체 프로세싱 장치의 개략도이다.
도 4는, 프로세싱 챔버, 4개의 프로세스 스테이션들, 인바운드 로드 록 (inbound load lock), 아웃바운드 (outbound) 로드 록, 반도체 기판들을 로딩하기 위한 로봇, 반도체 기판 핸들링 시스템, 및 시스템 제어기를 갖는 SiC/SiCN 막들을 형성하기 위한 반도체 프로세싱 장치의 개략도이다.
일반적으로, 화학 반응은, 그것이 아래의 타입을 가지면, 복분해 (metathesis) 반응, 또는 교환 반응, 또는 더블 교체 반응으로서 지칭될 수도 있으며,
A-B + C-D → A-D + C-B 수학식 1)
여기서, 2개의 반응 화학 종의 일부들은 장소들을 바꾼다 (swap). 예를 들어, 구체적인 예는,
AgNO3 + HCl HNO3 + AgCl
와 같으며, 여기서, 반응 이후, Cl 기능기는 Ag 원자에 본래 부착된 NO3 기능기와 장소들을 바꾼다. 몇몇 경우들에서, 이들 종류들의 화학 반응들은 (결합 파트너들의 바꿈을 수반함) 반도체 디바이스 제조에서 막들을 증착시키는데 유용할 수도 있다. 하나의 그러한 애플리케이션은 SiC 및/또는 SiCN 막들의 증착이다. 몇몇 경우들에서, 복분해 반응들을 통해 증착된 SiC 및/또는 SiCN의 막들은, 공칭 1X nm 메모리 및 16/12 nm 생성 로직 노드들에 대해 스텝-커버리지, 충진, 결함, 신뢰도, 및 전기적 요건들을 충족시킬 수 있다. 몇몇 경우들에서, 이들 막들은 400℃ 아래의 온도에서 유리하게 증착될 수도 있으며, 이러한 바는 그의 열적 버짓 (budget) 제한치들을 초과하여 반도체 기판에 대해 열적인 요구를 하지 않는 증착 프로세스에서는 중요하다.
본 발명의 포커스인 방법들 이외에 SiC 및 SiCN을 증착시키는 방법들이 존재하지만, 이들 접근법들은 통상적으로, 다양한 문제점들 및 도전점들을 수반한다. 예를 들어, 디클로실란 및 암모니아의 반응을 통한 SiCN의 컨포멀 막 증착 (CFD) 은 암모늄 염화물 부산물의 형성으로부터 손해를 입는다. 이러한 염은 높은 분해 온도를 가지며, 혹독한 프로세스 챔버 및 배기 라인 오염으로 이어질 수 있다. SiCN의 막들은 또한, 디클로실란 및 t-부틸아민의 반응을 통하여 CFD를 통해 형성될 수도 있다. 그러나, 이러한 방법은, 값비싼 t-부틸아민 전구체를 이용한 과투여 (overdosing) 의 필요성으로 인해, 및 또한, 이러한 반응의 시간-소모적인 특성으로부터 초래하는 제한된 웨이퍼 스루풋으로 인해 비용-효율적이지 않고, 8 내지 10의 웨이퍼들/시간의 스루풋이 100Å의 두께의 SiCN 막들을 증착시키는데 통상적이다. 또한, 디클로실란 전구체는, 기판 프로세싱 장치의 다양한 컴포넌트들에 부식성일 수도 있는 할로겐화물 화합물이며, 이러한 증착 프로세스가 475℃에서 동작하므로, 그것은 통상적으로 BEOL 프로세싱에 대한 일반적인 열 버짓을 초과한다. 다른 옵션은, 잠재적으로 부식성인 할로겐화물 화합물을 사용하는 것 대신 트리실릴아민과 t-부틸아민을 반응시키는 것이지만, 또한 t-부틸아민은 값비싸며, 불량한 스루풋 시간들의 문제가 남아있다.
전술한 반응들에 의해 요구되는 높은-온도 조건들 뿐만 아니라 그들의 낮은 스루풋은, 이들 프로세스들을 통한 SiC 및 SiCN 막들의 형성이 운동학적으로 (kinetically) 우세하지 않는다는 표시를 제공한다. 따라서, 엄밀히 말하면 이들 반응들의 열역학이 막 형성을 일으키지만, 구동력은 아마도 작을 것이며, 이러한 우세하지 않은 운동 인자들, 즉 예를 들어, 이들 열역학 구동력들에 비해 큰 활성화 에너지를 갖는 반응물들과 생성물들 사이의 천이 상태를 극복하는데 실질적으로 충분치 않다. 따라서, 해결해야 되는 것은, 더 낮은 에너지의 활성화 임계치들 및 더 우세한 운동 (kinetics) 을 제공하는 반응 메커니즘이다.
운동학적으로-용이한 막-형성 증착 반응들에 대한 하나의 가능한 루트는 불안정하거나, 적어도 적당히-안정한 탄소-전달제의 사용을 통하는 것이다. 그리나드 (gringard) 제들은 탄소-전달제들의 하나의 그러한 클래스를 나타내며, 그것은 마그네슘 금속 중심을 수반하는 것을 발생시킨다. 그러나, 다른 불안정한/적당히-안정한 탄소-전달제들이 또한 이용가능하다. 예들은 상세히 후술될 바와 같이, 알루미늄 또는 아연 금속 중심들에 기초한 탄소-전달제들을 포함한다. 그러한 탄소-전달제들은, 상술된 것들보다 훨씬 더 운동학적으로 우세한 실리콘 알킬화 프로세스에서 SiC 또는 SiCN, 또는 SiC 및 SiCN 양자의 혼합물들의 막들을 형성하기 위해, 실리콘-함유 막-전구체와 반응할 수도 있다. 또한, 몇몇 실시형태들에서, 이들 합성 방법들은, (1) 값비싸지 않고 용이하게 이용가능하고, (2) 400℃ 이하의 온도에서 휘발성이어서 그에 따라 화학 기상 증착 (CVD) 또는 원자층 증착 (ALD) 을 통한 전달 및 증착을 받아들이기 쉬우며, 그리고 (3) 할로겐-프리 (halogen-free) 여서, 할로겐화된 반응물들 및/또는 반응 부산물들 (예를 들어, 암모늄 염화물) 의 부식성 및 독성에 대한 염려를 제거하는 반응물들을 이용한다.
따라서, 여기에 기재된 것은, 다양한 막 전구체들 사이에서 복분해 반응들을 이용하여 SiC 및 SiCN 막들을 증착시키기 위한 방법들 및 장치들이다. 몇몇 실시형태들에서, 실리콘-함유 전구체는 실리콘-함유 막을 형성하기 위해 유기금속 리간드 전달제와 반응된다. 유기금속 리간드 전달제를 통해 전달될 수도 있는 리간드들의 예들은, 하나 이상의 알킬, 페닐, 아민, 아미드, 및/또는 할로겐화물 기능기들, 또는 이들의 조합들을 갖는 리간드들을 포함한다. 특정한 그러한 실시형태들에서, SiC 또는 SiCN의 막 또는 실질적인 양들의 그 양자를 갖는 막은, 트리메틸알루미늄 또는 디에틸아연 중 어느 하나와 실리콘-함유 전구체의 반응을 통해 형성된다.
또한, 상이한 타입들의 증착 프로세스들이 복분해 반응들을 통해 SiC 및 SiCN 막들을 형성하는데 사용될 수도 있다. 몇몇 실시형태들에서, 막들은 화학 기상 증착 (CVD) 에 의해 증착될 수도 있으며, 여기서, 복분해 반응은 가스상으로에서 발생한다. 그러나, 몇몇 실시형태들에서, 복분해 반응은, 막 전구체들 중 적어도 하나가 기판의 표면 상으로 흡착된 이후 발생하는 표면-매개된 (surface-mediated) 반응일 수도 있다. 예를 들어, 본 발명의 다음 섹션에서 설명되는 원자층 증착 (ALD) 프로세스들은 SiC 및 SiCN 막들을 증착시키는데 이용될 수도 있다. 몇몇 실시형태들에서, 그러한 ALD 프로세스는, 조건들 하에서 기판 표면 상으로의 실리콘-함유 막 전구체의 흡착을 수반할 수도 있으며, 그에 의해, 실리콘-함유 막 전구체는 흡착-제한된 층을 형성하고, 그 후, 후속하여, SiC 및/또는 SiCN 막 층을 형성하기 위해 유기금속 리간드 전달제와 흡착된 실리콘-함유 막 전구체를 반응시킨다. 몇몇 실시형태들에서, 그와 같이 형성된 SiC 및/또는 SiCN 막 층은, 예를 들어, 패터닝된 3차원 피쳐들을 갖는 반도체 기판의 표면에 놓이는데 사용될 수도 있는 컨포멀 막 층이다. 몇몇 그러한 막-형성 반응들은 또한, 유기금속 리간드 전달제에 의해 프로세싱 챔버로 본래에 제공되는 실질적으로 모든 금속 원자들을 함유하는 부산물의 형성을 초래한다. 몇몇 경우들에서, 프로세싱 챔버로부터 부산물을 후속하여 제거하는 것이 유리하다.
원자층 증착 프로세스들 및 장치들
반도체 디바이스들의 제조는 통상적으로, 집적 제조 프로세스에서 비-평평한 기판 상에 하나 이상의 박막들을 증착시키는 것을 수반한다. 집적 프로세스의 몇몇 양태들에서, 그것은, 기판 토포그래피에 따르는 박막들을 증착시키는데 유용할 수도 있다. 예를 들어, SiC/SiCN 막은, 가볍게-도핑된 (lightly-doped) 소스 및 드레인 영역들을 후속 이온 주입 프로세스들로부터 보호하기 위한 스페이서 층으로서 작동하도록, 상승된 게이트 스택의 상단 상에 증착될 수도 있다.
스페이서 층 증착 프로세스들에서, 화학 기상 증착 (CVD) 은 비-평평한 기판 상에 실리콘 질화물 막을 형성하는데 사용될 수도 있으며, 그 후, 기판은 스페이서 구조를 형성하도록 이방성 에칭된다. 그러나, 게이트 스택들 사이의 거리가 감소함에 따라, CVD 가스상으로 반응들의 물질 이동제한들은 "브레드-덩어리 (bread loafing)" 증착 효과들을 초래할 수도 있다. 그러한 효과들은 통상적으로, 게이트 스택들의 상단 표면들에서의 더 두꺼운 증착 및 게이트 스택들의 바닥 코너들에서의 더 얇은 증착을 나타낸다. 추가적으로, 몇몇 다이가 상이한 디바이스 밀도의 영역들을 가질 수도 있기 때문에, 웨이퍼 표면에 걸친 물질 이동 효과들은 다이-내 및 웨이퍼-내 막 두께 변화를 초래할 수도 있다. 이들 두께 변화들은, 몇몇 영역들의 오버-에칭 및 다른 영역들의 언더-에칭을 초래할 수도 있다. 이는 디바이스 성능 및/또는 다이 수율을 열화시킬 수도 있다.
이들 이슈들을 해결하기 위한 몇몇 접근법들은 원자층 증착 (ALD) 을 수반한다. CVD 프로세스와는 대조적으로, 열적으로 활성화된 가스상으로 반응들이 막들을 증착시키는데 사용되는 경우, ALD 프로세스들은 층 기반으로 막들을 증착시키는 표면-매개된 증착 반응들을 사용한다. 일 예시적인 ALD 프로세스에서, 표면 활성 사이트들의 집단을 포함하는 기판 표면은, 실리콘-함유 막 전구체와 같은 제 1 막 전구체 (P1) 의 가스상으로 분포에 노출된다. P1의 몇몇 분자들은, P1의 화학흡착된 종 및 물리흡착된 분자들을 포함하는 기판 표면 상단에 응축된 페이즈를 형성할 수도 있다. 그 후, 반응 챔버는, 화학흡착된 종만이 남아 있도록, 가스상으로 및 물리흡착된 P1를 제거하기 위해 배기된다. 그 후, 예를 들어, 유기금속 리간드 전달제와 같은 제 2 막 전구체 (P2) 는, P2의 몇몇 분자들이 기판 표면에 흡착되도록 프로세싱 챔버에 도입된다. 프로세싱 챔버는 다시 배기될 수도 있으며, 이 때 언바운드 (unbound) P2를 제거한다. 후속하여, 열 에너지, 또는 몇몇 실시형태들에서는 플라즈마로부터의 에너지는, P1 및 P2의 흡착된 분자들 사이의 표면 반응들을 활성화시키기 위해 기판에 제공되며, 막 층을 형성한다. 막-형성 반응 이후, ALD 사이클을 완료하기 위해, 프로세싱 챔버는, 유기금속 리간드 전달제의 금속 원자들을 함유할 수도 있는 부산물들과 같은 반응 부산물들을 제거하도록, 및 가급적 미반응된 P1 및 P2를 제거하도록 배기될 수도 있다. 부가적인 ALD 사이클들은 막 두께를 구축하도록 포함될 수도 있다. 몇몇 실시형태들에서, P2는 기판 표면 상으로 그 자체가 흡착되지 않으면서, 흡착된 P1과 반응할 수도 있다. 그러한 반응은 여전히, 표면-조정될 수도 있거나, P1의 흡착, 및 반응이 기판 표면 상에서 또는 적어도 기판 표면에 인접하여 P2 발생한다는 사실로 인해 흡착-제한되는 것으로 고려될 수도 있다. 예를 들어, 몇몇 실시형태들에서, 실리콘-함유 막 전구체는 기판의 표면 상으로 흡착될 수도 있고, 미흡착된 전구체는 프로세싱 챔버로부터 제거될 수도 있으며, 유기금속 리간드 전달제는, 리간드 전달제가 먼저 기판 표면 상으로 흡착되지 않으면서, 흡착된 실리콘-함유 막 전구체와 반응하도록 프로세싱 챔버로 도입될 수도 있다. 몇몇 실시형태들에서, 리간드 전달제가 먼저 도입될 수도 있고, 기판 표면 상으로 흡착될 수도 있으며, 미흡착된 리간드 전달제가 프로세싱 챔버로부터 제거된 이후, 실리콘-함유 막 전구체가 엄밀히 말해 반응 전에 기판 표면 상으로 흡착되지 않으면서, 실리콘-함유 막 전구체가 도입되고, 흡착된 리간드 전달제와 반응될 수도 있다. 그러나, 어느 반응성 종이 흡착 제한된 층을 형성하는지에 관계없이 (또는 2 개 이상의 종들이 그러할지라도), 동일한 종의 몇몇 나머지 미흡착된 양의 존재가, 프로세싱 챔버로부터 제거되지 않으면, 막 형성을 향해 기여하는 특정한 양의 기생 (parasitic) CVD-타입 프로세스를 종종 초래할 것임을 유의해야 한다. 엄밀히 말하자면, 그러한 프로세스는, 즉 기생 CVD-타입 성분이 프로세싱 챔버에서 발생하는 모든 막 형성 화학적 반응들의 전체 중에서 유의미한 비율을 나타내면, 하이브리드 ALD/CVD 프로세스로서 지칭될 수도 있다. 그럼에도, 본 발명에서, "ALD 프로세스", "ALD-타입 반응 프로세스", "ALD 막-형성 반응/프로세스" 등과 같은 어구들은, 순수한 ALD 프로세스 뿐만 아니라, 주 ALD 성분 및 이에 부가된 기생 CVD 성분으로서 설명될 수 있는 프로세스들 (또는 이러한 측면에 있어서, 주 ALD 프로세스 및 이에 부가되면서 이 주 ALD 프로세스보다 낮은 정도로 발생하는 다른 타입의 보조 증착 프로세스) 을 지칭하는 것으로 가정된다.
전구체 투여 단계들의 노출 시간 및 전구체들의 점착 계수들에 의존하여, 각각의 ALD 사이클은, 예를 들어, 약 1/2 내지 3Å 사이의 두께를 갖는 막 층을 증착할 수도 있다. 따라서, ALD 프로세스들은, 몇몇 나노미터의 두께를 초과하는 막들을 증착할 경우 시간 소모적일 수도 있다. 또한, 몇몇 전구체들은, 적절한 컨포멀 막을 증착하기 위해 긴 노출 시간을 요구할 수도 있으며, 이는 또한, 기판 스루풋 시간을 증가시킬 수도 있다.
컨포멀 막들은 또한, 평평한 기판들 상에 증착될 수도 있다. 예를 들어, 리소그래피 패터닝 애플리케이션들에 대한 반사방지 층들은 교번하는 막 타입들을 포함하는 평평한 스택들로부터 형성될 수도 있다. 그러한 반사방지 층들은, 대략 100 내지 1000Å의 두께일 수도 있어서, ALD 프로세스들이 CVD 프로세스들보다 덜 매력적이게 한다. 그러나, 그러한 반사-방지 층들은 또한, 많은 CVD 프로세스들이 제공할 수 있는 것보다 웨이퍼-내 두께 변화에 대해 더 낮은 허용도를 가질 수도 있다. 예를 들어, 600Å 두께의 반사방지층은 3Å 미만의 두께 편차를 허용할 수 있다.
따라서, 비-평평한 또는 평평한 기판들 또는 그 양자 상에 원자층 증착 (ALD) 을 통하여 SiC/SiCN 막들을 형성하기 위한 다양한 프로세스들 및 장치들이 여기에 기재된다. 몇몇 실시형태들에서, ALD 프로세스들 및/또는 장치들은 플라즈마-활성화 단계를 이용할 수도 있지만, 다른 실시형태들에서, 플라즈마-활성화는 막-형성 반응 진행을 위해서 필요하지 않을 수도 있다. 유사하게, 다양한 다른 가능한 특징들이 실시형태에 의존하여, SiC/SiCN 막들을 증착하기 위해 사용된 특정한 ALD 프로세스 및/또는 장치에서 이용될 수도 있거나 이용되지 않을 수도 있다. 이들 특징들 중에는, (1) 프로세싱 챔버로부터 막-전구체들/반응물들 중 하나 또는 그 양자를 "스윕 (sweep)" 하는데 요구되는 시간을 제거 또는 감소시키는 것, (2) 상이한 막-전구체/반응물이 단속적으로 프로세싱 챔버로 흐르게 되는 동안 적어도 하나의 막-전구체/반응물의 연속적인 흐름을 제공하는 것, (3) 모든 막-전구체들/반응물들이 프로세싱 챔버로부터 제거 (clear) 된 때가 아니라, 막-전구체들/반응물들 중 하나가 가스상으로 존재하는 동안 플라즈마를 점화시키는 것, (4) 막들의 특성들을 수정하기 위해 플라즈마를 이용하여 증착된 ALD 막들을 처리하는 것, (5) 통상적으로 동일한 프로세싱 챔버에서 ALD에 의해 막의 제 1 부분을 증착한 이후, 플라즈마-강화된 화학 기상 증착 (PECVD) 에 의해 막의 일부를 증착하는 것, (6) ALD 스테이지들 또는 사이클들 사이에서 부분적으로 증착된 막을 에칭하는 것, 및 (7) 막만을 증착하는 사이클들 간에 도펀트 전달 사이클들을 개재 (intersperse) 함으로써 ALD 막을 도핑하는 것이 있다. 물론, 이러한 리스트는 포괄적이지 않으며, 다양한 다른 가능한 ALD 특징들이 본 발명의 다양한 부분들로부터 명백할 것이다.
더 심도 있게 전술한 7개의 ALD 특징들을 탐구하기 전에, ALD "사이클" 의 개념을 검토 및 이해하는 것이 유용하다. 일반적으로, 단일 ALD 사이클은, 1 회 기판 표면 증착 반응을 수행하는 요구되는 동작들의 최소 세트이다. 하나의 ALD 사이클의 결과는, 기판 표면 상에서의 적어도 부분적인 막 층의 생성이다. 통상적으로, ALD 사이클은, 하나 이상의 반응물들을 기판 표면으로 전달 및 흡착시키고, 그 후, 흡착된 반응물(들)을 반응시켜 막의 적어도 부분적인 층을 형성하는데 필요한 단계들만을 포함할 것이다. 물론, ALD 사이클은, 프로세싱 챔버로부터 반응물들 또는 부산물들 중 하나 이상을 스윕하는 것, 증착 시 (as-deposited) 막 (또는 부분적인 막) 의 증착-후 처리 등과 같은 특정한 보조적인 단계들을 포함할 수도 있다. 일반적으로, ALD 사이클은 동작들의 고유한 시퀀스의 일 인스턴스 (instance) 만을 함유한다. 일 예로서, 사이클은 다음의 동작들: (i) 막-전구체/반응물 A의 전달/흡착, (ii) 막-전구체/반응물 B의 전달/흡착, (iii) 프로세싱 챔버로부터 B를 스윕, 및 (iv) 층 상에 적어도 부분적인 막 층을 형성하기 위해 A 및 B의 표면 반응을 이끌도록 플라즈마 또는 열 에너지를 인가하는 것을 포함할 수도 있다.
7개의 상술된 특징들이 이제 더 상세히 설명될 것이다. 후속하는 것에서, ALD 반응은, 막-전구체/반응물이 표면 상으로 흡착되며, 반응의 시작 전에 흡착될 수도 있거나 흡착되지 않을 수도 있는 다른 막-전구체/반응물과 반응된 이후, 막 (또는 부분적인 막) 이 기판 표면 상에 형성되는 반응으로서 고려될 것이다. 실시형태에 의존하여, 플라즈마는, 전술된 표면-매개된 반응을 시작하고 및/또는 구동시키는데 사용될 수도 있거나 사용되지 않을 수도 있다. 몇몇 실시형태에서, ALD 막-형성 반응은 열적으로 구동될 수도 있다.
특성 1 (반응물의 연속적인 흐름): 반응물이 기초적인 ALD에서는 정상적으로는 흐르지 않을 때인, ALD 사이클의 하나 이상의 부분들 동안 프로세싱 챔버로 계속 흐른다. 이러한 기초적인 ALD에서는, 반응물 A는, 기판 표면 상으로 반응물이 흡착되게 하는 목적을 위해서만 흐른다. ALD 사이클의 다른 페이즈들에서, 반응물 A는 흐르지 않는다. 그러나, 여기에 설명된 특정한 ALD 실시형태들에 따르면, 반응물 A는 그의 흡착과 연관된 페이즈들 동안 뿐만 아니라 A의 흡착 이외의 동작들을 수행하는 ALD 사이클의 페이즈들 동안에도 흐른다. 예를 들어, 많은 실시형태들에서, 반응물 A는, 장치가 제 2 반응물 (여기서 반응물 B) 을 투여하고 있는 동안, 프로세싱 챔버로 흐른다. 따라서, ALD 사이클의 적어도 일부 동안, 반응물들 A 및 B가 가스상으로 공존한다. 추가적으로, 반응물 A는, 기판 표면에서 반응을 이끌어 내기 위해 플라즈마가 적용되는 동안 흐를 수도 있다. 연속적으로 흐르는 반응물이 캐리어 가스, 즉 아르곤, 질소 등과 함께 반응 챔버로 전달될 수도 있음을 유의한다. 연속적인 흐름 실시형태의 하나의 이점은, 흐름을 턴 온 및 오프하는 것과 연관된 일시적인 초기화 및 안정화에 의해 야기되는 지연들 및 흐름 변화들을 설정된 흐름이 회피한다는 것이다.
특정한 예로서, 비스(터트-부틸아미노)실란 (BTBAS) 와 같은 주요 반응물 ("고체 컴포넌트" 전구체 또는 이러한 예에서는 간단히 "반응물 B" 로서 종종 지칭되는, 기판 표면 상으로 흡착된 반응물) 을 사용하여 산화물 막이 ALD 프로세스에 의해 증착될 수도 있다. 이러한 예에서, 산화물 증착 프로세스는, 별개의 노출 페이즈들에서 주요 반응물의 전달 동안 초기에 및 연속적으로 흐르는 산소 또는 아산화질소와 같은 산화제의 전달을 수반한다. 산화제는 또한, 별개의 플라즈마 노출 페이즈들 동안 계속 흐른다. 예를 들어, 도 1에 도시된 시퀀스를 참조한다. 비교를 위해, 기초적인 ALD 프로세스에서, 산화제의 흐름은 주요 반응물의 프로세싱 챔버로의 전달 동안 중지할 것이다. 예를 들어, 반응물 A의 흐름은, 반응물 B가 전달된 경우 중지할 것이다.
몇몇 특정한 예들에서, 연속적으로 흐르는 반응물은 "보조" 반응물이다. 여기에 사용된 바와 같이, "보조" 반응물은, "주요" 반응물이 아닌 임의의 반응물이다. 위에서 제안된 바와 같이, 주요 반응물은, 실온에서 고체인 엘리먼트를 함유할 수도 있으며, 그 엘리먼트는 ALD에 의해 형성된 막에 기여된다. 그러한 엘리먼트들의 예들은 금속들 (예를 들어, 알루미늄 및 티타늄), 반도체들 (예를 들어, 실리콘 및 게르마늄), 및 비-금속들 또는 준금속들 (예를 들어, 붕소) 이다. 보조 반응물들의 예들은, 산소, 오존, 수소, 일산화탄소, 아산화질소, 암모니아, 알킬 아민들 등을 포함한다.
연속적으로 흐르는 반응물은, 일정한 유동율 또는 변하지만 제어된 유동율로 제공될 수도 있다. 후자의 경우, 일 예로서, 보조 반응물의 유동율은, 주요 반응물이 전달된 경우 노출 페이즈 동안 드롭 (drop) 될 수도 있다. 예를 들어, 산화물 증착에서, 산화제 (예를 들어, 산소 또는 아산화질소) 는 전체 증착 시퀀스 동안 연속적으로 흐를 수도 있지만, 그의 유동율은, 주요 반응물 (예를 들어, BTBAS) 이 전달된 경우 드롭될 수도 있다. 이것은 그의 투여 동안 BTBAS의 부분 압력을 증가시키며, 그에 의해, 기판 표면을 포화시키는데 필요한 노출 시간을 감소시킨다. 플라즈마를 점화시키기 직전에, 산화제의 흐름은, BTBAS가 플라즈마 노출 페이즈 동안 존재할 확률을 감소시키도록 증가될 수도 있다. 몇몇 실시형태들에서, 연속적으로 흐르는 반응물은, 2개 이상의 증착 사이클들의 과정에 걸쳐 변경된 유동율로 흐른다. 예를 들어, 반응물은 제 1 ALD 사이클 동안 제 1 유동율 및 제 2 ALD 사이클 동안 제 2 유동율로 흐를 수도 있다.
다수의 반응물들이 이용되고 그들 중 하나의 흐름이 연속적인 경우, 그들 중 적어도 2개는 ALD 사이클의 일부 동안 가스상으로 공존할 것이다. 유사하게, 퍼지 (purge) 단계가 제 1 반응물의 전달 이후 수행되지 않은 경우, 2개의 반응물들이 공존할 것이다. 따라서, 활성화 에너지의 인가의 부재 시에 가스상으로 서로 상당히 반응하지 않은 반응물들을 이용하는 것이 중요할 수도 있다. 통상적으로, 반응물은 기판 표면 상에 존재하고, 표면-매개된 반응을 개시하기 위한 플라즈마 또는 몇몇 다른 적절한 활성화 조건 또는 방법에 노출될 때까지는, 이 반응물들은 반응하지 않아야 한다. 그러한 반응물들을 선택하는 것은, 적어도, (1) 원하는 반응의 열역학적 우세성 (깁스의 프리 에너지<0), 및 (2) 반응을 위한 활성화 에너지를 고려하는 것을 수반하며, 이들은 원하는 증착 온도에서 무시가능한 반응이 존재하도록 충분히 커야 한다.
특성 2 (스윕 단계를 감소 또는 제거): 특정한 실시형태들에서, 프로세스는, 기초적인 ALD에서 정상적으로는 수행될 스윕 단계와 연관된 시간을 줄이거나 이러한 스윕 단계 없이 수행된다. 기초적인 ALD에서, 별개의 스윕 단계는, 각각의 반응물이 전달되고 기판 표면 상으로 흡착된 이후 수행된다. 흡착 또는 반응이 기초적인 ALD 스윕 단계에서 거의 발생하지 않거나 발생하지 않는다. 그러나, 몇몇 실시형태들에서, ALD 사이클은, 하나 이상의 반응물들의 전달 이후, 감소된 스윕 단계를 이용하거나 스윕 단계를 제거시킬 수도 있다. 스윕 단계가 제거되는 ALD 프로세스 시퀀스의 일 예는 도 1에 제공된다. 스윕 단계가 반응 챔버로부터 반응물 A를 스윕하도록 수행되지 않는다. 몇몇 경우들에서, ALD 사이클에서의 제 1 반응물의 전달 이후에는 스윕 단계가 수행되지는 않지만, 스윕 단계는 제 2 또는 최종 전달된 반응물의 전달 이후이는 선택사양적으로 수행된다.
ALD "스윕" 단계 또는 페이즈의 개념은, 다양한 실시형태들에 관한 본 발명의 여기서 나타난다. 일반적으로, 스윕 단계 또는 페이즈는 반응 챔버로부터 기체상 반응물들 중 하나를 제거 또는 퍼지하고, 통상적으로, 그러한 반응물의 전달이 완료된 이후에만 발생한다. 즉, 그 반응물은 스윕 페이즈 동안 반응 챔버에 더 이상 전달되지 않는다. 그러나, 반응물은 스윕 페이즈 동안 기판 표면 상에 흡착되게 유지된다. 통상적으로, 스윕은, 반응물이 원하는 레벨로 기판 표면 상에 흡착된 이후 챔버 내의 임의의 잔류 기체상 반응물을 제거하도록 기능한다. 스윕 단계 또는 페이즈는 또한, 기판 표면으로부터 약하게 흡착된 종 (예를 들어, 특정한 전구체 리간드들 또는 반응 부산물들) 을 제거할 수도 있다. 기초적인 ALD에서, 스윕 페이즈는, 표면 반응을 위한 열적 플라즈마 또는 다른 구동력과 2개의 반응물들 또는 하나의 반응물의 가스상에서의 상호작용을 방지하는데 사용된다. 일반적으로 및 여기서 달리 특정되지 않으면, 스윕 페이즈는 (i) 반응 챔버를 배기하고, 및/또는 (ii) 반응 챔버를 통해 스윕될 종을 함유하지 않는 가스를 흐르게 함으로써 달성될 수도 있다. (ii) 의 경우에서, 그러한 가스는, 예를 들어, 비활성 가스, 또는 연속적으로 흐르는 보조 반응물과 같은 보조 반응물일 수도 있다.
스윕 페이즈의 제거는 다른 반응물의 연속적인 흐름을 이용하여 또는 흐름 없이 달성될 수도 있다. 도 1에 도시된 실시형태에서, 반응물 A는, (도면의 참조 번호 (130) 에 의해 도시된) 기판 표면 상으로의 그의 흡착이 완료된 이후, 스윕되지 않고 오히려 계속 흐른다.
2개 이상의 반응물들이 이용되는 다양한 실시형태들에서, 자신에 대한 스윕 단계가 제거되거나 또는 감소된 반응물은 보조 반응물이다. 일 예로서, 보조 반응물은 (더 상세히 후술되는 바와 같은) 산화제, 또는 질소 소스, 또는 탄소 소스, 또는 하나 이상의 알킬 아민 기능기들을 함유하는 화합물과 같은 질소 및 탄소 소스일 수도 있다. 몇몇 실시형태들에서, 주요 반응물은 실리콘 함유 막-전구체일 수도 있다. 물론, 주요 반응물의 스윕은 또한 감소 또는 제거될 수도 있다. 몇몇 예들에서, 보조 반응물의 전달 이후에는 스윕 단계가 수행되지 않지만, 스윕 단계는 주요 반응물의 전달 이후에는 선택사양적으로 수행된다.
언급된 바와 같이, 스윕 페이즈는 완전히 제거될 필요는 없지만, 기초적인 ALD 프로세스들에서의 스윕 페이즈들과 비교하여서 그 지속 시간이 단지 감소된다. 예를 들어, 특정한 실시형태의 ALD 사이클들 동안 보조 반응물과 같은 반응물의 스윕 페이즈는, 약 0.2초 이하 동안, 예를 들어, 약 0.001 내지 0.1초 동안 수행될 수도 있다.
특성 3 (반응물들 중 하나가 가스상으로 존재하는 동안 플라즈마를 점화함): 이러한 특징에 관해, 모든 반응물들이 프로세싱 챔버로부터 제거되기 전에 플라즈마가 점화된다. 이는, 기초적인 ALD 프로세스에서 발생하는 것과는 대조적인데, 이러한 기초적인 ALD 프로세스에서는 플라즈마 활성화 또는 다른 반응 구동 동작은, 기체상 반응물들이 프로세싱 챔버에서 더 이상 존재하지 않는 이후에만 제공된다. 반응물 A가 도 1에 도시된 바와 같은 ALD 사이클의 플라즈마 부분 동안 연속적으로 흐를 경우, 이러한 특징이 반드시 발생할 것임을 유의한다. 그러나, 본 실시형태들은 이러한 방식으로 제한되지 않는다. 하나 이상의 반응물들은, ALD 사이클의 플라즈마 페이즈 동안 흐를 수도 있지만, ALD 사이클 동안 연속적으로 흐를 필요는 없다. 추가적으로, 플라즈마 활성화 동안 기체상으로 존재하는 반응물은, (2개 이상의 반응물들이 ALD 사이클에서 이용되는 경우) 주요 반응물 또는 보조 반응물일 수도 있다.
예를 들어, 시퀀스는 (i) 반응물 A를 도입하고, (ii) A를 퍼지하고, (iii) 반응물 B를 도입하고, B가 흐르는 동안 플라즈마를 발생시키며, (iv) 퍼지하는 것일 수도 있다. 그러한 실시형태들에서, 프로세스는 가스상으로부터의 플라즈마 활성화된 반응 종을 이용한다. 이것은, ALD가 순차적인 단계들의 시퀀스에 제한되지 않는 일반적인 예이다.
흡착-제한된 층 (주요 반응물) 을 형성하기 위한 종이 프로세싱 챔버에 공급되는 시간에 활성화 플라즈마가 제공되면, 결과적인 스텝 커버리지는 덜 컨포멀하게 될 수도 있지만, 막의 증착 레이트는 통상적으로 증가할 것이다. 그러나, 플라즈마 활성화가 흡착-제한된 층을 형성하기 위해 사용되지 않는 종 (보조 반응물) 의 전달 동안에만 발생하면, 컨포멀러티 (conformality) 의 손실이 발생하지 않을 수도 있다. 플라즈마는 기체상 보조 컴포넌트를 활성화시켜서, 그것을 더 반응성있게 하며, 그에 의해, 컨포멀 막 증착 반응에서 그의 반응성을 증가시킨다. 특정한 실시형태들에서, 산화물, 질화물, 또는 탄화물과 같은 실리콘-함유 막을 증착하는 경우, 이러한 특성이 이용된다. 물론, 특정한 실시형태들에서, 요구되는 플라즈마 활성화 단계가 존재하지 않는다. 예를 들어, 리간드 전달제 및 실리콘-함유 전구체를 이용하는 SiC/SiCN 막들의 형성에서, 증착은 플라즈마 활성화 없이 달성될 수도 있다. 그러나, 증착된 SiC/SiCN 막들의 증착-후 플라즈마 처리는 막들의 물리적 특성들을 개선시킬 수도 있고, 또한 다른 이점들을 제공할 수도 있다. 예를 들어, 후속하는 도 4를 참조한다.
특성 4 (증착된 ALD 막들의 플라즈마 처리): 이들 실시형태들에서, 플라즈마는 원자층 증착 프로세스에서 다른 역할, 즉, 각각의 ALD 사이클 동안 막 형성 반응을 활성화 또는 이끌어 내기 위한 역할 이외의 역할을 제공할 수도 있다. 이 다른 역할은 ALD-형성된 막들의 증착-후 플라즈마 처리이다. 그러나, 실시형태에 의존하여, 증착-후 처리 자격 조건 (qualifier) 은 단지, 플라즈마가 막의 어느 부분이든 이미 증착되었던 부분을 처리하는데 사용된다는 것을 나타낸다. 예를 들어, 증착-후 플라즈마 처리들은, 2개 이상의 ALD 사이클들 사이에 개재될 수도 있다. 플라즈마 처리들은, 하나 이상의 막 특성들을 변경시키는데 사용될 수도 있다. 그의 증착이 플라즈마-활성화 단계를 수반하는 막들에 대해서, 증착-후 플라즈마 처리 시에 사용된 플라즈마는 활성화를 위해 사용되는 플라즈마와 통상적으로 상이하거나, 상이한 조건들 하에서 형성되거나, 상이한 조건들 하에서 채용되지만, 이것은 반드시 그러할 필요는 없다. 일 예로서, 증착-후 플라즈마 처리는 환원 또는 산화 분위기의 존재 시에 (예를 들어, 수소 또는 산소의 존재 시에) 수행될 수도 있지만, 이것은 ALD 반응의 플라즈마 활성화 동안 반드시 그러한 경우일 필요는 없다.
플라즈마 처리 동작은, ALD 프로세스의 매 사이클 사이에, 매 다른 사이클 사이에, 또는 어느 정도 덜 빈번하게 수행될 수도 있다. 각각의 ALD 사이클 이후 플라즈마 처리가 수행되면, 이는 ALD 사이클의 일부인 것으로, 이러한 명명을 이용할 때에 플라즈마 활성화와 플라즈마 처리 사이의 구별이 이해되는 한에 있어서, 고려될 수도 있다. 플라즈마 처리는 규치적인 간격들로 수행될 수도 있거나, 고정된 수의 ALD 사이클에 결합될 수도 있거나, 그것은 가변적으로 (예를 들어, 변하는 간격들의 ALD 사이클들로), 또는 심지어 랜덤하게 수행될 수도 있다. 통상적인 예에서, 막 증착은, 적절한 막 두께에 도달하기 위해 몇몇 ALD 사이클들 동안 수행되며, 그 후, 플라즈마 처리가 이용된다. 그 후, 막 증착은, 처리가 다시 수행되기 전에 플라즈마 처리 없이 다수의 ALD 사이클들 동안 다시 수행된다. 이러한 x 갯수의 ALD 사이클들의 슈퍼-시퀀스, 및 이에 후속하는 플라즈마 처리 (막 개질) 는, 막이 ALD에 의해 완전히 형성될 때까지 반복될 수도 있다.
특정한 실시형태들에서, 플라즈마 처리는, ALD 막이 증착되는 표면의 하나 이상의 특성들을 개질하기 위해 ALD 사이클링 (cycling) 의 시작 전에 수행될 수도 있다. 다양한 실시형태들에서, 표면은 실리콘 (도핑되거나 도핑되지 않음) 또는 실리콘-함유 재료로부터 제작된다. 개질된 표면은, 후속하여 증착된 ALD 막과의 높은 품질의 계면을 더 양호하게 생성할 수 있을 수도 있다. 계면은, 예를 들어, 양호한 부착, 예를 들어서 결함 감소로 인한 신뢰가능한 전기적 특성들 등을 제공할 수도 있다.
ALD 이전의 기판의 사전처리는 임의의 특정한 플라즈마 처리로 제한되지 않는다. 특정한 실시형태들에서, 사전-처리는, 헬륨, 수소, 아르곤, 질소, 수소/질소-형성 가스, 및/또는 암모니아의 존재 시에 수소-플라즈마, 질소-플라즈마, 질소/수소-플라즈마, 암모니아-플라즈마, 아르곤-플라즈마, 헬륨-플라즈마, 헬륨 어닐링, 수소-어닐링, 암모니아-어닐링, 및 UV-경화에 대한 노출을 수반한다. 플라즈마 프로세싱은, 마이크로파 생성기, ICP-원격 생성기, 직접형 생성기 및 당업계에 알려진 다른 것들을 포함하지만 이에 제한되지 않는 다양한 플라즈마 생성기들로 구현될 수도 있다.
전체적으로, 처리는 ALD 사이클링 이전, 동안, 및/또는 이후에 발생할 수도 있다. ALD 사이클링 동안 발생하는 경우, 처리의 빈도는 적절한 증착 조건들에 대해 선택될 수도 있다. 통상적으로, 처리는 사이클 당 일회보다 많이 발생하지 않을 것이다.
막 표면을 개질시키는데 이용되는 플라즈마 조건들은, 막 특성들 및/또는 조성에서의 원하는 변화를 달성하기 위해 선택될 수도 있다. 원하는 개질을 위해서 선택 및/또는 맞춤화될 수 있는 플라즈마 조건들 중에는, 산화 조건들, 환원 조건들, 에칭 조건들, 플라즈마를 생성하는데 사용된 전력, 플라즈마를 생성하는데 사용된 주파수, 플라즈마를 생성하기 위한 2개 이상의 주파수들의 사용, 플라즈마 밀도, 플라즈마와 기판 사이의 거리 등이 존재한다. 플라즈마 처리에 의해 개질될 수 있는 ALD 막 특성들의 예들은, 내부 막 응력, 에칭 저항, 밀도, 경도 (hardness), 광학 특성들 (반사율, 반사도, 광학 밀도 등), 유전 상수, 탄소 함유량, 전기 특성들 (Vfb 확산 등) 등을 포함한다.
예를 들어, 유기금속 전달 리간드의 실리콘-함유 전구체와의 반응을 통한 SiC/SiCN 막들의 ALD 형성은 일반적으로 플라즈마 조정/활성화를 요구하지 않는다. 많은 경우들에서, 이들 반응들은 열적으로 구동될 수도 있다. 그럼에도, 플라즈마들은 증착된 바와 같은 막들의 물리적, 화학적, 및/또는 전기적 특성들을 변화시키는데 사용될 수도 있다. 몇몇 실시형태들에서, SiC 및/또는 SiCN의 ALD 막은 플라즈마 처리를 통해 치밀화 (densify) 될 수도 있다. 막 분자들 함께의 압축 및 패킹을 통해, 증착된 막들의 전기적 특성들에 악영향을 주는 결함들 및 보이드들이 적어도 부분적으로 제거될 수도 있기 때문에, 이들 막들을 치밀화시키는 것은 유리할 수도 있다. 몇몇 실시형태들에서, 증착된 바와 같은 막의 SiC/SiCN 화학량론 (stoichiometry) 은 질소 또는 아민 플라즈마를 이용하여 튜닝될 수도 있다. 몇몇 실시형태들에서, ALD를 통해 형성된 SiC/SiCN 막의 전기적 특성들이 변경될 수도 있다. ALD SiC/SiCN 막의 (예를 들어, 그것을 조밀화시키기 위한) 플라즈마 처리는 전체 막이 증착된 이후 수행될 수도 있거나, 플라즈마 처리들은, 각각의 ALD 사이클 이후, 매 2개의 ALD 사이클들 이후, 매 3개의 ALD 사이클들 이후, 매 5개의 ALD 사이클들 이후, 매 10개의 ALD 사이클들 이후 등과 같이, ALD 사이클들 사이에 개재될 수도 있다. 몇몇 실시형태들에서, 플라즈마 처리들은, 매 5 내지 10개의 ALD 사이클들이 수행된 이후, 또는 매 2 내지 3개의 ALD 사이클들이 수행된 이후 플라즈마 처리를 수행하는 것과 같이, 특정한 범위 내의 몇몇 수의 ALD 사이클들이 수행된 이후 수행될 수도 있다. 몇몇 실시형태들에서, 플라즈마 처리는, ALD 사이클들의 이전 시퀀스가 특정한 최소 두께의 SiC/SiCN 막을 증착한 이후, 예를 들어, ALD 사이클들의 이전 시퀀스가 적어도 약 1Å의 SiC/SiCN 막, 또는 적어도 약 2Å, 또는 3, 또는 4, 또는 5, 또는 10, 또는 25, 또는 50, 또는 100, 또는 적어도 약 200Å의 SiC/SiCN 막을 증착한 이후에 ALD 사이클들 사이에 개재될 수도 있다. 몇몇 실시형태들에서, 플라즈마 처리는, 예를 들어, 약 5Å과 약 25Å 사이의 두께들의 범위, 약 50Å과 약 200Å 사이의 두께들의 범위와 같은 전술된 최소 두께들 중 임의의 2개에 의해 정의된 두께들의 범위 내의 두께를 갖는 SiC/SiCN 막을 증착한 이후, ALD 사이클들 사이에 개재될 수도 있다.
몇몇 실시형태들에서, 플라즈마 처리 이외의 처리가 증착된 바와 같은 막의 특성들을 변경시키는데 이용된다. 그러한 처리는 전자기 방사 처리들, 열 처리들 (예를 들어, 어닐링 또는 고온 펄스들) 등을 포함한다. 이들 처리들 중 임의의 처리는 단독으로 또는 플라즈마 처리를 포함하는 다른 처리와 결합하여 수행될 수도 있다. 임의의 그러한 처리는 상술된 플라즈마 처리들 중 임의의 처리에 대한 대체로서 이용될 수 있다. 특정한 실시형태에서, 처리는 자외선 방사에 막을 노출시키는 것을 수반한다. 후술되는 바와 같이, 특정한 실시형태에서, 방법은 인시츄로 (즉, 그것이 ALD를 통해 형성되고 있는 동안) ALD 막으로의 UV-방사선의 인가를 수반한다. 그러한 처리는 결함 구조를 감소 또는 제거할 수도 있고, 개선된 전기적 성능을 제공할 수도 있다.
어떤 특정한 실시형태들에서, UV 처리는 플라즈마 처리와 커플링될 수 있다. 이들 2개의 동작들은 함께 또는 순차적으로 수행될 수 있다. 순차적인 옵션에서, UV 동작은 선택적으로 먼저 발생한다. 동시 옵션에서, 2개의 처리들은 별개의 소스들 (예를 들어, 플라즈마에 대한 RF 전력 소스 및 UV에 대한 램프) 로부터, 또는 부산물로서 UV 방사선을 생성하는 헬륨 플라즈마와 같은 단일 소스로부터 제공될 수도 있다.
특성 5 (ALD에 의한 증착, 및 그 후, PECVD로 천이): 그러한 실시형태들에서, 완료된 막은 ALD에 의해 부분적으로 및 PECVD와 같은 CVD 프로세스에 의해 부분적으로 생성된다. 통상적으로, 증착 프로세스의 ALD 부분이 먼저 수행되고, PECVD 부분이 두번째로 수행되지만, 이것은 그러한 경우일 필요는 없다. 혼합된 ALD/CVD 프로세스들은 CVD 단독을 이용하여 관측된 것보다 스텝 커버리지를 개선시킬 수 있으며, 부가적으로, ALD 단독을 이용하여 관측된 것보다 증착 레이트를 개선시킬 수 있다. 몇몇 경우들에서, 하나의 ALD 반응물이 흐르는 동안, 기생 CVD 동작들을 생성하고, 그에 의해, 더 높은 증착 레이트들, 상이한 클래스의 막 등을 달성하기 위해, 플라즈마 또는 다른 활성화가 적용된다.
특정한 실시형태들에서, 2개 이상의 ALD 페이즈들이 이용될 수도 있고 및/또는 2개 이상의 CVD 페이즈들이 이용될 수도 있다. 예를 들어, 막의 초기 부분은 ALD에 의해 증착될 수도 있고, 후속하여, 막의 중간 부분은 CVD에 의해 증착되며, 막의 최종 부분은 ALD에 의해 증착된다. 그러한 실시형태들에서, ALD에 의해 막의 더 이후의 부분을 증착하기 전에 플라즈마 처리 또는 에칭에 의해서와 같이 막의 CVD 부분을 변경시키는 것이 바람직할 수도 있다.
천이 페이즈는 ALD 및 CVD 페이즈들 사이에서 이용될 수도 있다. 그러한 천이 페이즈 동안 이용된 조건들은 ALD 또는 CVD 페이즈들 중 어느 하나에서 이용된 것들과는 상이할 수도 있다. 필수적이지는 않지만 통상적으로, 조건들은 동시적인 ALD 표면 반응들 및 CVD 타입 가스상으로 반응을 허용한다. 천이 페이즈는 통상적으로, 예를 들어, 펄싱될 수도 있는 플라즈마에 대한 노출을 수반한다. 추가적으로, 천이 페이즈는 낮은 유동율, 즉, 프로세스의 대응하는 ALD 페이즈에서 이용되는 것보다 상당히 더 낮은 레이트로의 하나 이상의 반응물들의 전달을 수반할 수도 있다.
특성 6 (ALD에 의한 증착, 에칭, 및 그 후, ALD에 의한 추가적인 증착): 그러한 실시형태들에서, ALD 증착은 하나 이상의 사이클들 (통상적으로 다수의 사이클들) 동안 수행되며, 그 후, 결과적인 막은, 예를 들어, 리세스 입구 (첨단 (cusp)) 에서 또는 그 근처에서 몇몇 과도한 막을 제거하고, ALD 증착의 추가적인 사이클들이 후속한다. 유사한 방식으로 에칭될 수도 있는 증착된 막에서의 구조 피쳐들의 다른 예들이 존재한다. 이러한 프로세스에 대해 선택된 에천트는 에칭될 재료에 의존할 것이다. 몇몇 경우들에서, 에칭 동작은 플루오르 함유 에천트 (예를 들어, NF3) 또는 수소를 이용하여 수행될 수도 있다.
특정한 실시형태들에서, 원격 플라즈마가 에천트를 생성하기 위해 이용된다. 일반적으로, 원격 플라즈마는 직접적인 플라즈마보다 더 등방성 방식으로 에칭한다. 원격 플라즈마는 일반적으로, 비교적 높은 분율 (fraction) 의 라디컬들을 기판에 제공한다. 이들 라디컬들의 반응성은 리세스 내의 수직 위치에 따라 변할 수도 있다. 피쳐의 상단에서, 라디컬들은 더 집중되며, 따라서, 더 높은 레이트로 에칭할 것이지만, 리세스의 추가적인 아래 부분 및 바닥에서, 몇몇 라디컬들은 손실되고, 따라서 그들은 더 낮은 레이트로 에칭할 것이다. 이것은 물론, 리세스 개구에서 발생하는 너무 많은 증착의 문제를 해결하기 위한 바람직한 반응성 프로파일이다. 에칭 시에 원격 플라즈마를 사용하는 부가적인 이점은, 플라즈마가 비교적 온순하고, 그에 따라 기판층에 손상을 줄 가능성이 없다는 것이다. 이것은, 하부 기판층이 산화 또는 다른 손상에 민감한 경우 특히 유익할 수 있다.
특성 7 (부가적인 반응물을 이용한 막 조성의 맞춤화): 여기에 제공된 예들의 대부분은 하나 또는 2개의 반응물들을 이용하는 ALD 프로세스들에 관심이 있다. 추가적으로, 예들의 대부분은 매 ALD 사이클에서 동일한 반응물들을 이용한다. 그러나, 이것은 그러한 경우일 필요는 없다. 먼저, 많은 ALD 프로세스들은 3개 이상의 반응물들을 이용할 수도 있다. 예들은, (i) 디보란, 텅스텐 헥사플루오라이드, 및 수소를 반응물들로서 사용하는 텅스텐의 ALD, 및 (ii) 디보란, BTBAS, 및 산소를 반응물들로서 사용하는 실리콘 산화물의 ALD를 포함한다. 적절하다면, 디보란은 성장 막으로부터 제거될 수 있거나, 그것은 막으로 포함될 수 있다.
추가적으로, 몇몇 예들은 몇몇 ALD 사이클들에서만 부가적인 반응물들을 이용할 수도 있다. 그러한 예들에서, 기초적인 ALD 프로세스 사이클은 기초 막 조성 (예를 들어, SiOx, 또는 SiC, 또는 SiCN) 을 생성하기 위해 반응물들만을 이용한다. 이러한 기초적인 프로세스는 모든 또는 거의 모든 ALD 사이클들에서 수행된다. 그러나, ALD 사이클들 중 몇몇은, 여러가지 사이클들로서 실행되며, 그들은 일반적인 증착 사이클들의 조건들로부터 벗어나 있다. 예를 들어, 그들은 하나 이상의 부가적인 반응물들을 이용한다. 이들 여러가지 사이클들은 또한, 기초적인 ALD 프로세스에서 이용되는 동일한 반응물들을 이용할 수도 있지만, 이것은 그러한 경우일 필요는 없다.
그러한 ALD 프로세스들은 특히, ALD 막들로서 도핑된 산화물들 또는 다른 도핑된 재료들을 준비할 시에 유익하다. 몇몇 구현들에서, 도펀트 전구체들은, ALD 사이클들의 작은 분율에서만 "부가적인" 반응물로서 포함된다. 도펀트를 부가하는 빈도는 도펀트의 원하는 농도에 의해 표시된다. 예를 들어, 도펀트 전구체는 기초 재료 증착의 매 10번째 사이클에서 포함될 수도 있다.
많은 다른 증착 프로세스들과 달리, 몇몇 ALD 프로세스들은 비교적 낮은 온도에서 수행될 수도 있다. 일반적으로, ALD 온도는 약 20과 400℃ 사이에 있을 것이다. 그러한 온도는, 포토레지스트 코어 상에서의 증착과 같은 온도 민감 프로세스의 맥락에서 증착을 허용하도록 선택될 수도 있다. 특정한 실시형태에서, 약 20과 100℃ 사이의 온도는 (예를 들어, 포토레지스트 코어들을 사용하는) 더블 패터닝 애플리케이션에 대해 사용된다. 다른 실시형태에서, 약 200과 350℃ 사이의 온도는 메모리 제조 프로세싱을 위해 이용된다.
상기 제안된 바와 같이, ALD는 진보된 기술 노드들에서 막들을 증착하는데 매우 적합하다. 따라서, 예를 들어, ALD 프로세싱은 32nm 노드, 22nm 노드, 16nm 노드, 11nm 노드, 및 이들 중 임의의 것보다 작은 노드로의 프로세스들로 통합된다. 이들 노드들은, 많은 세월 동안 마이크로전자 기술 요건들에 대한 산업 컨센서스인 반도체들에 대한 국제 기술 로드맵 (ITRS) 에 설명되어 있다. 일반적으로, 그들은 메모리 셀의 절반 피치를 참조한다. 특정한 예에서, ALD 프로세싱은 (20 내지 29nm의 영역의 디바이스 피쳐들을 갖는) "2X" 디바이스들 및 그보다 우월한 디바이스에 적용된다.
여기에 제공된 ALD 막들의 대부분의 예들이 실리콘-계 마이크로전자 디바이스들에 관심이 있지만, 막들은 또한 다른 영역들에서 애플리케이션을 발견할 수도 있다. GaAs와 같은 비-실리콘 반도체들 및 다른 III-V 반도체들 뿐만 아니라, HgCdTe와 같은 II-VI 재료들과 같은 마이크로전자소자들 또는 광학전자소자들은 여기에 기재된 ALD 프로세스들로부터 이득을 얻을 수도 있다. 일렉트로크로믹 (electrochromic) 분야 및 다른 분야들에서 광전지 디바이스들과 같은 태양 에너지 분야에서의 컨포멀한 유전체 막들에 대한 애플리케이션들이 가능하다.
ALD 프로세스들은 임의의 다수의 상이한 타입들의 막을 증착하는데 이용될 수도 있다. 여기에 제공된 예들의 대부분이 유전체 재료들에 관심이 있지만, 기재된 ALD 프로세스들은 도전성 및 반도체 재료들의 막들을 또한 형성하는데 이용될 수도 있다. 실리콘 탄화물들, 질화물들, 및 탄소-도핑된 질화물들은 피쳐링된 유전체 재료들이다.
유기금속성 공-반응물들을 이용한 교차-복분해 반응들을 형성하는 SiC/SiCN 막
SiC/SiCN 막들, 즉 SiC, SiCN 및 SiC와 SiCN의 혼합물들을 포함하는 막들은 여기에 기재된 다양한 교차-복분해 반응들을 통해 반도체 기판 상으로 증착될 수도 있다. 교차-복분해 반응의 일반적인 특성이 상술되었다. 또한 상기 표시된 바와 같이, SiC/SiCN 막-형성 교차-복분해 반응들은 다양한 SiC/SiCN 막들을 형성하기 위해 실리콘-함유 전구체와의 유기금속 리간드 전달제의 반응을 수반할 수도 있다.
도 2a 및 도 2b는, SiC 및 SiCN 종 양자를 포함한 막의 형성을 초래하는 유기금속 리간드 전달제를 수반한 2개의 가능한 교차-복분해 반응들을 도시한다. 도 2a는, 실리콘-함유 막 전구체 BTBAS (비스-t-부틸아미노실란) (220) 와 트리메틸알루미늄 (210) 의 교차-복분해 반응 (200) 을 도시한다. 도 2b는 동일한 실리콘-함유 BTBAS 막 전구체 (220) 를 갖는 디메틸아연 (260) 의 교차-복분해 반응 (250) 을 도시한다. 양자의 교차-복분해 반응들 (200, 250) 은, SiC-타입 반응 생성물 (234), 테트라메틸실란, 및 SiCN-타입 반응 생성물 (232), 비스(3차-부틸아미노)디메틸실란을 포함한 막 (230) 의 형성을 초래한다 (도 2a 및 도 2b에서, 막 (230) 의 조성이 SiCN-타입 및 SiC-타입 반응 생성물들 (232 및 234) 을 둘러싸는 박스들에 의해 개략적으로 설계됨을 유의함).
이들 반응들 뿐만 아니라, 실리콘-함유 전구체가 아민 기능기를 소유하는 다른 유사한 반응들의 일 특징은, 결과적인 증착된 SiC/SiCN에서 SiCN 대 SiC의 화학양론비 (stoichiometric ratio) 가 튜닝가능하다는 것이다. 몇몇 실시형태들에서, 막 형성 반응들이 발생한 경우 반응 챔버에 존재하는 유기금속 리간드 전달제 및 실리콘-함유 전구체 (이러한 경우 BTBAS) 의 상대적인 양들을 조정함으로써, 증착된 막들에서 SiCN 대 SiC의 화학적 양론비를 조정하는 것이 가능하다. 몇몇 실시형태들에서, 프로세싱 챔버로의 하나 이상의 반응물들의 유동율을 제어하는 것은, SiCN 대 SiC의 양론비를 조정하기 위한 메커니즘을 제공할 수도 있다. 예를 들어, 막의 SiC 함유량은, 리간드 전달제의 프로세싱 챔버로의 유동율을 증가시킴으로써 그의 SiCN 함유량에 대해 증가될 수도 있다.
예를 들어, 도 2a 및 반응 (200) 을 다시 참조하면, 트리메틸 알루미늄 (210) 의 유동율을 증가시키는 것은 반응 (200) 에서 비스(3차-부틸아미노)디메틸실란 (232) 에 대한 테트라메틸실란 (234) 의 형성을 촉진하며, 따라서, 증착된 막들에서 탄화도, 즉 본질적으로는 SiCN에 대한 SiC의 비율에서의 대응하는 증가를 초래한다. 이것은, 전자가 1의 트리메틸알루미늄 (210) 은 BTBAS 막-전구체 (220) 내의 실리콘-수소 결합들의 부분적인 교환/복분해를 초래하면서, 10 내지 100 정도에 있는 전자가들을 갖는 트리메틸알루미늄이 BTBAS 막-전구체 (220) 의 실리콘-아민 결합들을 공격하기 (poach) 시작할 것이기 때문이다. 즉, 증착된 막에서의 더 높은 레벨의 탄화는, 알킬기를 전달하는 공-반응물, 본 경우에서는 트리메틸알루미늄으로 반응 챔버를 과포화시킴으로써 달성될 수도 있다. 유사하게, 도 2b 및 반응 (250) 을 참조하면, 많은 전자가를 갖는 디메틸아연 (260) 으로 반응 챔버를 과포화시키고 이로써 이의 유동율을 증가시키면, 다시 말하자면 BTBAS의 실리콘-아민 결합들의 증가된 복분해으로 인해서 테트라메틸실란 (234) 의 형성을 촉진하며, 이는 또한, 증착된 막에서의 SiCN에 대한 SiC의 증가된 비율을 초래한다. 특정한 이론에 제한되지는 않지만 개념적으로, 이들 비율들을 조절하기 위한 능력은 르샤틀리의 원리 (Lechatelier's Priniciple) 의 결과이다. SiCN 종이 지배적인 막들 대 SiC 종들이 지배적인 막들은 통상적으로 상이한 물리적, 화학적, 및/또는 전기적 특성들을 가질 것이기 때문에, 막 조성의 그러한 제어는 유용하며, 그에 따라 SiCN에 대한 SiC의 양론비들을 변경시킴으로써, 이들 물리적, 화학적, 및/또는 전기적 특성들이 조정 및 엔지니어링될 수도 있다.
도 2a 및 도 2b에 도시된 반응들 (200 및 250) 은 SiC/SiCN 막들을 형성하기 위해 (상술된 바와 같은) ALD-타입 프로세스에서 이용될 수도 있다. 몇몇 경우들에서, 이는 컨모멀한 SiC/SiCN 막들, 즉, 패터닝된 기판의 3차원 피쳐들의 표면에 부합되는 막들의 형성을 초래한다. ALD-타입 프로세스에서 반응들 (200 및/또는 250) 을 통한 이들 막들의 형성은 통상적으로, 유기금속 리간드 전달제의 프로세싱 챔버로의 도입 전에 기판 표면 상으로 실리콘-함유 전구체, 즉 이러한 경우들에서는 BTBAS의 흡착을 수반한다. 특정한 이론으로 제한되지 않으면서, BTBAS의 제한된 양만이 임의의 1 회에 기판 표면 상으로 흡착될 수고-즉, 표면이 포화됨-, 이로써 결과적인 막의 두께를 제한하고, 기판 표면의 윤곽선 (contour)/프로파일/3D-형상에 대해서 막이 부합되는 정도를 촉진할 수 있기 때문에, 결과적인 막의 컨포멀 특성이 대체적으로 발생하는 것으로 고려된다. 물론, ALD 프로세스를 대략적으로 참조하여서 상술된 바와 같이, ALD-형성된 막의 두께는, ALD의 다수의 사이클들을 수행함으로써, 그의 컨포멀한 특징을 유지하면서 증가될 수도 있다. 어느 경우든, 기판 표면이 실리콘-함유 전구체로 포화된 이후, 유기금속 리간드 전달제 (또는 전달제들), 즉 이러한 경우들에서는 반응 (200) 에서는 트리메틸알루미늄 (210) 및 반응 (250) 에서는 디메틸아연 (260) 이 프로세싱 챔버로 도입될 수도 있으며, 그 후, 흡착된 BTBAS와 반응하여 SiC/SiCN 막을 형성한다.
대체적으로 ALD-타입 프로세스들에 관한 전술한 개시의 측면에서, 반응들 (200 및 250) 을 이용하는 전술한 ALD-방식의 많은 변화들 및 변경들이 가능하다는 것이 당업자에게 용이하게 이해되어야 한다. 예를 들어, 몇몇 실시형태들에서, 펌프-투-베이스 (pump-to-base) 및/또는 비활성 퍼지가, 유기금속 리간드 전달제 (예를 들어, 트리메틸알루미늄, 디메틸아연) 의 도입 전에 프로세싱 챔버로부터 흡착되지 않은 실리콘-함유 전구체 (예를 들어, BTBAS) 를 제거하여서 이러한 종들의 임의의 가스상 반응들을 방지하는데 이용될 수도 있다. 그러나, 그러한 펌트-투-베이스 또는 비활성 퍼지가 요구되지 않을 수도 있다. 다른 예로서, 몇몇 경우들에서, 플라즈마는, 흡착된 실리콘-함유 전구체와 유기금속 리간드 전달제 사이의 반응을 활성화시키기 위해 프로세싱 챔버 내에서 생성될 수도 있지만, 플라즈마-활성화는 요구되지 않을 수도 있다. 예를 들어, 반응들 (200 및 250) 은 플라즈마 활성화를 요구하지 않는데, 이때 그들의 운동학적 사항들은 이 반응들이 열 에너지에 의해 적절히 구동되도록 되지만 플라즈마 활성화는 포함되지 않도록 된다. 이들 SiC/SiCN 막들에 대해 이용될 수도 있는 상술된 특징들의 다른 예는 증착-후 플라즈마 처리이다. 이것은, 예를 들어, (더 상세히 후술될 바와 같이) 증착된 바와 같은 막을 조밀화시키는데 사용될 수도 있어서, 그의 물리적, 화학적, 및/또는 전기적 특성들을 개선시킨다. 다른 가능한 증착-후 플라즈마 처리들은 ALD 프로세스들에 관해 상세히 상술되었지만, 이들 증착-후 처리들은 선택적이며 요구되지 않음을 이해해야 한다. 최종적으로, 전술한 ALD 프로세스들이 유기금속 리간드 제의 도입 전에 흡착-제한된 층을 형성하도록 기판 표면 상으로 먼저 흡착되는 관점들에서 설명되었지만, 몇몇 실시형태들은, 실리콘-함유 막 전구체의 도입 전에, 이들 역할들을 반전시킬 수도 있고, 기판 표면 상으로 흡착되는 유기금속 리간드 전달제를 가질 수도 있다.
다시 도 2a 및 도 2b를 참조하면, SiC/SiCN 막 (230) 의 컴포넌트들을 형성하는 것에 부가하여, 반응들 (200 및 250) 의 부산물들로서 형성되는 휘발성 종이 또한 존재한다. 하나의 알루미늄-함유 부산물 종 (215) 이 도 2a에 도시되어 있고, 하나의 아민-함유 부산물 종 (265) 이 도 2b에 도시되어 있지만, 반응들 (200 및 250) 을 통해 형성될 수도 있는, 유사할지라도 다른 부산물 종이 존재한다. 예를 들어, 휘발성 종 (215) 이 그의 알루미늄 중앙에 부착된 3개의 터트-부틸 아민기들을 소유하지만, 반응 (200) 은 메틸기로 치환되는 이들 아민기들 중 하나 이상을 갖는 하나 이상의 다른 부산물들을 형성할 수도 있다. 유사하게, 반응 (250) 의 부산물들은 메틸기들로 교체되는 부산물 (265) 의 아연 중심에 부착된 하나 또는 양자의 터트-부틸 아민기들을 가질 수 있다. 임의의 이벤트에서, 이들 막들을 형성하기 위한 많은 프로세스 시퀀스들은, 프로세싱 챔버로부터 이들 부산물들을 제거하기 위한 하나 이상의 단계들을 함유할 것이다.
교차-복분해 형성된 SiC/SiCN 막들의 특성들 및 특징들
여기에 기재된 교차-복분해 반응들을 사용하여 형성된 SiC/SiCN 막들은, 부분적인 SiN-타입 공유 결합들 및 부분적인 SiC-타입 공유 결합들을 포함한 고체 메트릭스로 형성되는 탄소, 실리콘, 및 질소 원자들을 포함한다. 또한, 상술된 바와 같이, SiC-타입 및 SiN-타입 결합들의 상대적인 수들은, 예를 들어, 막 형성 동안 프로세싱 챔버로의 유기금속 리간드 전달제의 흐름을 조절함으로써 튜닝가능하고, 조정가능하다. 따라서, 몇몇 실시형태들에서, 예를 들어, 도 2a 및 도 2b의 반응들 (200 및 250) 이 비스(3차-부틸아미노)디메틸실란 (232) 의 형성을 강하게 선호하는 조건들 하에서 수행되면, SiC-타입과 SiN-타입 결합들의 대략적으로 일-대-일 혼합이 존재할 수도 있다. 다른 실시형태들에서, 막은, 예를 들어, 반응들 (200 및 250) 이 테트라메틸실란 (232) 의 형성을 강하게 선호하는 조건들 하에서 수행되면, SiC-타입 결합들을 주로 포함할 수도 있다. 또 다른 실시형태들에서, 알킬아민 기능기들을 갖는 유기금속 리간드 전달제가 이용되면, SiN-타입 결합들로 주로 구성되는 막이 형성될 수도 있다. 물론, 반응물들 및 반응 조건들을 적절히 선택함으로써, SiN-타입 결합 대 SiC-타입 결합의 다른 비율들이 증착된 막들에서 가능하다. 따라서, 몇몇 실시형태들에서, 증착된 막에서의 SiN-타입 결합 대 SiC-타입 결합의 비율은 약 20:1, 10:1, 5:1, 4:1, 3:1, 2:1, 1:1, 1:2, 1:3. 1:4, 1:5, 1:10, 또는 약 1:20일 수도 있고, 몇몇 실시형태들에서, SiN-타입 결합 대 SiC-타입 결합의 비율은, 예를 들어, 약 5:1 내지 약 1:3 사이의 SiN-타입 결합 대 SiC-타입 결합의 비율과 같은 전술된 비율들의 임의의 쌍에 의해 정의된 비율들의 범위 내에 있을 수도 있다.
또한, 유기금속 종이 막-형성 복분해 반응들에서 사용되었다는 사실로 인해 SiC/SiCN 메트릭스에 금속 원자들이 존재할 수도 있다. 예를 들어, 막이 반응 (200) 을 통해 형성되면, 최종 SiC/SiCN 막에 알루미늄이 존재할 수도 있고, 반응 (250) 이 사용되면, 아연이 존재할 수도 있다. 몇몇 경우들에서, 금속 원자들의 존재는 문제가 되지 않지만, 다른 경우들에서, 가능한 정도로 금속들의 존재를 최소화 또는 제거하는 것이 유리할 수도 있다. 따라서, 몇몇 실시형태들에서, 후자는 증착된 막으로의 유기금속으로부터의 금속 원자의 도입이 열역학적으로 또는 운동학적으로 우세하지 않게 하는 조건들 하에서 복분해 반응을 수행함으로써 달성될 수도 있다. 예를 들어, 몇몇 실시형태들에서, 프로세스 압력들, 온도들, RF 전력 레벨들, 실리콘-함유 막-전구체 투여 시간들 및/또는 유동율들, 유기금속 리간드 전달제 투여 시간들 및/또는 유동율들, RF 시간들 및/또는 레벨들, 고주파수 RF 주파수 범위들, 저주파수 RF 주파수 범위들, 반응물 퍼지 시간들, 생성물 퍼지 시간들 등이, 금속 원자의 증착된 막으로의 도입이 열역학적으로 및/또는 운동학적으로 우세하지 않게 하는 조건들을 달성하기 위해, 단독으로 또는 함께 조정될 수도 있다. 몇몇 실시형태들에서, 증착-후 처리는 임의의 포함된 금속 원자들의 제거를 촉진할 수도 있다. 몇몇 실시형태들에서, 하나 이상의 증착-후 처리들과 함께 반응 조건들의 조정은, 증착된 막들에서의 금속 원자들에서의 더 낮은 농도들의 금속 원자들을 유도할 수도 있다. 따라서, 몇몇 실시형태들에서, 증착된 SiC/SiCN 막에서의 금속 원자: 실리콘 원자 몰 비율은 약 1:10,000,000, 1:1,000,000, 1:100,000, 1:10,000, 1:1000, 1:500, 1:200, 1:100, 또는 약 1:50일 수도 있고, 몇몇 실시형태들에서, 이 몰 비율은, 예를 들어, 약 1:1,000,000 내지 약 1:100,000 사이의 금속 원자: 실리콘 원자 몰 비와 같은 전술된 비율들의 임의의 쌍에 의해 정의된 비율들의 범위 내에 있을 수도 있다.
SiC/SiCN 막들을 형성하기 위해 교차-복분해 반응들에서의 사용을 위한 유기금속 리간드 전달제들
실리콘-함유 전구체를 이용한 교차-복분해 반응에서의 사용에 적합한 유기금속 리간드 전달제들은 통상적으로, 알킬기 또는 알킬아민 기능기 중 어느 하나를 실리콘-함유 전구체의 실리콘 원자에 전달하기 위한 그들의 능력에 따라 선택되는 휘발성 화합물들이다. 알킬기 전달의 경우에서, 유기금속 리간드 전달제는, 통상적으로, 중간 내지 약한 정도의 전기음성도를 가짐으로, 특정한 이론으로 제한되지 않지만, 하나 이상의 알킬기들 중 적어도 하나의 탄소 원자가 실리콘-함유 전구체 중의 다소 친전자적인 실리콘 원자를 공격 (attack) 하기에 충분히 친핵적이게 되도록 하는, 이러한 중간 내지 약한 정도의 전기음성도를 갖는 중앙 금속 원자에 결합된 하나 이상의 알킬기들을 가질 것이다. 그러한 유기금속 화합물들은 ZnR2와 같은 다양한 알킬 아연 화합물들을 포함하며, 여기서, R은 Me (즉, -CH3), Et (즉, -CH2CH3), i-Pr (즉, -CH(CH3)2), n-Pr (즉, -CH2CH2CH3), 및 t-부틸 (즉, -C(CH3)3) 기능기들로부터 선택된다. 다른 적절한 유기금속 화합물들은, 각각, AlR3 및 RMgX와 같은 다양한 알킬 알루미늄 화합물들 및 알킬마그네슘 화합물들을 포함하며, 여기서, R은 Me, Et, i-Pr, 및 n-Pr 기능기들로부터 선택되고, X (RMgX의 경우에서) 는 F, Cl, Br, 및 I로부터 선택된다. 부가적으로, 비스(2-메톡시에톡시)알루미늄 수소화물 (종종 "Red-Al" 로서 지칭됨) 은 또 다른 적절한 유기알루미늄 화합물이다. 또한, 문자 "R" 이 알킬 기능기를 지정하기 위해 여기서 사용되지만, 1개 초과의 'R' 기가 단일 화합물에 존재하는 경우, 'R' 지정들에 의해 표현된 특정한 알킬기들이 상이할 수도 있음을 이해해야 하는 것을 유의하는 것이 중요하다. 따라서, ZnR2로서 설계된 화합물들의 기는, 예를 들어, 디메틸아연 및 디에틸아연 뿐만 아니라 메틸에틸아연을 포함하며, 여기서, R은 Me, Et, i-Pr, n-Pr, 및 t-부틸 기능기들로부터 선택된다. 본질적으로, 상기 ZnR2는 RZnR'로 기입될 수 있으며, 여기서, R 및 R'는 간략화의 목적을 위해 Me, Et, i-Pr, n-Pr, 및 t-부틸로부터 선택되고, 이에 후속하는 것에서, 전술한 접근법이 후속된다. 또한, 부가적인 기능 치환기(들)의 존재가 사용되는 특정한 실리콘-함유 전구체의 탄소-전달 기능을 동작하지 않게 렌더링하지 않는 한, 'R' 기능기 상으로 치환된 하나 이상의 다른 화학적 종의 존재가 또한 이들 예들에 의해 고려됨을 유의한다.
유사하게, 유기금속 리간드 전달제들을 전달하는 적절한 알킬아민은 통상적으로, 중간 내지 약한 정도의 전기음성도를 가짐으로, 특정한 이론으로 제한되지 않지만, 하나 이상의 알킬아민기들 중 적어도 하나의 질소 원자가 실리콘-함유 전구체 중의 다소 친전자적인 실리콘 원자를 공격 (attack) 하기에 충분히 친핵적이게 되도록 하는, 이러한 중간 내지 약한 정도의 전기음성도를 갖는 중앙 금속 원자에 결합된 하나 이상의 알킬아민기들을 가질 것이다. 그러한 유기금속 화합물들은 Zn(NR2)2와 같은 다양한 알킬아민 아연 화합물들을 포함하며, 여기서, R은 Me, Et, i-Pr, n-Pr, 및 t-부틸 기능기들로부터 선택되고, 또 다시, Zn(NR2)2가 상술된 바와 같은 상이한 타입들의 'R' 기능기들을 소유할 수도 있음을 유의할 것이다. 다른 적절한 알킬아민 유기금속 화합물들은 AlR(NR2)2, 또는 Al(NR2)3, 또는 AlR2NR2과 같은 다양한 알킬아민 알루미늄 화합물들 뿐만 아니라 NR2MgX와 같은 다양한 알킬아민 마그네슘 화합물들을 포함하며, 여기서, R은 Me, Et, i-Pr, n-Pr, 및 t-부틸 기능기들로부터 선택되고, X (NR2MgX의 경우) 는 F, Cl, Br, 및 I로부터 선택된다. 예를 들어, 여기에 설명된 관례를 따르면, Al(NR2)3) 이 그의 3개의 질소 원자들에 부착된 6개의 상이한 타입들의 알킬기들을 실제로 가질 수도 있음을 유의한다. 최종적으로, 몇몇 경우들에서, 상술된 알킬아민 유기금속 리간드 전달제들 중 하나를 이용하는 것이 적절할 수도 있지만, 특정한 질소 원자에 바운딩된 알킬 R 기들 중 하나는 단일 수소 원자로 교체된다. 복분해 반응 부산물들의 휘발성은, 유기금속 제의 선택에 의해 영향을 받는 다른 고려사항일 수도 있으며, 예를 들어, 도 2a 및 도 2b의 부산물들 (215 및 265) 은 막 증착 이후 프로세싱 챔버로부터의 그들의 제거를 촉진하기에 충분히 휘발성이다.
SiC/SiCN 막들을 형성하기 위해 교차-복분해 반응들에서의 사용을 위한 실리콘-함유 전구체들
광범위하게 다양한 실리콘-함유 전구체들은, 유기금속 리간드 전달제들과의 SiC/SiCN 막-형성 교차-복분해 반응들에서의 사용을 위해 선택될 수도 있다. 실리콘-함유 전구체가 종종 단지 단일의 실리콘 원자를 종종 함유할 것이지만, 기초적으로, 다수의 실리콘 원자들을 갖는 실리콘-함유 전구체들이 또한 사용에 가능하다 (예를 들어, 디실란 (Si2H6)). 실리콘 원자 (또는 원자들) 는 통상적으로, 알킬기들, 아민기들, 할로겐 원자들, 및 수소 원자들의 몇몇 조합에 결합된다.
(아민 또는 할로겐 기들이 아니라) 하나 이상의 알킬기들 및/또는 수소 원자들에 결합된 그의 실리콘 원자(들)를 갖는 실리콘 화합물은 실란으로서 지칭된다. 실시형태에 의존하여, 실리콘 원자(들)는 4개의 알킬기들, 또는 3개의 알킬기들 및 수소, 또는 2개의 알킬기들 및 2개의 수소들, 또는 1개의 알킬기 및 3개의 수소들, 또는 단지 4개의 수소들에 결합될 수도 있다. 선택될 수도 있는 가능한 알킬기들은 Me, Et, i-Pr, n-Pr, 및 t-부틸 기능기들을 포함하지만 이에 제한되지 않는다. 다수의 실리콘 원자들을 갖는 실리콘 화합물들은 실리콘 원자에 결합된 실리콘 원자들을 가질 수도 있으며, 하나씩 서로 상에 다른 대체물들, 예를 들어, 그의 2개의 실리콘들의 각각에 부착된 3개의 수소들을 갖는 디실란 (Si2H6) 의 수를 감소시킨다. 막-전구체들로서 사용하기에 적합한 실란들의 특정한 예들은 실란 (SiH4), 디실란 (Si2H4), 메틸실란 (H3SiCH3), 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란 (H2Si(CH3)2), 트리메틸실란 (HSi(CH3)3), 디에틸실란, 디-t-부틸실란, 알릴실란, 2차-부틸실란, 세릴실란, 이소아밀실란, t-부틸디실란, 및 디-t-부틸디실란을 포함하지만 이에 제한되지 않는다.
가능한 알킬기들 및/또는 수소 원자들에 부가하여 하나 이상의 할로겐 원자들에 결합된 그의 실리콘 원자(들)를 갖는 실리콘 화합물은 할로실란으로서 지칭된다. 실시형태에 의존하여, 실리콘 원자(들)는 4개의 할로겐 원자들, 또는 3개의 할로겐 원자들, 또는 2개의 할로겐 원자들, 또는 1개의 할로겐 원자에 결합될 수도 있다. 이도실란들 (iodosilanes), 브로모실란들, 클로실란들, 및 플루오로실란들이 막-전구체들로서 사용하기에 적합할 수도 있다. 할로실란들, 특히 플루오로실란들은, 실리콘 재료들을 에칭할 수 있는 반응성 할로겐화물 종을 형성할 수도 있으며, 여기에 설명된 특정한 실시형태들에서, 실리콘-함유 반응물은, 플라즈마가 발생된 경우 존재하지 않는다. 막-전구체들로서 사용하기에 적합한 클로실란들의 특정한 예들은, 테트라클로실란 (SiCl4), 트리클로실란 (HSiCl3), 디클로실란 (H2SiCl2), 모노클로실란 (ClSiH3), 클로알릴실란, 클로메틸실란, 디클로메틸실란 (SiHCH3Cl2), 클로디메틸실란, 클로에틸실란, t-부틸클로실란, 디-t-부틸클로실란, 클로이소프로필실란, 클로-2차-부틸실란, t-부틸디메틸클로실란, 및 에틸디메틸크로실란을 포함하지만 이에 제한되지 않는다. 이도실란들, 브로모실란들, 및 플로오로실란들의 특정한 예들은, 이들 염소 함유 화합물들과 분자 구조에서 유사하지만, 염소 원자(들), 아이오딘, 브로민, 또는 플루오린 원자(들) 을 각각 대신하여 갖는 화합물들을 포함하지만 이에 제한되지 않는다. 예를 들어, 트리클로실란 (HSiCl3) 에 대응하는 브로모실란은 트리브로모실란 (HSiBr3) 이다.
가능한 알킬기들, 수소 원자들, 산소 원자들, 및/또는 할로겐 원자들에 부가하여 하나 이상의 아민기들에 결합된 그의 실리콘 원자(들)를 갖는 실리콘 화합물은 아민실란으로서 지칭된다. 실시형태에 의존하여, 실리콘 원자(들)는 4개의 아민기들, 또는 3개의 아민기들, 또는 2개의 아민기들, 또는 1개의 아민기에 결합될 수도 있다. 예를 들어, 중앙 실리콘 원자에 결합된 2개의 아민기들 및 2개의 수소 원자들을 갖는 특정한 막-전구체는 도 2a 및 도 2b에 도시된 바와 같은 BTBAS 220 (비스-t-부틸아미노실란, SiH2(NHC(CH3)3)2) 이다. 막-전구체들로서 사용하기에 적합한 아미노실란들의 다른 특정한 예들은 모노-, 디-, 트리-, 및 테트라-아미노실란 (각각, H3SiNH2, H2Si(NH2)2, HSi(NH2)3, 및 Si(NH2)4) 을 포함하지만 이에 제한되지 않는다. 치환된 모노-, 디-, 트리-, 및 테트라-아미노실란들은 또한, Me, Et, i-Pr, n-Pr, 및 t-부틸 기능기들로 치환되는 그들의 아민기를 갖는 그러한 화합물들을 포함하지만 이에 제한되지 않는 적절한 막-전구체들로서 기능할 수도 있다. 특정한 예들은 t-부틸아미노실란, 메틸아미노실란, t-부틸실란아민, n-터트-부틸트리메틸실릴아민, t-부틸 실릴카르바메이트, SiHCH3(N(CH3)2)2, SiH(N(CH3)2)3, SiHCl(N(CH3)2)2, Si(CH3)2(NH2)2, (NR)2Si(CH3)2 (여기서, R은 수소이거나, Me, Et, i-Pr, n-Pr, 및 t-부틸 기능기들로부터 선택됨), 및 트리실일아민 (N(SiH3)3를 포함한다.
교차-복분해 반응들을 형성하는 SiC/SiCN 막 형성의 열 활성화
상술되고 미국 특허출원 제 13/084,399호에 있는 ALD 프로세스들이 일반적으로 플라즈마 활성화되지만, 많은 실시형태들에서, 그러한 ALD 프로세스들이 또한 열적으로 활성화될 수도 있음을 이해할 것이다. 사실, SiC/SiCN 막들을 형성하기 위한 교차-복분해 반응들에서 유기금속 리간드 전달제들을 이용하는 하나의 이점은, 그들 타입들의 반응들 대부분에 대해, 열역학 및 운동학이, 반응들이 열적으로 구동될 수도 있게 한다는 것이며, 전술한 요건은 플라즈마를 활성화시키는 것이 프로세스 챔버에서 형성된다는 것이다. 물론, 몇몇 실시형태들에서, 상술되고 미국 특허출원 제 13/084,399호에 있는 ALD 프로세스가 비-열적 비-플라즈마-관련된 에너지 소스에 의해 활성화될 수도 있고, 활성화의 일반적으로 임의의 방법이 본 발명의 범위 내에서 잠재적으로 사용될 수도 있음을 인식해야 한다. 예를 들어, 몇몇 실시형태들에서, 자외선 (UV) 방사는 그러한 비-열적 비-플라즈마-관련된 에너지 소스로서 기능할 수도 있다.
교차-복분해 반응들을 형성하는 SiC/SiCN 막의 플라즈마 활성화
몇몇 실시형태들에서 및 몇몇 환경들에서, 교차-복분해 반응들을 열적으로 이끌어내는 것이 바람직하지만, 다른 실시형태들 또는 상황들에서, 플라즈마 활성화가 여전히 바람직할 수도 있다. 예를 들어, 몇몇 실시형태들에서, SiC/SiCN 막 증착 반응들의 플라즈마 활성화는 열적으로-활성화된 반응들에서보다 더 낮은 증착 온도를 초래할 수도 있어서, 집적 프로세스의 이용가능한 열 버짓의 소비를 잠재적으로 감소시킨다. 예를 들어, 몇몇 실시형태들에서, 플라즈마-활성화된 ALD 프로세스는 실온에서 심지어 발생할 수도 있다.
플라즈마 소스의 위치는 실시형태에 의존하여 변할 수도 있다. 몇몇 실시형태들에서, 다운스트림 또는 원격 플라즈마 소스 (즉, 메인 반응 챔버의 외부에서 생성됨), 유도성-커플링된 플라즈마, 및/또는 마이크로파 표면파 플라즈마를 사용하는 것이 바람직할 수도 있다. 다른 실시형태들에서, 플라즈마가 점화될 수도 있고, 기판 표면 위에서 직접 형성될 수도 있다. 이것은, 반응물들 A 및 B 사이의 더 큰 플라즈마 밀도 및 향상된 표면 반응 레이트를 제공할 수도 있다. 예를 들어, ALD 프로세스들에 대한 플라즈마들은, 2개의 용량성으로 커플링된 플레이트들을 사용하여 무선 주파수 (RF) 필드를 낮은-압력 가스에 적용함으로써 생성될 수도 있다.
임의의 적절한 가스가 플라즈마를 형성하는데 사용될 수도 있다. 제 1 예에서, 아르곤 또는 헬륨과 같은 비활성 가스가 플라즈마를 형성하는데 사용될 수도 있다. 제 2 예에서, 산소 또는 암모니아와 같은 반응 가스가 플라즈마를 형성하는데 사용될 수도 있다. 제 3 예에서, 질소와 같은 스윕 가스가 플라즈마를 형성하는데 사용될 수도 있다. 물론, 가스들의 이들 카테고리들의 조합들이 이용될 수도 있다. RF 필드에 의한 플레이트들 사이의 가스의 이온화는 플라즈마를 점화시켜서, 플라즈마 방전 영역에서 자유 전자들을 생성한다. 이들 전자들은, RF 필드에 의해 가속되고, 가스상으로 반응 분자들과 충돌할 수도 있다. 반응 분자들과의 이들 전자들의 충돌은, 증착 프로세스에 참가하는 라디컬 종을 형성할 수도 있다. RF 필드가 임의의 적절한 전극들을 통해 커플링될 수도 있음을 인식할 것이다. 전극들의 비-제한적인 예들은 프로세스 가스 분배 샤워헤드들 및 기판 지지 페데스탈들을 포함한다. ALD 프로세스들에 대한 플라즈마들이 RF 필드의 가스로의 용량성 커플링 이외에 하나 이상의 적절한 방법들에 의해 형성될 수도 있음을 인식할 것이다.
교차-복분해 반응들을 통해 증착된 SiC/SiCN 막들의 증착-후 플라즈마 처리
더 완전히 후술되는 바와 같이 및 상기 특성 4의 설명에서 제안된 바와 같이, 플라즈마 노출 시간을 연장하는 것 및/또는 복수의 플라즈마 노출 페이즈들을 제공하는 것은, 증착된 막의 벌크 및/또는 근방-표면 부분들의 증착-후-반응 처리를 제공할 수도 있다. 일 시나리오에서, 증착-후 플라즈마 처리에 의해 표면 오염을 감소시키는 것은, 후속 반응물들/막-전구체들의 흡착 및/또는 후속 막 층들의 증착을 위해 표면을 준비할 수도 있다. 예를 들어, 몇몇 실시형태들에서, 교차-복분해 반응(들)을 통해 형성된 SiC/SiCN 막의 층은, 후속 막-전구체들의 흡착에 저항하는 표면을 가질 수도 있다. 그러한 표면의 플라즈마-처리는 결합에 이용가능한 수소 결합 사이트들을 제작할 수도 있어서, 후속 흡착 및 표면 반응 이벤트들을 용이하게 한다.
특정한 실시형태들이 플라즈마 처리 페이즈를 포함하는 ALD 사이클의 일 예를 포함하지만, 임의의 적절한 수의 반복들이 본 발명의 범위 내에서 이용될 수도 있음을 인식할 것이다. 추가적으로, 하나 이상의 플라즈마 처리 사이클들이 일반적인 ALD 증착 사이클들 사이의 간격들 (정규 등) 로 삽입될 수도 있음을 인식할 것이다. 임의의 적절한 수의 ALD 증착 사이클들이 하나 이상의 플라즈마 처리 사이클들에 선행하거나 후속할 수도 있음을 인식할 것이다. 예를 들어, 플라즈마 처리가 막 밀도를 수정하는데 사용되는 시나리오에서, 적어도 50 또는 적어도 25 또는 적어도 15 또는 적어도 10 또는 적어도 5 또는 적어도 4 또는 적어도 3 또는 적어도 2개의 ALD 증착 사이클들은 플라즈마 처리 사이클들 전에 또는 그 사이에서 수행될 수도 있다. 플라즈마 처리가 추가적인 흡착 및 표면 반응 이벤트들을 위해 SiC/SiCN 막의 표면을 준비하는데 사용되는 시나리오에서, 플라즈마 처리 페이즈는 매 ALD 사이클에, 예를 들어, 각각의 ALD 증착 페이즈 이후에 포함될 수도 있다.
증착된 막의 플라즈마 처리는, 막의 하나 이상의 물리적 및/또는 전기적 특징들을 수정할 수도 있다. 일 시나리오에서, 플라즈마 처리는 새로이 증착된 막을 조밀화할 수도 있다. 조밀화된 막들은 비-조밀화된 막들보다 더 에칭-저항성있을 수도 있다. 몇몇 실시형태들에서, 막 응력, 유전 상수, 반사율, 및/또는 에칭 레이트와 같은 막 특성들은, 증착된 막의 증착-후 처리에서 사용되는 플라즈마의 플라즈마 파라미터들을 변경시킴으로써 조정될 수도 있다. 증착-후 플라즈마 처리는 또한, 증착된 막의 압축 또는 장력 응력 특징들을 변경시키는데 사용될 수도 있다. 예를 들어, 연장된 플라즈마 노출 시간들은 증착된 막의 압축 응력을 증가시킬 수도 있다. 플라즈마들은 또한, 증착된 막들로부터 오염물 (예를 들어, 수소) 을 제거하는데 사용될 수도 있다. 몇몇 실시형태들에서, 증착-후 플라즈마 처리는, 유기금속 리간드 전달제와 실리콘-함유 막 전구체의 반응으로부터 남겨지는 증착된 막으로 포함된 금속 원자들의 농도를 낮추는데 효율적일 수도 있다. 다양한 오염물들의 농도들을 변경시키는 것이 차례로, 막의 전기적 및/또는 물리적 특성들을 변경시킬 수도 있음을 인식할 것이다. 예를 들어, 탄소, 질소, 수소, 실리콘, 및/또는 금속 원자 함유량의 조절은 막의 유전 상수 및/또는 막 에칭 레이트를 조절할 수도 있다. 특정한 증착-후 플라즈마 처리들은 부가적으로, 증착된 막의 물리적 및/또는 전기적 특성들을 조절하기 위해 자외선 (UV) 방사를 이용할 수도 있다.
몇몇 실시형태들에서, 미처리된 SiC/SiCN 막들이, 증착 시 막에서의 고정된 전하 (charge) 의 가능성으로 인해 비교적 불량한 전기 성능을 나타낼 수도 있음이 가능하다. 이것은, 예를 들어, 웨이퍼-내 평평한-대역 전압 (Vfb) 변화들을 나타내도록 몇몇 경우들에서 발견되는 미처리된 ALD-증착된 산화물 막들에서 관측된다. 그러나, 몇몇 실시형태들에서, 수소의 존재 시에 UV-방사 및/또는 열 어널링을 이용한 증착-후 처리는, 그러한 문제들을 완화시키는 것을 도울 수도 있다. 예를 들어, 그러한 처리들은, (1) 하부 기판과 SiC/SiCN 막의 계면에서, (2) 증착된 SiC/SiCN 막 그 자체 내에, 및/또는 (3) 즉, 표면 전하의 존재로 처리되는 SiC/SiCN 막의 노출된 표면에 존재하는 고정된 전하에 관련된 결함들을 패시베이팅 (passivate)/완화시킬 수도 있다. 몇몇 실시형태들에서, 그러한 처리들을 사용하는 것은, 증착된 바와 같은 SiC/SiCN 막의 Vfb 확산을 상당히 감소시키는 것을 도울 수도 있다.
ALD-형성된 SiC/SiCN 막들의 물리적 및/또는 전기적 특성들 및 특징들을 개선시키기 위해 적용될 수도 있는 증착-후 처리들의 예들은 다음을 포함하지만 이에 제한되지 않는다.
(A) 고정된 전하를 감소시키기 위해, UV 경화, 선택적으로 후속하여 수소-어닐링을 이용한 ALD-형성된 SiC/SiCN 막들의 증착-후 처리.
(B) H2-플라즈마, N2-플라즈마, H2/N2-플라즈마, NH3-플라즈마, Ar-플라즈마, He-플라즈마, He-어닐링, H2-어닐링, NH3-어닐링, 및 He, H2, Ar, N2, H2/N2-형성 가스, NH3의 존재 시의 UV 경화와 같지만 이에 제한되지 않는 처리들을 사용하는 SiC/SiCN 막 증착 이전의 기판 사전-처리. 플라즈마 프로세싱은 마이크로파, ICP-원격, 직접 등을 포함하지만 이에 제한되지 않는 다양한 플라즈마 생성기들을 이용하여 인에이블될 수도 있다.
(C) H2-플라즈마, N2-플라즈마, H2/N2-플라즈마, NH3-플라즈마, Ar-플라즈마, He-플라즈마, He-어닐링, H2-어닐링, NH3-어닐링, 및 He, H2, Ar, N2, H2/N2-형성 가스, NH3의 존재 시의 UV 경화를 포함하지만 이에 제한되지 않는 처리들을 이용한 동시 처리 (증착 동안의 경화). 플라즈마 프로세싱은 마이크로파, ICP-원격, 직접, 및 당업계에 알려진 다른 것들을 포함하지만 이에 제한되지 않는 다양한 플라즈마 생성기들을 이용하여 구현될 수도 있다. 원격 플라즈마, UV 노출, 직접 플라즈마, 및 마이크로파 플라즈마를 포함하지만 이에 제한되지 않는 등방성 및 지향성 프로세싱이 적용될 수도 있다. 몇몇 실시형태들에서, 막들은 ALD 사이클들의 그룹들 사이에서 간헐적으로 처리될 수도 있다. ALD 사이클들의 그룹은 약 1 내지 10개의 사이클들, 또는 약 10 내지 100개의 사이클들, 또는 약 100 내지 1000개의 사이클들, 또는 약 1000 내지 10,000개의 사이클들 사이에서 변할 수도 있다. 통상적인 시나리오는, (1) ALD 막 성장의 5개의 사이클들, 후속하여 (2) 상술된 방법들 중 임의의 방법 (예를 들어, He-플라즈마, UV-처리) 을 이용한 하나 이상의 막 처리들, 후속하여 (3) ALD 막 성장의 5개의 사이클들을 포함한다. 몇몇 실시형태들에서, 그러한 방법은 임의의 원하는 두께의 막을 성장시키는데 사용될 수도 있다.
(D) 우측 조건들 하에서 UV 방사를 방출할 수도 있는 상기 리스트된 플라즈마들 중 임의의 플라즈마에 의해 부산물로서 전해진 UV 처리 (예를 들어, 헬륨 플라즈마가 UV 방사를 방출함). 예를 들어, ALD 사이클링 동안 플라즈마 처리를 수반하는 인시츄 UV "경화" 에 대한 하나의 특정한 절차는 다음의 동작들: (1) He-플라즈마를 통한 UV 처리; (2) 흡착-제한된 층을 형성하기 위한 기판 표면 상으로의 BTBAS 투여 및 흡착; (3) 퍼지; (4) TMA (트리메틸알루미늄) 를 이용한 투여 및 흡착된 BTBAS와의 그의 반응; (5) 퍼지; 및 (6) 원하는 두께의 SiC/SiCN 막을 산출하기 위해 단계 1 내지 5를 반복함을 수반한다
UV 경화 조건들의 범위는 리스트된 콘택스트들 중 임의의 콘택스트로 이용될 수도 있다. 일반적으로, 기판 홀더 (예를 들어, 페데스탈) 의 온도는 경화 동안 약 250℃ 내지 약 500℃ 사이에서 유지될 것이다. 많은 디바이스 제조 애플리케이션들에 대해, 상부 온도는 약 450℃ 또는 심지어 약 400℃로 제한될 것이다. 경화 동안 이용된 대기는 비활성 또는 반응성일 수도 있다. 경화 동안 존재할 수도 있는 가스들의 예들은 헬륨, 아르곤, 질소, 형성 가스, 및 암모니아를 포함한다. 그러한 가스들의 유동율은 약 2 내지 20,000sccm, 바람직하게는 약 4000 내지 18,000sccm일 수도 있다. UV 램프의 전력은, 예를 들어, 약 2 내지 10kW 사이, 및 바람직하게는약 3.5 내지 7kW 사이일 수도 있다. 그러한 소스로부터의 UV에 대한 노출의 적절한 지속기간은 약 20 내지 200초 사이, 또는 약 50 내지 100초 사이, 예를 들어, 약 90초이다. 최종적으로, 압력은 약 0 내지 40Torr 사이의 레벨로 유지될 수도 있다. 증착된 막의 물리적 및 전기적 특징들은 또한, 증착 온도와 같은 다른 프로세스 파라미터들을 조정함으로써 수정될 수도 있다.
SiC 및 SiCN 막들의 애플리케이션들
여기에 기재된 교차-복분해 반응들을 통해 형성된 SiC 및/또는 SiCN의 막들은 반도체 프로세싱에서 다양한 애플리케이션들을 가질 수도 있다. 컨포멀한 SiC/SiCN 막들은 ~10nm 메모리 및 12/7nm 생성 로직 노드들에서의 스텝 커버리지 및 피쳐 충진 애플리케이션들에 유용할 수도 있다. SiC/SiCN-계 컨포멀 막들의 다른 애플리케이션들은, BEOL (back-end-of-line) 상호접속 격리 애플리케이션들에 대한 낮은-k 막들 (몇몇 예들에서, k는 대략 3.0 이하), 에칭 중지 및 스페이서 층 애플리케이션들에 대한 컨포멀 SiCN 막들, 컨포멀한 반사방지 층들, 및 구리 부착 및 장벽층들을 포함하지만 이에 제한되지 않는다. BEOL 프로세싱에 대한 낮은-k 유전체들의 많은 상이한 조성들은 ALD 프로세스들을 통해 준비된 SiC/SiCN 막들을 사용하여 제조될 수 있다. 부가적인 애플리케이션들은 에칭 중지층들, 낮은 습식-에칭 레이트 스페이서들, 및 그렇지 않으면 밀봉되고 컨포멀한 층들에 대해 존재한다. 그러나, SiC/SiCN 막들의 몇몇 애플리케이션들에 대해, SiC/SiCN 막이 컨포멀한 것으로 특징가능한 정도가 중요하지 않을 수도 있음을 또한 인식해야 한다.
또한, 실질적으로 동일한 조성을 갖는 SiC/SiCN 막의 다수의 층들이 (CVD 또는 ALD에 의해서든지 간에) 증착의 순차적인 사이클들을 통해 형성될 수도 있지만, 몇몇 실시형태들에서, 순차적으로 증착된 SiC/SiCN 막들이 상이한 조성들을 가질 수도 있음을 인식해야 한다. 예를 들어, SiC/SiCN 막의 2개의 순차적으로 증착된 층들의 탄화 레벨은 상이할 수도 있으며, 즉, SiN 결합들 대 SiCN 결합들의 비율들은 각각의 층에서 상이할 수도 있다. 몇몇 실시형태들에서, 제 1 층은 주로 SiC-타입 막을 특징으로 할 수도 있고, 그의 상단에 형성된 제 2 층은 주로 SiCN-타입 막을 특징으로 할 수도 있으며, 또는 그 반대로 가능할 수도 있다. 몇몇 실시형태들에서, 공통의 제 1 조성을 갖는 SiC/SiCN 막의 수 개의 층들은 순차적인 증착 사이클들을 통해 증착될 수도 있고, 후속하여, 공통의 제 2 조성을 갖는 SiC/SiCN 막의 수 개의 층들의 순차적인 증착이 행해진다. 즉, 다수의 증착 사이클들의 시퀀스 내의 몇몇 포인트에서, 증착된 막의 조성은 변경될 수도 있다. 예를 들어, 몇몇 실시형태들에서, 그러한 제 1 조성을 갖는 SiC/SiCN 막의 1, 또는 2, 또는 3, 또는 4, 또는 5, 또는 6 내지 10, 또는 11 내지 25, 또는 26 내지 50개의 순차적인 층들은, 교번하는 조성의 층들의 그룹들을 갖는 SiC/SiCN 막 스택을 형성하기 위해, 그러한 제 2 조성을 갖는 SiC/SiCN 막의 1, 또는 2, 또는 3, 또는 4, 또는 5, 또는 6 내지 10, 또는 11 내지 25, 또는 26 내지 50개의 순차적인 층들로 교번된다. 몇몇 실시형태들에서, 제 3, 또는 제 3 및 제 4 조성이 또한 그를 통해 사이클링될 수도 있다. 물론, 다른 타입들의 막들의 층들이, 실시형태 및 실시형태의 특정한 애플리케이션에 의존하여, SiC/SiCN 막 층들 사이에 또한 개재될 수도 있다. 따라서, 다른 막 타입의 1, 또는 2, 또는 3, 또는 4, 또는 5, 또는 6 내지 10, 또는 11 내지 25, 또는 26 내지 50개의 순차적인 층들은, SiC/SiCN 막과 다른 막 타입 사이에서 교번하는 층들의 그룹들을 갖는 막 스택을 형성하기 위해, SiC/SiCN 막의 1, 또는 2, 또는 3, 또는 4, 또는 5, 또는 6 내지 10, 또는 11 내지 25, 또는 26 내지 50개의 순차적인 층들로 교번될 수도 있다. 예를 들어, 게이트 디바이스에 대한 더블 스페이서는, 실리콘 질화물/실리콘 산화물 스페이서 스택의 인시츄 증착에 의해 제조될 수도 있다.
SiC/SiCN 막들을 증착하기 위한 장치들
임의의 적절한 프로세스 스테이션이 상술된 실시형태들 중 하나 이상에 따라 SiC/SiCN 막들을 증착시키기 위해 이용될 수도 있음을 인식할 것이다. 예를 들어, 도 3은 ALD 프로세스 스테이션 (1300) 의 일 실시형태를 개략적으로 도시한다. 간략화를 위해, ALD 프로세스 스테이션 (1300) 은, 낮은-압력 환경을 유지하기 위해 프로세싱 챔버 (1302) 를 갖는 자립형 프로세스 스테이션으로서 도시되어 있다. 그러나, 복수의 ALD 프로세스 스테이션들 (1300) 이 공통의 낮은 압력 프로세스 툴 환경에 포함될 수도 있음을 인식할 것이다. 도 3에 도시된 실시형태가 하나의 프로세스 스테이션을 도시하지만, 몇몇 실시형태들에서, 복수의 프로세스 스테이션들이 프로세싱 툴에 포함될 수도 있음을 인식할 것이다. 예를 들어, 도 4는 단일 프로세싱 챔버 (2414) 내에 4개의 프로세스 스테이션들 (2418) 을 갖는 멀티-스테이션 프로세싱 툴 (2400) 의 일 실시형태를 도시한다. 추가적으로, 몇몇 실시형태들에서, 상세히 후술되는 것들을 포함하는 ALD 프로세스 스테이션 (1300) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 시스템 제어기들에 의해 프로그래밍적으로 조정될 수도 있음을 인식할 것이다.
ALD 프로세스 스테이션 (1300) 은, 프로세싱 챔버 (1302) 가, 프로세싱 챔버 (1302) 내의 분배 샤워헤드 (1306) 에 프로세스 가스들을 준비하고 전달하는 반응물 전달 시스템 (1301) 과 하나 이상의 가스 유입구들 (1313) 을 통해 유동 연통된다는 것을 제공한다. 반응물 전달 시스템 (1301) 은, 샤워헤드 (1306) 로의 전달 전에 프로세스 가스들을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 베슬 (1304), 및 가스 유입구 (1313) 를 통해 흐르는 가스의 양을 제어하기 위한 하나 이상의 밸브들 (1305) 을 포함한다. 하나 이상의 혼합 베슬 유입구 밸브들 (1320, 1320A) 은 혼합 베슬 (1304) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
BTBAS와 같은 몇몇 반응물들은, 프로세스 스테이션에서의 기화 및 프로세스 스테이션으로의 후속 전달 이전에 액체 형태로 저장될 수도 있다. 예를 들어, 도 3의 실시형태는, 혼합 베슬 (1304) 로 공급될 액체 반응물을 기화시키기 위한 기화 포인트 (1303) 를 포함한다. 몇몇 실시형태들에서, 기화 포인트 (1303) 는 가열된 기화기일 수도 있다. 그러한 기화기들로부터 제조된 포화된 반응물 증기는 다운스트림 전달 파이핑 (piping) 에서 응축될 수도 있다. 응축된 반응물에 대한 비호환 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이핑을 방해하고, 밸브 동작을 지체시키고, 기판들을 오염시킬 수도 있으며, 기타 등등이 행해질 수도 있다. 이들 이슈들을 해결하기 위한 몇몇 접근법들은, 잔류 반응물을 제거하기 위해 전달 파이핑을 스윕 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이핑을 스윕하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어서, 프로세스 스테이션 스루풋을 열화시킨다. 따라서, 몇몇 실시형태들에서, 기화 포인트 (1303) 의 전달 파이핑 다운스트림은 열 추적될 수도 있다. 몇몇 예들에서, 혼합 베슬 (1304) 이 또한 열 추적될 수도 있다. 일 비-제한적인 예에서, 기화 포인트 (1303) 의 파이핑 다운스트림은 혼합 베슬 (1304) 에서 대략 섭씨 100도로부터 대략 섭씨 150도까지 연장하는 증가한 온도 프로파일을 갖는다.
몇몇 실시형태들에서, 반응물 액체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 혼합 베슬의 캐리어 가스 스트림 업스트림으로 액체 반응물의 펄스들을 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 더 높은 압력으로부터 더 낮은 압력으로 액체를 플러쉬 (flush) 함으로써 반응물을 기화시킬 수도 있다. 다른 시나리오에서, 액체 주입기는, 가열된 전달 파이프에서 후속하여 기화되는 디스펜싱된 미소액적들로 액체를 원자화시킬 수도 있다. 더 작은 액적들이 더 큰 액적들보다 더 신속하게 기화할 수도 있어서, 액체 주입과 완료한 기화 사이의 지연을 감소시킴을 인식할 것이다. 더 신속한 기화는 기화 포인트 (1303) 로부터 파이핑 다운스트림의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 베슬 (1304) 에 직접 탑재될 수도 있다. 다른 시나리오에서, 액체 주입기는 샤워헤드 (1306) 에 직접 탑재될 수도 있다.
몇몇 실시형태들에서, 샤워헤드 (1306) 및 페데스탈 (1308) 과 같은 기판 홀더는, 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (1314) 및 매칭 네트워크 (1316) 와 전기적으로 통신할 수도 있다. 몇몇 실시형태들에서, 플라즈마 에너지는, 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (1314) 및 매칭 네트워크 (1316) 는, 라디컬 종의 원하는 조성을 갖는 플라즈마를 형성하기 위해 임의의 적절한 전력으로 동작될 수도 있다. 적절한 전력들의 예들은 300mm 웨이퍼에 대해 100W와 5000W 사이의 전력들을 포함하지만 이에 제한되지 않는다. 유사하게, RF 전력 공급부 (1314) 는 임의의 적절한 주파수의 RF 전력을 제공할 수도 있다. 몇몇 실시형태들에서, RF 전력 공급부 (1314) 는 서로 독립적으로 높은- 및 낮은-주파수 RF 전력 소스들을 제어하도록 구성될 수도 있다. 예시적인 낮은-주파수 RF 주파수들은 50kHz와 500kHz 사이의 주파수들을 포함할 수도 있지만, 이에 제한되지 않는다. 예시적인 높은-주파수 RF 주파수들은 1.8MHz와 2.45GHz 사이의 주파수들을 포함할 수도 있지만, 이에 제한되지 않는다. 임의의 적절한 파라미터들이 표면 반응들 또는 증착된 바와 같은 SiC/SiCN 막들의 증착-후 플라즈마 처리를 위한 플라즈마 에너지를 제공하도록 불연속적으로 또는 연속적으로 조절될 수도 있음을 인식할 것이다. 일 비-제한적인 예에서, 플라즈마 전력은, 연속적으로 전력공급된 플라즈마들에 대한 기판 표면과의 이온 충돌을 감소시키도록 간헐적으로 펄싱될 수도 있다.
몇몇 실시형태들에서, 하나 이상의 플라즈마 모니터들에 의해 인시츄로 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광 방출 분광기 센서들 (OES) 에 의해 측정될 수도 있다. 몇몇 실시형태들에서, 하나 이상의 플라즈마 파라미터들은 그러한 인시츄 플라즈마 모니터들로부터의 측정치들에 기초하여 프로그래밍적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그래밍적인 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 몇몇 실시형태들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특징들을 모니터링하는데 사용될 수도 있음을 인식할 것이다. 그러한 모니터들은 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있지만 이에 제한되지 않는다.
몇몇 실시형태들에서, 페데스탈 (1308) 과 같은 반도체 기판 홀더는 가열기 (1310) 를 통해 온도 제어될 수도 있다. 추가적으로, 몇몇 실시형태들에서, 프로세싱 챔버 (1302) 에 대한 압력 제어는, 가스 유출구 (1317) 를 통한 가스 흐름을 제어하는 버터플라이 배브 (1318) 에 의해 제공될 수도 있다. 도 3의 실시형태에 도시된 바와 같이, 버터플라이 밸브 (1318) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 스로틀링 (throttle) 한다. 그러나, 몇몇 실시형태들에서, 프로세싱 챔버 (1302) 의 압력 제어는 또한, 예를 들어, 가스 유입구 (1313) 를 통해 프로세싱 챔버 (1302) 에 도입된 하나 이상의 가스들의 유동율을 변경시킴으로써 조정될 수도 있다. 몇몇 실시형태들에서, 다운스트림 진공 펌프는, SiC/SiCN 막들을 형성하기 위한 유기금속 화합물들의 반응 이후에 남겨진 금속 원자들로 구성되거나 함유하는 화학적 부산물들과 같이, 가스 유출구 (1317) 를 통해 프로세싱 챔버 (1302) 로부터 반응 부산물들을 배기시키도록 사용될 수도 있다.
상술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 툴에 포함될 수도 있다. 도 4는 단일 프로세싱 챔버 (2414) 내에 4개의 프로세싱 스테이션들 (2418) (1 내지 4로 라벨링됨) 을 갖는 멀티-스테이션 프로세싱 툴 (2400) 의 일 실시형태의 개략도를 도시한다. 프로세싱 챔버 (2414) 로의 액세스를 제공하기 위해, 프로세싱 툴 (2400) 은 인바운드 로드록 (2402) 및 아웃바운드 로드록 (2404) 을 갖고, 이들 중 어느 하나 또는 양자는 원격 플라즈마 소스를 포함할 수도 있다. 대기압에서, 로봇 (2406) 은 대기 포트 (2410) 를 통해 인바운드 로드록 (2402) 로 포드 (pod) (2408) 를 통해 로딩된 카세트로부터 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (2402) 내의 페데스탈 (2412) 상에 로봇 (2406) 에 의하여 배치되고, 대기 포트 (2410) 는 폐쇄되며, 로드록은 펌핑 다운된다. 인바운드 로드록 (2402) 이 원격 플라즈마 소스를 포함하는 경우, 웨이퍼는, 프로세싱 챔버 (2412) 로 도입되기 전에 로드록에서 원격 플라즈마 처리에 노출될 수도 있다. 추가적으로, 웨이퍼는 또한, 예를 들어, 습기 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (2402) 에서 가열될 수도 있다. 다음으로, 프로세싱 챔버 (2414) 로의 챔버 전달 포트 (2416) 는 개방되고, 다른 로봇 (미도시) 은 프로세싱을 위해 반응기에 도시된 제 1 스테이션의 페데스탈 상의 반응기로 웨이퍼를 배치시킨다. 도 4에 도시된 실시형태가 로드록들을 포함하지만, 몇몇 실시형태들에서, 프로세스 스테이션으로의 웨이퍼의 직접적인 진입이 제공될 수도 있음을 인식할 것이다.
도 4에 도시된 4개의 프로세스 스테이션들 (2418) 각각은 가열된 페데스탈 뿐만 아니라 하나 이상의 가스 유입구들을 가질 수도 있다. 몇몇 실시형태들에서, 각각의 프로세스 스테이션 (2418) 이 상이하거나 다수의 목적들을 가질 수도 있음을 인식할 것이다. 예를 들어, 몇몇 실시형태들에서, 프로세스 스테이션은, 몇몇 다른 타입의 막을 형성하기 위해 ALD SiC/SiCN 막 형성 프로세스 모드와 ALD 모드 사이에서 스위칭가능할 수도 있다. 몇몇 실시형태들에서, 프로세스 스테이션은 ALD 프로세스 모드와 PECVD (플라즈마 향상된 화학 기상 증착) 프로세스 모드 사이에서 스위칭가능할 수도 있다. 부가적으로 또는 대안적으로, 몇몇 실시형태들에서, 프로세싱 챔버 (2414) 는 ALD 및 PECVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도 4에 도시된 프로세싱 챔버 (2414) 가 4개의 프로세스 스테이션들 (2418) 을 포함하지만, 본 발명에 따른 프로세싱 챔버가 임의의 적절한 수의 스테이션들을 가질 수도 있음을 이해할 것이다. 예를 들어, 몇몇 실시형태들에서, 프로세싱 챔버는 5개 이상의 스테이션들을 가질 수도 있지만, 다른 실시형태들에서, 프로세싱 챔버는 3개 이하의 스테이션들을 가질 수도 있다. 그리고, 도 3에 도시된 프로세싱 챔버 (1302) 가단지 하나의 프로세스 스테이션을 가짐을 유의한다.
도 4는 또한, 프로세싱 챔버 (2414) 내에 웨이퍼들을 전달하기 위한 웨이퍼 핸들링 시스템 (2490) 의 일 실시형태를 도시한다. 몇몇 실시형태들에서, 웨이퍼 핸들링 시스템 (2490) 은 다양한 프로세스 스테이션들 (2418) 사이 및/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 전달할 수도 있다. 임의의 적절한 웨이퍼 핸들링 시스템이 이용될 수도 있음을 인식할 것이다. 비-제한적인 예들은 웨이퍼 캐러셀 (carousel) 들 및 웨이퍼 핸들링 로봇들을 포함한다.
도 4는 또한, 프로세스 툴 (2400) 의 프로세스 조건들 및 하드웨어 상태들을 제어하고 프로세스 툴 (2400) 의 다양한 컴포넌트들을 동작시키기 위한 명령들을 갖는 머신 판독가능 코드를 가진 시스템 제어기 (2450) 의 일 실시형태를 도시한다. 시스템 제어기 (2450) 는 하나 이상의 메모리 디바이스들 (2456), 하나 이상의 대용량 저장 디바이스들 (2454), 및 하나 이상의 프로세서들 (2452) 을 포함할 수도 있다. 프로세서 (2452) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속들, 스테퍼 모터 제어기 보드들 등을 포함할 수도 있다. 시스템 제어기 (2450) 는, 대용량 저장 디바이스 (2454) 로부터 메모리 디바이스 (2456) 에 로딩되는 머신 판독가능 코드 (2458) 를 프로세서 (2452) 상에서 실행할 수도 있다.
몇몇 실시형태들에서, 시스템 제어기 (2450) 는 프로세스 툴 (2400) 의 모든 활성화들을 동작 및 제어하기 위한 머신 판독가능 코드의 형태로 명령들을 갖는다. 몇몇 실시형태들에서, 시스템 제어기 (2450) 는 상술된 SiC/SiCN 막 증착 프로세스들을 구현하기 위한 머신 판독가능 코드의 형태로 명령들을 갖는다. 이들 명령들은 타이밍, 가스들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, DC 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (2400) 에 의해 수행되는 특정한 프로세스의 임의의 다른 파라미터들을 제어하기 위한 명령들을 포함할 수도 있다. 예를 들어, 몇몇 실시형태들에서, 머신 판독가능 코드 (2458) 는 도 3의 반응물 전달 시스템 (1301) 와 같은 반응물 전달 시스템을 동작시키기 위한 명령들을 포함할 수도 있다. 이들 명령들은, 실리콘-함유 막 전구체가 조건들 하에서 기판의 표면 상으로 흡착되도록 프로세싱 챔버로 실리콘-함유 막 전구체를 도입하기 위한 명령들을 포함할 수도 있어서, 그에 의해, 실리콘-함유 막 전구체는 흡착-제한된 층을 형성한다. 이들 명령들은 또한, 유기금속 리간드 전달 반응물이 리간드 전달제의 실질적으로 모든 금속을 함유하는 부산물 및 막 층을 형성하기 위해 흡착된 실리콘-함유 막 전구체와 반응하도록, 프로세싱 챔버로 유기금속 리간드 전달제를 도입하도록 반응물 전달 시스템을 동작시키기 위한 명령들을 포함할 수도 있다. 몇몇 실시형태들에서, 머신 판독가능 코드 (2458) 는 프로세싱 챔버 (예를 들어, 도 4의 (2414)) 로부터 하나 이상의 반응 부산물들을 배기하기 위해 하나 이상의 가스 유출구들 (예를 들어, 도 3의 (1317)) 을 동작시키기 위한 명령들을 포함할 수도 있다.
프로세스 툴 (2400) 을 동작 및 제어하기 위한 머신 판독가능 코드 (2458) 는 임의의 적절한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 오브젝트들은, 다양한 프로세스 툴 프로세스들을 수행하기에 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 기입될 수도 있다. 머신 판독가능 코드 (2458) 는 임의의 적절한 컴퓨터 판독가능 프로그래밍 언어로 기입/코딩될 수도 있다.
몇몇 실시형태들에서, 프로세스 툴 (2400) 을 동작 및 제어하기 위한 머신 판독가능 코드 (2458) 는 상술된 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀싱 명령들을 포함할 수도 있다. 예를 들어, ALD 프로세스의 각각의 페이즈는 시스템 제어기 (2450) 에 의한 실행을 위한 하나 이상의 명령들을 포함할 수도 있다. ALD 프로세스 페이즈에 대한 프로세스 조건들을 셋팅하기 위한 명령들은 대응하는 ALD 레시피 페이즈에 포함될 수도 있다. 몇몇 실시형태들에서, ALD 레시피 페이즈들은 순차적으로 배열될 수도 있으므로, ALD 프로세스 페이지에 대한 모든 명령들은 그 프로세스 페이즈와 동시에 실행된다.
시스템 제어기 (2450) 와 연관된 대용량 저장 디바이스 (2454) 및/또는 메모리 디바이스 (2456) 상에 저장된 다른 머신 판독가능 코드가 몇몇 실시형태들에서 이용될 수도 있다. 그러한 머신 판독가능 코드의 예들은 기판 위치결정 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 플라즈마 제어 프로그램과 같은 프로그램들 또는 프로그램들의 섹션들을 포함할 수도 있다.
기판 위치결정 프로그램은, 페데스탈 (2418) 상으로 반도체 기판을 로딩하고, 프로세스 툴 (2400) 의 다른 부분들과 기판 사이의 간격을 제어하는데 사용되는 프로세스 툴 컴포넌트들에 대한 머신 판독가능 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은, 프로세스 스테이션 내의 압력을 안정화시키기 위해 증착 이전에 가스 조성 및 유동율들을 제어하고, 선택적으로는 하나 이상의 프로세스 스테이션들로 가스를 흐르게 하기 위한 머신 판독가능 코드를 포함할 수도 있다. 압력 제어 프로그램은, 예를 들어, 프로세스 스테이션의 배출 시스템 내의 스로틀 밸브, 프로세스 스테이션으로의 가스 흐름 등을 조절함으로써, 프로세스 스테이션 내의 압력을 제어하기 위한 머신 판독가능 코드를 포함할 수도 있다.
가열기 제어 프로그램은 기판을 가열시키기는데 사용되는 가열 유닛으로의 전류를 제어하기 위한 머신 판독가능 코드를 포함할 수도 있다. 대안적으로, 가열기 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은, 하나 이상의 프로세스 스테이션들 내의 프로세스 전극들에 인가된 RF 전력 레벨들을 셋팅하기 위한 머신 판독가능 코드를 포함할 수도 있다.
몇몇 실시형태들에서, 시스템 제어기 (2450) 와 연관된 사용자 인터페이스를 제공하는 컴퓨터 소프트웨어 및/또는 프로그램들이 존재할 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들을 포함할 수도 있다. 사용자 인터페이스는 다양한 포인팅 디바이스들 (예를 들어, 마우스들), 키보드들, 터치 스크린들, 터치패드들, 마이크로폰들 등으로부터 입력을 수용하도록 구성될 수도 있다. 몇몇 실시형태들에서, 사용자는, 시스템 제어기 (2450) 에 의해 판독 및 실행되는 (파라미터들을 수동적으로 입력하거나, 파일로부터 로딩하거나 등에 의해) 프로세스 파라미터들/조건들의 레시피를 입력하기 위해 사용자 인터페이스를 동작시킬 수도 있다. 그러한 레시피들은 프로세스 가스 조성, 프로세스 가스 유동율들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 압력, 온도 등을 포함할 수도 있지만, 이에 제한되지 않는다.
ALD 프로세스들을 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (2450) 의 아날로그 및/또는 디지털 입력 접속들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (2400) 의 아날로그 및/또는 디지털 출력 접속들 상에서 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비-제한적인 예들은, 대용량 흐름 제어기들, (마노미터들과 같은) 압력 센서들, 열전쌍들 등을 포함한다. 적절히 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
상술된 장치/프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전자 패널들 등의 제작 또는 제조를 위해 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 필수적이지는 않지만 통상적으로, 그러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래피 패터닝은 통상적으로, 다음의 동작들 중 일부 또는 전부를 포함하며, 각각의 동작은 다수의 가능한 툴들을 이용하여 인에이블된다: (1) 스핀-온 또는 스프레이-온 툴을 사용하여 워크피스, 즉 기판 상의 포토레지스트의 도포; (2) 뜨거운 플레이트 또는 용광로 또는 UV 경화 툴을 사용하는 포토레지스트의 경화; (3) 웨이퍼 스테퍼와 같은 툴을 이용하여 가시 또는 UV 또는 X-레이 광에 포토레지스트를 노출시킴; (4) 레지스트를 선택적으로 제거하고, 그에 의해, 습윤 벤치와 같은 툴을 사용하여 그것을 패터닝하기 위해 레지스트를 현상함; (5) 건조 또는 플라즈마-보조 에칭 툴을 사용함으로써 하부 막 또는 워크피스로 레지스트 패턴을 전사함; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼와 같은 툴을 사용하여 레지스트를 제거함.
다른 실시형태들
전술한 기재된 프로세스들, 방법들, 시스템들, 장치들, 및 조성들이 명확화 및 이해를 촉진하려는 목적을 위해 특정한 실시형태들의 콘텍스트 내에서 상세히 설명되었지만, 본 발명의 사상 내에 있는 이들 프로세스들, 방법들, 시스템들, 장치들, 및 조성들을 구현하는 많은 대안적인 방식들이 존재함은 당업자에게 명백할 것이다. 따라서, 여기에 설명된 실시형태들은 제한보다는 기재된 본 발명의 개념들을 예시하는 것으로서 보일 것이며, 첨부된 청구항들의 범위를 불필요하게 제한하기 위한 허용되지 않은 기반으로서 사용되지는 않는다.

Claims (31)

  1. 프로세싱 챔버 내의 반도체 기판의 표면 상에 SiC/SiCN 막 층을 형성하는 방법으로서,
    상기 프로세싱 챔버로 실리콘-함유 막-전구체를 도입하는 단계;
    상기 프로세싱 챔버로 유기금속 리간드 전달제를 도입하는 단계로서, 상기 유기금속 리간드 전달제는 할로겐 원자를 함유하지 않는 금속 알킬아민 화합물인, 상기 유기금속 리간드 전달제를 도입하는 단계;
    상기 실리콘-함유 막-전구체와 상기 유기금속 리간드 전달제 중 어느 하나 또는 양자가 흡착-제한된 (absorption-limited) 층을 형성하게 하는 조건들 하에서, 상기 실리콘-함유 막-전구체, 상기 유기금속 리간드 전달제, 또는 이 양자를 상기 표면 상으로 흡착시키는 단계;
    상기 실리콘-함유 막-전구체와 상기 유기금속 리간드 전달제 중 어느 하나 또는 양자가 상기 흡착-제한된 층을 형성한 후에, 상기 SiC/SiCN 막 층, 및 상기 유기금속 리간드 전달제 중의 실질적으로 모든 금속을 함유하는 부산물을 형성하도록 상기 유기금속 리간드 전달제와 상기 실리콘-함유 막-전구체를 반응시키는 단계; 및
    상기 프로세싱 챔버로부터 상기 부산물을 제거하는 단계를 포함하는, SiC/SiCN 막 층을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 SiC/SiCN 막 층은 컨포멀 (conformal) 막 층인, SiC/SiCN 막 층을 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 막이 형성되는 상기 기판의 표면은 패터닝된 3차원 피쳐들을 갖는, SiC/SiCN 막 층을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 실리콘-함유 막-전구체는, 할로겐 원자를 함유하지 않는 실란인, SiC/SiCN 막 층을 형성하는 방법.
  5. 제 4 항에 있어서,
    상기 실란은 메틸, 에틸, 프로필, 및 t-부틸 기능기들로부터 선택된 알킬기를 포함하는, SiC/SiCN 막 층을 형성하는 방법.
  6. 제 5 항에 있어서,
    상기 실란은 메틸, 에틸, 프로필, 및 t-부틸 기능기들로부터 선택된 2개의 알킬기들을 포함하는, SiC/SiCN 막 층을 형성하는 방법.
  7. 제 5 항에 있어서,
    상기 실란은 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란, 트리메틸실란, 디에틸실란, 디-t-부틸실란, 알릴실란, 2차-부틸실란, 세릴실란 (thexylsilane), 이소아밀실란, t-부틸디실란, 및 디-t-부틸디실란으로부터 선택되는, SiC/SiCN 막 층을 형성하는 방법.
  8. 제 4 항에 있어서,
    상기 실란은 제 1 아미노기를 포함하는, SiC/SiCN 막 층을 형성하는 방법.
  9. 제 8 항에 있어서,
    상기 실란은 제 2 아미노기를 더 포함하고,
    상기 제 1 아미노기는 알킬기로 치환되고 (substitute),
    상기 제 2 아미노기는 알킬기로 치환되는, SiC/SiCN 막 층을 형성하는 방법.
  10. 제 8 항에 있어서,
    상기 실란은, 모노-아미노실란, 디-아미노실란, 트리-아미노실란, 테트라-아미노실란, t-부틸아미노실란, 메틸아미노실란, t-부틸실란아민, n-터트-부틸트리메틸시릴아민, t-부틸 시릴카바메이트, SiHCH3(N(CH3)2)2, SiH(N(CH3)2)3, SiHCl(N(CH3)2)2, Si(CH3)2(NH2)2, N(SiH3)3, 및 (NR)2Si(CH3)2 로부터 선택되며,
    상기 R은 H, Me, Et, i-Pr, n-Pr, 및 t-부틸로부터 선택되는, SiC/SiCN 막 층을 형성하는 방법.
  11. 제 1 항에 있어서,
    상기 실리콘-함유 막-전구체는 상기 유기금속 리간드 전달제를 상기 프로세싱 챔버 내로 도입하기 전에 상기 흡착-제한된 층을 형성하는, SiC/SiCN 막 층을 형성하는 방법.
  12. 제 1 항에 있어서,
    상기 유기금속 리간드 전달제는 상기 실리콘-함유 막-전구체를 상기 프로세싱 챔버 내로 도입하기 전에 상기 흡착-제한된 층을 형성하는, SiC/SiCN 막 층을 형성하는 방법.
  13. 제 1 항에 있어서,
    상기 금속 알킬아민 화합물의 알킬기들은 메틸 기능기, 에틸 기능기, 프로필 기능기 및 t-부틸 기능기로부터 선택되는, SiC/SiCN 막 층을 형성하는 방법.
  14. 반도체 기판의 표면 상에 SiC/SiCN 막 층을 형성하기 위한 반도체 프로세싱 장치로서,
    프로세싱 챔버 내에 기판 홀더를 갖는 상기 프로세싱 챔버;
    상기 프로세싱 챔버에 유체이동되게 (fluidically) 연결된 반응물 전달 시스템;
    하나 이상의 진공 펌프들;
    상기 하나 이상의 진공 펌프들에 상기 프로세싱 챔버를 유체이동가능하게 연결시키는 하나 이상의 가스 유출구들; 및
    머신 판독가능 코드를 포함하는 비일시적 머신 판독가능 매체를 포함하는 시스템 제어기를 포함하고,
    상기 머신 판독가능 코드는,
    실리콘-함유 막-전구체가 흡착-제한된 층을 형성하게 하는 조건들 하에서 상기 실리콘-함유 막-전구체가 상기 기판의 표면 상으로 흡착되도록 상기 실리콘-함유 막-전구체를 상기 프로세싱 챔버 내로 도입시키도록 상기 반응물 전달 시스템을 동작시키기 위한 명령들;
    유기금속 리간드 전달제를 상기 프로세싱 챔버 내로 도입시키도록 상기 반응물 전달 시스템을 동작시키기 위한 명령들로서, 상기 유기금속 리간드 전달제는 할로겐 원자를 함유하지 않는 금속 알킬아민 화합물이고, 상기 유기금속 리간드 전달제는 상기 SiC/SiCN 막 층, 및 실질적으로 모든 금속을 함유하는 부산물을 형성하게 상기 유기금속 리간드 전달제가 상기 흡착된 실리콘-함유 막-전구체와 반응하도록 도입되는, 상기 명령들; 및
    상기 프로세싱 챔버로부터 상기 부산물을 배기시키도록 상기 하나 이상의 가스 유출구들을 동작시키기 위한 명령들을 포함하는, 반도체 프로세싱 장치.
  15. 제 14 항에 있어서,
    상기 SiC/SiCN 막 층은 컨포멀 막 층인, 반도체 프로세싱 장치.
  16. 제 15 항에 있어서,
    상부에 상기 막이 형성되는 상기 기판의 상기 표면은 패터닝된 3차원 피쳐들을 갖는, 반도체 프로세싱 장치.
  17. 제 14 항에 있어서,
    상기 실리콘-함유 막-전구체는 할로겐 원자를 함유하지 않는 실란인, 반도체 프로세싱 장치.
  18. 제 17 항에 있어서,
    상기 실란은 메틸 기능기, 에틸 기능기, 프로필 기능기, 및 t-부틸 기능기로부터 선택된 알킬기를 포함하는, 반도체 프로세싱 장치.
  19. 제 18 항에 있어서,
    상기 실란은 상기 메틸 기능기, 에틸 기능기, 프로필 기능기, 및 t-부틸 기능기로부터 선택된 2개의 알킬기를 포함하는, 반도체 프로세싱 장치.
  20. 제 18 항에 있어서,
    상기 실란은 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란, 트리메틸실란, 디에틸실란, 디-t-부틸실란, 알릴실란, 2차-부틸실란, 세릴실란, 이소아밀실란, t-부틸디실란, 및 디-t-부틸디실란으로부터 선택되는, 반도체 프로세싱 장치.
  21. 제 17 항에 있어서,
    상기 실란은 제 1 아미노기를 포함하는, 반도체 프로세싱 장치.
  22. 제 21 항에 있어서,
    상기 실란은 제 2 아미노기를 더 포함하고,
    상기 제 1 아미노기는 알킬기로 치환되고,
    상기 제 2 아미노기는 알킬기로 치환되는, 반도체 프로세싱 장치.
  23. 제 14 항에 있어서,
    상기 실리콘-함유 막-전구체는 상기 유기금속 리간드 전달제를 상기 프로세싱 챔버 내로 도입하기 전에 상기 흡착-제한된 층을 형성하는, 반도체 프로세싱 장치.
  24. 제 14 항에 있어서,
    상기 유기금속 리간드 전달제는 상기 실리콘-함유 막-전구체를 상기 프로세싱 챔버 내로 도입하기 전에 상기 흡착-제한된 층을 형성하는, 반도체 프로세싱 장치.
  25. 제 14 항에 있어서,
    상기 금속 알킬아민 화합물의 알킬기들은 메틸 기능기, 에틸 기능기, 프로필 기능기 및 t-부틸 기능기로부터 선택되는, 반도체 프로세싱 장치.
  26. 프로세싱 챔버 내의 반도체 기판의 표면 상에 SiC/SiCN 막 층을 형성하는 방법으로서,
    상기 프로세싱 챔버로 실리콘-함유 막-전구체를 도입하는 단계;
    상기 프로세싱 챔버로 유기금속 리간드 전달제를 도입하는 단계로서, 상기 유기금속 리간드 전달제는 아연을 포함하는, 상기 유기금속 리간드 전달제를 도입하는 단계;
    상기 실리콘-함유 막-전구체와 상기 유기금속 리간드 전달제 중 어느 하나 또는 양자가 흡착-제한된 층을 형성하게 하는 조건들 하에서, 상기 실리콘-함유 막-전구체, 상기 유기금속 리간드 전달제, 또는 이 양자를 상기 표면 상으로 흡착시키는 단계;
    상기 실리콘-함유 막-전구체와 상기 유기금속 리간드 전달제 중 어느 하나 또는 양자가 상기 흡착-제한된 층을 형성한 후에, 상기 SiC/SiCN 막 층, 및 상기 유기금속 리간드 전달제 중의 실질적으로 모든 금속 아연을 함유하는 부산물을 형성하도록 20 내지 100 ℃의 온도에서 상기 유기금속 리간드 전달제와 상기 실리콘-함유 막-전구체를 반응시키는 단계; 및
    상기 프로세싱 챔버로부터 상기 부산물을 제거하는 단계를 포함하는, SiC/SiCN 막 층을 형성하는 방법.
  27. 반도체 기판의 표면 상에 SiC/SiCN 막 층을 형성하기 위한 반도체 프로세싱 장치로서,
    프로세싱 챔버 내에 기판 홀더를 갖는 상기 프로세싱 챔버;
    상기 프로세싱 챔버에 유체이동되게 연결된 반응물 전달 시스템;
    하나 이상의 진공 펌프들;
    상기 하나 이상의 진공 펌프들에 상기 프로세싱 챔버를 유체이동되게 연결시키는 하나 이상의 가스 유출구들; 및
    머신 판독가능 코드를 포함하는 비일시적인 머신 판독가능 매체를 포함하는 시스템 제어기를 포함하고,
    상기 머신 판독가능 코드는,
    실리콘-함유 막-전구체가 상기 기판의 표면 상으로 흡착되도록 상기 실리콘-함유 막-전구체를 상기 프로세싱 챔버 내로 도입시키도록 상기 반응물 전달 시스템을 동작시키기 위한 명령들;
    유기금속 리간드 전달제를 상기 프로세싱 챔버 내로 도입시키도록 상기 반응물 전달 시스템을 동작시키기 위한 명령들로서, 상기 유기금속 리간드 전달제는 아연을 포함하는, 상기 명령들;
    상기 SiC/SiCN 막 층, 및 실질적으로 모든 아연을 함유하는 부산물을 형성하게 상기 유기금속 리간드 전달제가 상기 흡착된 실리콘-함유 막-전구체와 반응하는 동안 상기 프로세싱 챔버 내 온도를 20 내지 100 ℃로 유지하기 위한 명령들; 및
    상기 프로세싱 챔버로부터 상기 부산물을 배기시키도록 상기 하나 이상의 가스 유출구들을 동작시키기 위한 명령들을 포함하는, 반도체 프로세싱 장치.
  28. 프로세싱 챔버 내의 반도체 기판의 표면 상에 SiC/SiCN 막 층을 형성하는 방법으로서,
    상기 프로세싱 챔버로 실리콘-함유 막-전구체를 도입하는 단계;
    상기 프로세싱 챔버로 유기금속 리간드 전달제를 도입하는 단계로서, 상기 유기금속 리간드 전달제는 아연 및 탄소를 포함하는, 상기 유기금속 리간드 전달제를 도입하는 단계;
    상기 실리콘-함유 막-전구체와 상기 유기금속 리간드 전달제 중 어느 하나 또는 양자가 흡착-제한된 층을 형성하게 하는 조건들 하에서, 상기 실리콘-함유 막-전구체, 상기 유기금속 리간드 전달제, 또는 이 양자를 상기 표면 상으로 흡착시키는 단계;
    상기 실리콘-함유 막-전구체와 상기 유기금속 리간드 전달제 중 어느 하나 또는 양자가 상기 흡착-제한된 층을 형성한 후에, 상기 SiC/SiCN 막 층 및 부산물을 형성하도록 상기 유기금속 리간드 전달제와 상기 실리콘-함유 막-전구체를 반응시키는 단계로서, 상기 막 층은 상기 유기금속 리간드 전달제로부터 전달되고, 상기 부산물은 상기 유기금속 리간드 전달제 중의 실질적으로 모든 아연을 함유하는, 상기 유기금속 리간드 전달제와 상기 실리콘-함유 막-전구체를 반응시키는 단계; 및
    상기 프로세싱 챔버로부터 상기 부산물을 제거하는 단계를 포함하는, SiC/SiCN 막 층을 형성하는 방법.
  29. 프로세싱 챔버 내의 반도체 기판의 표면 상에 SiC/SiCN 막 층을 형성하는 방법으로서,
    상기 프로세싱 챔버로 할로겐-프리 실리콘-함유 막-전구체를 도입하는 단계;
    상기 프로세싱 챔버로 유기금속 리간드 전달제를 도입하는 단계로서, 상기 유기금속 리간드 전달제는 마그네슘을 포함하는, 상기 유기금속 리간드 전달제를 도입하는 단계;
    상기 실리콘-함유 막-전구체와 상기 유기금속 리간드 전달제 중 어느 하나 또는 양자가 흡착-제한된 층을 형성하게 하는 조건들 하에서, 상기 실리콘-함유 막-전구체, 상기 유기금속 리간드 전달제, 또는 이 양자를 상기 표면 상으로 흡착시키는 단계;
    상기 실리콘-함유 막-전구체와 상기 유기금속 리간드 전달제 중 어느 하나 또는 양자가 상기 흡착-제한된 층을 형성한 후에, 상기 SiC/SiCN 막 층, 및 상기 유기금속 리간드 전달제 중의 실질적으로 모든 마그네슘을 함유하는 부산물을 형성하도록 상기 유기금속 리간드 전달제와 상기 실리콘-함유 막-전구체를 반응시키는 단계; 및
    상기 프로세싱 챔버로부터 상기 부산물을 제거하는 단계를 포함하는, SiC/SiCN 막 층을 형성하는 방법.
  30. 반도체 기판의 표면 상에 SiC/SiCN 막 층을 형성하기 위한 반도체 프로세싱 장치로서,
    프로세싱 챔버 내에 기판 홀더를 갖는 상기 프로세싱 챔버;
    상기 프로세싱 챔버에 유체이동되게 연결된 반응물 전달 시스템;
    하나 이상의 진공 펌프들;
    상기 하나 이상의 진공 펌프들에 상기 프로세싱 챔버를 유체이동되게 연결시키는 하나 이상의 가스 유출구들; 및
    머신 판독가능 코드를 포함하는 비일시적인 머신 판독가능 매체를 포함하는 시스템 제어기를 포함하고,
    상기 머신 판독가능 코드는,
    할로겐-프리 실리콘-함유 막-전구체가 상기 기판의 표면 상으로 흡착되도록 상기 할로겐-프리 실리콘-함유 막-전구체를 상기 프로세싱 챔버 내로 도입시키도록 상기 반응물 전달 시스템을 동작시키기 위한 명령들;
    유기금속 리간드 전달제를 상기 프로세싱 챔버 내로 도입시키도록 상기 반응물 전달 시스템을 동작시키기 위한 명령들로서, 상기 유기금속 리간드 전달제는 마그네슘을 포함하는, 상기 명령들;
    상기 SiC/SiCN 막 층, 및 실질적으로 모든 마그네슘을 함유하는 부산물을 형성하게 상기 유기금속 리간드 전달제가 상기 흡착된 실리콘-함유 막-전구체와 반응하도록 상기 프로세싱 챔버 내 온도 및 압력을 유지하기 위한 명령들; 및
    상기 프로세싱 챔버로부터 상기 부산물을 배기시키도록 상기 하나 이상의 가스 유출구들을 동작시키기 위한 명령들을 포함하는, 반도체 프로세싱 장치.
  31. 프로세싱 챔버 내의 반도체 기판의 표면 상에 SiC/SiCN 막 층을 형성하는 방법으로서,
    상기 프로세싱 챔버로 할로겐-프리 실리콘-함유 막-전구체를 도입하는 단계;
    상기 프로세싱 챔버로 유기금속 리간드 전달제를 도입하는 단계로서, 상기 유기금속 리간드 전달제는 마그네슘 및 탄소를 포함하는, 상기 유기금속 리간드 전달제를 도입하는 단계;
    상기 실리콘-함유 막-전구체와 상기 유기금속 리간드 전달제 중 어느 하나 또는 양자가 흡착-제한된 층을 형성하게 하는 조건들 하에서, 상기 실리콘-함유 막-전구체, 상기 유기금속 리간드 전달제, 또는 이 양자를 상기 표면 상으로 흡착시키는 단계;
    상기 실리콘-함유 막-전구체와 상기 유기금속 리간드 전달제 중 어느 하나 또는 양자가 상기 흡착-제한된 층을 형성한 후에, 상기 SiC/SiCN 막 층 및 부산물을 형성하도록 상기 유기금속 리간드 전달제와 상기 실리콘-함유 막-전구체를 반응시키는 단계로서, 상기 막 층은 상기 유기금속 리간드 전달제로부터 전달되고, 상기 부산물은 상기 유기금속 리간드 전달제 중의 실질적으로 모든 마그네슘을 함유하는, 상기 유기금속 리간드 전달제와 상기 실리콘-함유 막-전구체를 반응시키는 단계; 및
    상기 프로세싱 챔버로부터 상기 부산물을 제거하는 단계를 포함하는, SiC/SiCN 막 층을 형성하는 방법.
KR1020140003642A 2013-01-10 2014-01-10 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들 KR102209817B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210010430A KR102357418B1 (ko) 2013-01-10 2021-01-25 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/738,851 US8993460B2 (en) 2013-01-10 2013-01-10 Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US13/738,851 2013-01-10

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210010430A Division KR102357418B1 (ko) 2013-01-10 2021-01-25 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들

Publications (2)

Publication Number Publication Date
KR20140090964A KR20140090964A (ko) 2014-07-18
KR102209817B1 true KR102209817B1 (ko) 2021-01-29

Family

ID=51061274

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020140003642A KR102209817B1 (ko) 2013-01-10 2014-01-10 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
KR1020210010430A KR102357418B1 (ko) 2013-01-10 2021-01-25 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
KR1020220011053A KR20220017457A (ko) 2013-01-10 2022-01-25 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020210010430A KR102357418B1 (ko) 2013-01-10 2021-01-25 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
KR1020220011053A KR20220017457A (ko) 2013-01-10 2022-01-25 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들

Country Status (5)

Country Link
US (3) US8993460B2 (ko)
JP (1) JP6370046B2 (ko)
KR (3) KR102209817B1 (ko)
SG (1) SG2014002299A (ko)
TW (1) TWI589722B (ko)

Families Citing this family (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6092820B2 (ja) * 2014-07-18 2017-03-08 三井造船株式会社 成膜装置及び成膜方法
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
EP3024019A1 (en) * 2014-11-24 2016-05-25 IMEC vzw Method for direct bonding of semiconductor substrates.
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
JP6378070B2 (ja) * 2014-12-15 2018-08-22 東京エレクトロン株式会社 成膜方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6479560B2 (ja) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 成膜装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10366988B2 (en) 2015-08-14 2019-07-30 International Business Machines Corporation Selective contact etch for unmerged epitaxial source/drain regions
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP2017105697A (ja) * 2015-11-26 2017-06-15 東洋炭素株式会社 薄型のSiCウエハの製造方法及び薄型のSiCウエハ
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10269560B2 (en) * 2016-06-15 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Atomic layer deposition method for manufacturing semiconductor structure
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) * 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
KR102616489B1 (ko) 2016-10-11 2023-12-20 삼성전자주식회사 반도체 장치 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6824717B2 (ja) * 2016-12-09 2021-02-03 東京エレクトロン株式会社 SiC膜の成膜方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9972501B1 (en) 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10283404B2 (en) * 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6869141B2 (ja) * 2017-08-09 2021-05-12 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN115992345A (zh) * 2017-09-14 2023-04-21 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物和方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7396998B2 (ja) * 2018-03-26 2023-12-12 ラム リサーチ コーポレーション 炭素膜の原子層堆積
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102614A (ko) 2019-02-21 2020-09-01 삼성전자주식회사 반도체 소자의 제조 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11621172B2 (en) * 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20230021993A (ko) * 2021-08-06 2023-02-14 주성엔지니어링(주) SiC 기판의 제조 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI790943B (zh) * 2022-03-11 2023-01-21 漢民科技股份有限公司 化學氣相沉積系統與方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080274281A1 (en) * 2004-08-04 2008-11-06 Applied Microstructures, Inc. Vapor deposited functional organic coatings deposited on a halogen-containing substrate
US20110291284A1 (en) 2010-05-27 2011-12-01 International Business Machines Corporation INTERCONNECT STRUCTURE WITH AN OXYGEN-DOPED SiC ANTIREFLECTIVE COATING AND METHOD OF FABRICATION
US20120177841A1 (en) * 2010-09-24 2012-07-12 Applied Materials, Inc. Low Temperature Silicon Carbide Deposition Process

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4696834A (en) * 1986-02-28 1987-09-29 Dow Corning Corporation Silicon-containing coatings and a method for their preparation
JPH04167477A (ja) * 1990-10-31 1992-06-15 Toshiba Corp 半導体素子
US5316793A (en) * 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
JP2000164921A (ja) * 1998-11-26 2000-06-16 Mitsubishi Materials Corp 半導体発光材料及びその製造方法並びにこれを用いた発光素子
JP2003264154A (ja) * 1999-03-23 2003-09-19 Matsushita Electric Ind Co Ltd 半導体膜の成長方法及び半導体装置の製造方法
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
KR101003700B1 (ko) * 2003-08-19 2010-12-23 주성엔지니어링(주) 원자층 증착을 이용한 금속 산화막 형성 방법
JP2004343133A (ja) * 2004-06-21 2004-12-02 Hoya Corp 炭化珪素製造方法、炭化珪素及び半導体装置
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP2007201336A (ja) * 2006-01-30 2007-08-09 Hitachi Ltd 半導体積層体の形成方法
EP1842940A1 (en) * 2006-04-06 2007-10-10 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a group III nitride material on a silicon substrate
US20080110486A1 (en) * 2006-11-15 2008-05-15 General Electric Company Amorphous-crystalline tandem nanostructured solar cells
JP2009231574A (ja) * 2008-03-24 2009-10-08 Sanken Electric Co Ltd SiC半導体素子とその製造方法並びにその製造装置
JP5411171B2 (ja) * 2010-02-05 2014-02-12 東京エレクトロン株式会社 アモルファスカーボン膜を含む積層構造を形成する方法
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US9938303B2 (en) * 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080274281A1 (en) * 2004-08-04 2008-11-06 Applied Microstructures, Inc. Vapor deposited functional organic coatings deposited on a halogen-containing substrate
US20110291284A1 (en) 2010-05-27 2011-12-01 International Business Machines Corporation INTERCONNECT STRUCTURE WITH AN OXYGEN-DOPED SiC ANTIREFLECTIVE COATING AND METHOD OF FABRICATION
US20120177841A1 (en) * 2010-09-24 2012-07-12 Applied Materials, Inc. Low Temperature Silicon Carbide Deposition Process

Also Published As

Publication number Publication date
KR20140090964A (ko) 2014-07-18
JP6370046B2 (ja) 2018-08-08
US20150170900A1 (en) 2015-06-18
US8993460B2 (en) 2015-03-31
TW201443271A (zh) 2014-11-16
TWI589722B (zh) 2017-07-01
US20160233081A1 (en) 2016-08-11
JP2014143416A (ja) 2014-08-07
KR20210014180A (ko) 2021-02-08
US20140193983A1 (en) 2014-07-10
US9552982B2 (en) 2017-01-24
SG2014002299A (en) 2014-08-28
US9343296B2 (en) 2016-05-17
KR20220017457A (ko) 2022-02-11
KR102357418B1 (ko) 2022-02-08

Similar Documents

Publication Publication Date Title
KR102357418B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
US10741458B2 (en) Methods for depositing films on sensitive substrates
US10043655B2 (en) Plasma activated conformal dielectric film deposition
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
TWI682459B (zh) 電漿活化之保形介電薄膜沉積
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
US9611544B2 (en) Plasma activated conformal dielectric film deposition
KR102031377B1 (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition
WO2023196437A1 (en) Deposition of metal-containing films and chamber clean

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant