JP7485736B2 - 3d nandデバイス用の誘電体材料上におけるモリブデンを含有する低抵抗膜の成膜 - Google Patents

3d nandデバイス用の誘電体材料上におけるモリブデンを含有する低抵抗膜の成膜 Download PDF

Info

Publication number
JP7485736B2
JP7485736B2 JP2022145721A JP2022145721A JP7485736B2 JP 7485736 B2 JP7485736 B2 JP 7485736B2 JP 2022145721 A JP2022145721 A JP 2022145721A JP 2022145721 A JP2022145721 A JP 2022145721A JP 7485736 B2 JP7485736 B2 JP 7485736B2
Authority
JP
Japan
Prior art keywords
layer
deposition
reducing agent
substrate
molybdenum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022145721A
Other languages
English (en)
Other versions
JP2022184943A5 (ja
JP2022184943A (ja
Inventor
トンバレ・シュルティ・ビベク
フマユン・ラシーナ
ダネク・ミハル
ライ・チウキン・スティーブン
コリンズ・ジョシュア
バンノルカー・ハンナ
ケネディ・グリフィン・ジョン
ブタイル・ゴラン
クリーンプット・パトリック バン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022184943A publication Critical patent/JP2022184943A/ja
Publication of JP2022184943A5 publication Critical patent/JP2022184943A5/ja
Application granted granted Critical
Publication of JP7485736B2 publication Critical patent/JP7485736B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/08Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Description

[関連出願の相互参照]
本願は、米国特許法第119条の下、2017年4月10日出願の米国仮特許出願第62/483,857号の利益を主張し、その仮特許出願は、参照によって本書に組み込まれる。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
化学蒸着(CVD)技術を用いたタングステン(W)膜蒸着は、半導体製造処理の不可欠な部分である。例えば、タングステン膜は、水平相互接続の形態の低抵抗電気接続、隣接する金属層の間のビア、ならびに、シリコン基板上の第1の金属層およびデバイスの間のコンタクトとして利用されうる。また、タングステン膜は、様々なメモリ用途(ダイナミックランダムアクセスメモリ(DRAM)のための埋め込みワード線(bWL)アーキテクチャの形成など)およびロジック用途でも利用されうる。bWL蒸着の一例では、WFを用いたCVD処理によってTiN/W二層を形成するために、タングステン層が、窒化チタン(TiN)バリア層上に蒸着されうる。しかしながら、フィーチャサイズおよび膜厚が減少し続けていることで、TiN/W膜スタックには様々な課題がもたらされている。これらは、より薄い膜の高い抵抗率、および、TiNバリア特性の低下を含む。
本開示の一態様は、タングステン(W)含有層を基板上に提供する工程と、モリブデン(Mo)含有層をW含有層上に蒸着させる工程と、を備える、方法に関する。いくつかの実施形態において、W含有層は、WCN層である。いくつかの実施形態において、W含有層は、W核形成層である。いくつかの実施形態において、W含有層は、1または複数の塩化タングステン前駆体から蒸着される。いくつかの実施形態において、Mo含有層は、1(原子)%未満の不純物を有するMo層である。いくつかの実施形態において、方法は、Mo含有層を熱アニールする工程を備える。いくつかの実施形態において、Mo含有層は、還元剤と、六フッ化モリブデン(MoF)、五塩化モリブデン(MoCl)、二塩化二酸化モリブデン(MoOCl)、四塩化酸化モリブデン(MoOCl)、および、ヘキサカルボニルモリブデン(Mo(CO))から選択されたMo含有前駆体とに、W含有層を暴露させることによって蒸着される。いくつかの実施形態において、Mo含有前駆体への暴露中の基板温度は、550℃未満である。いくつかの実施形態において、基板は、第1基板温度で還元剤に暴露され、第2基板温度でMo含有前駆体に暴露され、第1基板温度は、第2基板温度よりも低い。いくつかの実施形態において、還元剤は、ホウ素含有還元剤とシリコン含有還元剤との混合物である。
本開示の別の態様は、第1基板温度で、基板を収容する処理チャンバに還元剤ガスを流して、基板上に共形還元剤層を形成する工程と、第2基板温度で共形還元剤層をモリブデン(Mo)含有前駆体に暴露させて、還元剤層をモリブデンに変換する工程と、を備える、方法に関する。いくつかの実施形態において、第1基板温度は、第2基板温度より低い。いくつかの実施形態において、還元剤は、ホウ素含有還元剤とシリコン含有還元剤との混合物である。いくつかの実施形態において、第1基板温度は400℃以下であり、第2基板温度は500℃以上である。いくつかの実施形態において、方法は、さらに、モリブデンをアニールする工程を備える。
本開示の別の態様は、還元剤をパルス供給する工程であって、還元剤は、ホウ素(B)含有、シリコン(Si)含有、または、ゲルマニウム(Ge)含有である、工程と、Mo含有前駆体をパルス供給する工程であって、Mo含有前駆体は、還元剤または還元剤の生成物によって還元されて、B、Si、および、Geの内の1以上を含む多成分タングステン含有膜を基板上に形成する、工程と、を備える、方法に関する。いくつかの実施形態において、多成分タングステン含有膜は、5%~60%(原子%)のB、Si、または、Geを含む。いくつかの実施形態において、5%~60%(原子%)のB、Si、または、Geは、還元剤によって供給される。
本開示の別の態様は、本明細書に開示された方法を実行するための装置である。これらの特徴および他の特徴について、図面を参照しつつさらに論じる。
様々な実施形態に従ったモリブデン(Mo)を含む材料スタックの概略的な例を示す図。 様々な実施形態に従ったモリブデン(Mo)を含む材料スタックの概略的な例を示す図。
Mo埋め込みワード線(bWL)を備えたDRAMアーキテクチャの概略的な例を示す図。
3D NAND構造内のMoワード線の概略的な例を示す図。
Moワード線および共形バリア層を含むMo充填後の製造途中の3D NAND構造の3Dフィーチャの2Dレンダリングを示す図。
開示された実施形態に従って実行される方法の処理フローチャート。 開示された実施形態に従って実行される方法の処理フローチャート。
タングステン(W)核形成層へのMoのCVD蒸着のための様々な基板温度およびチャンバ圧について、Mo厚さ(オングストローム)対CVD持続時間(秒)を示すグラフ。 タングステン(W)核形成層へのMoのCVD蒸着のための様々な基板温度およびチャンバ圧について、Mo抵抗率(μΩ-cm)対Mo厚さ(オングストローム)を示すグラフ。
様々な基板温度およびチャンバ圧でのWCNへのMoのCVD蒸着について、Moの成長率を示すグラフ。 様々な基板温度およびチャンバ圧でのWCNへのMoのCVD蒸着について、Moの抵抗率対Mo膜厚を示すグラフ。
CVD蒸着されたMo層の厚さおよび抵抗率をWCN下層の厚さの関数として示すグラフ。
2nmのWCN上に蒸着された様々な厚さのMoスタックについて800℃でのアニール後のスタック抵抗率の減少を示すグラフ。
本明細書に記載の実施形態に従って、蒸着処理を実行するのに適した処理システムを示すブロック図。
以下の説明では、提示した実施形態の完全な理解を促すために、数多くの具体的な詳細事項が示されている。開示された実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能である。また、開示した実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。開示した実施形態は、具体的な実施形態に関連して説明されているが、開示した実施形態を限定する意図はないことを理解されたい。
ロジックおよびメモリ用途のための低抵抗メタライゼーションスタック構造を本明細書に提供する。図1Aおよび図1Bは、様々な実施形態に従ったモリブデン(Mo)を含む材料スタックの概略的な例である。図1Aおよび図1Bは、特定のスタックにおける材料の順序を示しており、図2および図3に関して後に詳述するように、任意の適切なアーキテクチャおよび用途で用いられてよい。図1Aの例において、基板102が、その上に蒸着されたMo層108を有する。基板102は、シリコンまたはその他の半導体のウエハ、例えば、200mmウエハ、300mmウエハ、または、450mmウエハであってよく、誘電材料、導電材料、または、半導体材料などの1または複数の材料層を上に蒸着されたウエハを含みうる。方法は、その他の基板(ガラス、プラスチックなど)上にメタライゼーションスタック構造を形成するために適用されてもよい。
図1Aでは、誘電体層104が、基板102の上にある。誘電体層104が基板102の半導体(例えば、Si)表面上に直接蒸着されてもよいし、任意の数の中間層が存在してもよい。誘電体層の例は、ドープおよび非ドープの酸化シリコン、窒化シリコン、および、酸化アルミニウムの層を含み、具体例としては、ドープまたは非ドープ層SiOおよびAlが挙げられる。また、図1Aでは、拡散バリア層106が、Mo層108と誘電体層104との間に配置されている。拡散バリア層の例は、窒化チタン(TiN)、チタン/窒化チタン(Ti/TiN)、窒化タングステン(WN)、および、炭窒化タングステン(WCN)を含む。拡散バリアのさらなる例は、後に詳述するように、多成分Mo含有膜である。Mo層108は、構造の主要な導電体である。後に詳述するように、Mo層108は、Mo核形成層およびバルクMo層を含んでよい。さらに、いくつかの実施形態において、Mo層108は、タングステン(W)またはW含有成長開始層の上に蒸着されてよい。
図1Bは、材料スタックの別の例を示す。この例において、スタックは、基板102、誘電体層104を備え、Mo層108が誘電体層104上に蒸着されており、間に拡散バリア層はない。図1Aの例のように、Mo層108は、Mo核形成層およびバルクMo層を備えてよく、いくつかの実施形態において、Mo層108は、タングステン(W)またはW含有成長開始層の上に蒸着されてよい。Wよりも低い電子平均自由行程を有するMoを主要な導電体として用いることにより、より低い抵抗の薄膜を得ることができる。
図1Aおよび図1Bは、メタライゼーションスタックの例を示すが、方法および結果として得られるスタックは、そのように限定されない。例えば、いくつかの実施形態において、Moは、W開始層を備えてまたは備えずに、Siまたはその他の半導体基板上に直接蒸着されてよい。
上述し以下でさらに説明する材料スタックは、様々な実施形態で用いられてよい。図2、図3A、および、図3Bは、Mo含有スタックが利用されうる構造の例を提供する。図2は、シリコン基板202内にMo埋め込みワード線(bWL)208を備えたDRAMアーキテクチャの概略的な例を示す。Mo bWLは、シリコン基板202にエッチングされたトレンチ内に形成されている。トレンチのライニングは、共形バリア層206、および、共形バリア層206とシリコン基板202との間に配置された絶縁層204である。図2の例において、絶縁層204は、高k材料(酸化シリコンまたは窒化シリコン材料など)から形成された、ゲート酸化物層であってよい。本明細書に開示されるいくつかの実施形態において、共形バリア層は、TiNまたはタングステン含有層である。いくつかの実施形態において、TiNがバリアとして用いられる場合、共形タングステン含有成長開始層が、共形バリア層206とMo bWL208との間に存在してよい。あるいは、Mo bWL208は、TiNまたはその他の拡散層の上に直接蒸着されてもよい。
図3Aは、3D NAND構造323内のMoワード線308の概略的な例を示す。図3Bには、ワード線308および共形バリア層306を含むMo充填後の製造途中の3D NAND構造の3Dフィーチャの2Dレンダリングが示されている。図3Bは、充填された領域の断面図であり、図に示されたピラー狭窄324は、断面図よりも平面図で見られる狭窄を表す。共形バリア層306は、図2の共形バリア層206に関して上述したように、TiNまたはタングステン含有層であってよい。いくつかの実施形態において、タングステン含有膜は、後述するように、後続のCVD Mo蒸着のためのバリア層および核形成層として機能しうる。TiNがバリアとして用いられる場合、共形タングステン含有成長開始層が、バリアとワード線との間に存在してよい。あるいは、Moワード線308は、TiNまたはその他の拡散層の上に直接蒸着されてもよい。
Mo含有スタックを形成する方法は、蒸着技術(CVDおよびパルス核形成層(PNL)蒸着など)を含む。PNL技術では、共反応物質、任意選択的なパージガス、および、Mo含有前駆体のパルスが、順次、チャンバ内に注入され、そこからパージされる。処理は、所望の厚さが達成されるまで、循環的に繰り返される。PNLは、概して、原子層蒸着(ALD)技術など、半導体基板上での反応のために順次反応物質を追加する任意の循環処理を具体化する。PNLは、本明細書に記載の方法におけるMo核形成層および/またはW系成長開始層の蒸着に用いられてよい。核形成層は、通例、薄い共形層であり、後にバルク材料をその上に蒸着するのを容易にする。様々な実施例によると、核形成層は、フィーチャの任意の充填の前、および/またはフィーチャの充填中の後の時点に蒸着されてよい。
タングステン核形成層を蒸着するためのPNL技術については、米国特許第6,635,965;7,005,372;7,141,494;7,589,017;7,772,114;7,955,972;および、8,058,170に記載されている。核形成層の厚さは、核形成層蒸着方法と、バルク蒸着の所望の質に依存しうる。一般に、核形成層の厚さは、質の高い均一なバルク蒸着を支援するのに十分である。例としては、10Å~100Åの範囲であってよい。
多くの実施例において、Moバルク層の蒸着は、還元剤およびMo含有前駆体が蒸着チャンバに流されてフィーチャ内にバルク層を蒸着させるCVD処理によって実行されうる。不活性の搬送ガスが、反応物質流であって予混合されても予混合されなくてもよい反応物質流の内の1または複数を供給するために用いられてよい。PNLまたはALD処理と異なり、この動作は、一般に、所望の量が蒸着されるまで、連続的に反応物質を流すことを含む。特定の実施例において、CVD動作は、複数の段階で実行されてよく、反応物質が連続的かつ同時に流れる複数の期間が、1または複数の反応物質の流れが迂回される期間で隔てられる。
Mo含有前駆体は、六フッ化モリブデン(MoF)、五塩化モリブデン(MoCl)、二塩化二酸化モリブデン(MoOCl)、四塩化酸化モリブデン(MoOCl)、および、ヘキサカルボニルモリブデン(Mo(CO))を含む。有機金属前駆体(モリブデンシリルシクロペンタジエニルおよびモリブデンシリルアリル錯体など)が用いられてもよい。Mo含有前駆体は、ハロゲン化合物前駆体であってよく、これは、MoFおよびMoClならびに安定分子を形成しうる2以上のハロゲンを有する混合ハロゲン化合物前駆体を含む。混合ハロゲン化合物前駆体の一例は、安定分子を形成しうるMoClBrであり、xおよびyは、0より大きい任意の数である。
W系成長開始層上のMo含有層
特定の実施形態において、タングステン(W)系成長開始層上にモリブデン(Mo)含有層を含む構造が提供される。Mo含有膜の形成方法も提供されている。
W系成長開始層は、任意のW含有層であってよい。いくつかの実施形態において、それは、核形成層であり、すなわち、その上に続けてバルク材料を形成するのを容易にするよう機能する薄い共形層である。いくつかの実施形態において、W系成長開始層は、バルクW含有層であり、バルクW含有層自体が、核形成層上に蒸着されてよい。フィーチャ充填のために用いられる場合、核形成層が、フィーチャの側壁および底部を共形に被覆するように蒸着されてよい。下にあるフィーチャの底部および側壁と共形にすることは、高品質な蒸着を支援するのに重要でありうる。様々な実施形態によれば、W系成長開始層は、PNLおよびCVD処理の一方または両方によって蒸着されてよい。例えば、CVD層が、PNL層上に蒸着されてよい。
いくつかの実施形態において、W含有層は、元素W層である。かかる層は、PNLまたはCVD法を含む任意の適切な方法によって蒸着されてよい。元素Wは、WCまたはWNのような2成分の膜およびWCNのような3成分の膜とは区別されるが、いくらかの量の不純物を含んでもよい。それは、W層またはW膜と呼ばれうる。
いくつかの実施形態において、W系成長層は、低抵抗W(LRW)膜である。特定の実施形態に従った低抵抗タングステンの蒸着は、米国特許第7,772,114号に記載されている。特に、’114特許は、PNL W層上へのWのCVD蒸着の前に、PNL W核形成層を還元剤に暴露させることについて記載している。LRW膜は、大きいMo粒子成長のための良好なテンプレートを提供する大きい粒子サイズを有する。
いくつかの実施形態において、W系成長層は、ホウ素含有還元剤(例えば、B)またはシリコン含有還元剤(例えば、SiH)の内の1または複数を共反応物質として用いて蒸着されたPNL W核形成層である。例えば、1または複数のS/Wサイクル(ここで、S/Wとは、シランのパルスの後に、六フッ化タングステン(WF)またはその他のタングステン含有前駆体のパルスが続くことである)が、Mo層の蒸着されるPNL W核形成層を蒸着するために用いられてよい。別の例において、1または複数のB/Wサイクル(ここで、B/Wとは、ジボランのパルスの後にWFまたはその他のタングステン含有前駆体のパルスが続くことである)が、Mo層の蒸着されるPNL W核形成層を蒸着するために用いられてもよい。B/WおよびS/Wサイクルの両方が、PNL W核形成層を蒸着するために用いられてもよい。ホウ素含有還元剤およびシリコン含有還元剤の一方または両方を用いたPNL処理の例は、米国特許第7,262,125;7,589,017;7,772,114;7,955,972;8,058,170;9,236,297;および、9,583,385号に記載されている。
いくつかの実施形態において、W系成長層は、六塩化タングステン(WCl)または五塩化タングステン(WCl)などの塩化タングステン(WCl)前駆体を用いて蒸着されたW層またはその他のW含有層である。塩化タングステンを用いたW含有層の蒸着は、米国特許第9,595,470号;米国特許公開第20150348840号;および、米国特許出願15/398,462号に記載されている。
いくつかの実施形態において、W系成長層は、低フッ素W層である。米国特許第9,613,818号は、低フッ素W層を蒸着する順次CVD法を記載する。米国特許公開第2016/0351444号は、低フッ素W層を蒸着するPNL法を記載する。
いくつかの実施形態において、W系成長層は、WN、WC、または、WCN膜である。WN、WC、または、WCNの内の1以上を蒸着する方法が、米国特許第7,005,372;8,053,365;8,278,216号;および、米国特許出願第15/474,383号の各々に記載されている。
W系成長層は、上記の例に限定されず、ALD、PNL、CVD、または、物理蒸着(PVD)法など、任意の適切な方法によって蒸着された任意のW膜またはその他のW含有膜であってよい。ALD、PNL、および、CVD蒸着は、W含有前駆体への暴露を含む。WFおよびWCl前駆体に加えて、W含有前駆体の例は、ヘキサカルボニルタングステン(W(CO))および有機金属前駆体(MDNOW(メチルシクロペンタジエニル-ジカルボニルニトロシル-タングステン)およびEDNOW(エチルシクロペンタジエニル-ジカルボニルニトロシル-タングステン)など)を含む。多くのALD、PNL、および、CVD蒸着処理では、還元剤が、W含有前駆体を還元するために用いられる。例としては、水素ガス(H)、シラン(SiH)、ジシラン(Si)ヒドラジン(N)、ジボラン(B)、および、ゲルマン(GeH)を含む。
また、上述のように、本明細書に記載のW含有膜は、用いられる特定の前駆体および処理によっては、窒素、炭素、酸素、ホウ素、リン、硫黄、シリコン、ゲルマニウムなど、いくらかの量の他の化合物、ドーパント、および/または、不純物を含みうる。膜中のタングステン含有量は、(原子)タグステン20%から100%の範囲でありうる。多くの実施例において、薄膜は、タングステンリッチであり、少なくとも50%の(原子)タングステン、もしくは、少なくとも約60%、75%、90%、または、99%の(原子)タングステンを含む。いくつかの実施例において、膜は、元素タングステン(W)と、他のタングステン含有化合物(WC、WNなど)との混合物であってよい。
Mo含有膜は、ALDまたはCVDなどの任意の適切な方法によってW系成長開始層上に蒸着されてよい。いくつかの実施形態において、順次CVD処理が用いられてよい。順次CVD処理は、米国特許第9,613,818号に記載されており、その特許は、参照により本明細書に組み込まれる。
Mo含有膜の蒸着は、同時または順次に、W系成長開始層をMo含有前駆体と還元剤またはその他の共反応物質とに暴露させる工程を含んでよい。Mo含有前駆体の例は、MoF、MoCl、MoOCl、および、Mo(CO)を含む。有機金属前駆体(モリブデンシリルシクロペンタジエニルおよびモリブデンシリルアリル錯体など)が用いられてもよい。Mo膜の純度(例えば、O含有量で測定される)は、前駆体および共反応物質の分圧を変化させることによって調整できる。
Mo蒸着中の基板温度は、300℃~750℃の間であってよく、特定の実施形態では、450℃~550℃の間であってよい。基板温度は、サーマルバジェットおよび蒸着化学物質によって決まる。サーマルバジェットは用途によって決まり、高い蒸着温度は、メモリ用途では問題にならないが、ロジック用途のサーマルバジェットを超えうる。
W含有成長開始層の存在は、より低い温度での蒸着の実行を可能にする。例えば、MoClまたはMoOClからMo蒸着は、Mo-Cl結合の強度により、550℃未満の温度で実行することができない。しかしながら、W含有成長開始層を用いれば、550℃未満で蒸着を実行できる。Mo蒸着中のチャンバ圧は、例えば、5Torr~60Torrであってよい。
いくつかの実施形態では、より強い還元剤(SiHまたはBなど)ではなく、Hが還元剤として用いられる。これらの強い還元剤は、酸素含有Mo含有前駆体を用いる場合に、望ましくない酸素リッチな界面を引き起こしうる。Mo含有膜は、元素Mo膜であってよいが、かかる膜は、用いられる特定の前駆体および処理によっては、いくらかの量の他の化合物、ドーパント、および/または、不純物を含みうる。
PNL蒸着されたMo核形成層上のMo含有層
特定の実施形態では、Mo含有層が、W系成長開始層を利用せずに蒸着されてよい。例えば、元素Mo層が、TiNまたは誘電体層の上に蒸着されてよい。特定の前駆体に対して、蒸着温度は、蒸着を得るために、相対的に高くてよい(550℃超)。塩素含有前駆体(MoOCl、MoOCl、およびMoOClなど)を用いたCVD蒸着が、TiNおよび誘電体表面に550℃より高い温度で実行されてよい。より低い温度で、CVD蒸着が、上述のようにW系成長開始層を用いて任意の表面に実行されてもよい。さらに、いくつかの実施形態において、CVD蒸着が、PNLプロセスによって蒸着されたMo含有核形成層を用いて任意の表面に実行されてもよい。
上述のように、PNL処理では、共反応物質、任意選択的なパージガス、および、Mo含有前駆体のパルスが、順次、チャンバ内に注入され、そこからパージされる。いくつかの実施形態では、Mo核形成層が、ホウ素含有還元剤(例えば、B)またはシリコン含有還元剤(例えば、SiH)の内の1または複数を共反応物質として用いて蒸着される。例えば、1または複数のS/Moサイクル(ここで、S/Moとは、シランのパルスの後に、Mo含有前駆体のパルスが続くことである)が、CVD Mo層の蒸着されるPNL Mo核形成層を蒸着するために用いられてよい。別の例において、1または複数のB/Moサイクル(ここで、B/Moとは、ジボランのパルスの後に、Mo含有前駆体のパルスが続くことである)が、CVD Mo層の蒸着されるPNL Mo核形成層を蒸着するために用いられてもよい。B/MoおよびS/Moサイクルの両方が、PNL Mo核形成層(例えば、x(B/Mo)+y(S/Mo)、ここで、xおよびyは整数)を蒸着するために用いられてもよい。Mo核形成層のPNL蒸着のために、いくつかの実施形態において、Mo含有前駆体は、酸素非含有前駆体(例えば、MoFまたはMoCl)であってよい。酸素含有前駆体中の酸素は、シリコン含有またはホウ素含有還元剤と反応して、不純な高抵抗膜であるMoSiまたはMoBを形成しうる。酸素含有前駆体は、酸素混入を最小限にして用いられてよい。いくつかの実施形態では、Hが、ホウ素含有またはシリコン含有還元ガスの代わりに還元ガスとして用いられてもよい。Mo核形成層の蒸着の厚さの例は、5Å~30Åの範囲である。この範囲の下限での膜は、連続しない場合があるが、連続バルクMo成長を開始する助けになりうる限りは、その厚さで十分でありうる。いくつかの実施形態において、還元剤パルスは、Mo前駆体パルスよりも低い基板温度でなされてよい。例えば、Moパルスが300℃より高い温度でなされる時に、BまたはSiH(もしくは、その他のホウ素含有またはシリコン含有還元剤)パルスが、300℃より低い温度で実行されてよい。
還元剤層を用いたMo蒸着
より低い温度(550℃未満)での蒸着が、図4Aに示すような処理によって非W表面(誘電体およびTiN表面など)に直接実行されてもよい。それは、W含有面上で用いられてもよい。図4Aは、開示された実施形態に従って実行される方法の処理フローチャートを提供する。図4Aの動作402~408は、少なくとも誘電体表面またはその他の表面へ直接的に共形Mo層を形成するために実行されてよい。
動作402において、基板は、還元剤層を形成するために還元剤ガスに暴露される。いくつかの実施形態において、還元剤ガスは、シラン、ボラン、もしくは、シランおよびジボランの混合物であってよい。シランの例は、SiHおよびSiを含み、ボランの例は、ジボラン(B)、および、Bn+4、Bn+6、Bn+8、Bを含み、ここで、nは、1~10の整数であり、mは、とは異なる整数である。その他のホウ素含有化合物、例えば、アルキルボラン、アルキルホウ素、アミノボラン(CHNB(CH、カルボラン(Cn+2など)が用いられてもよい。いくつかの実施例において、還元剤層は、タングステン前駆体を還元できるシリコンまたはシリコン含有材料、リンまたはリン含有材料、ゲルマニウムまたはゲルマニウム含有材料、ホウ素またはホウ素含有材料、ならびに、それらの組みあわせを含んでよい。かかる層を形成するのに利用できる還元剤ガスのさらなる例は、PH、SiHCl、および、GeHを含む。様々な実施形態によると、水素が、バックグラウンドで流されても流されなくてもよい。(水素は、タングステン前駆体を還元しうるが、シランおよびジボランなどの十分な量のより強い還元剤とのガス混合物中では還元剤として機能しない)。
いくつかの実施形態において、還元剤ガスは、ジボランなどの少量のホウ素含有ガスを別の還元剤と共に含む混合物である。少量のホウ素含有ガスの追加は、別の還元剤の分解および付着係数に大きく影響しうる。2つの還元剤、例えばシランおよびジボランへ基板を順次暴露させてもよいことに注意されたい。ただし、混合ガスを流すことは、非常に少量のマイノリティなガスの追加(例えば、シラン対ジボランの比が少なくとも100:1)を容易にすることができる。いくつかの実施形態において、搬送ガスが流されてもよい。いくつかの実施形態において、窒素(N)、アルゴン(Ar)、ヘリウム(He)、または、その他の不活性ガスなどの搬送ガスが、動作402中に流されてよい。
いくつかの実施形態において、還元剤層は、元素シリコン(Si)、元素ホウ素(B)、元素ゲルマニウム(Ge)、または、それらの混合物を含んでよい。例えば、後述するように、還元剤層は、SiおよびBを含んでよい。Bの量は、還元剤層の高い蒸着率を達成するが低い抵抗率になるように調整されてよい。いくつかの実施形態において、還元剤層は、例えば、5%~80%の間のホウ素、もしくは、5%~50%の間のホウ素、5%~30%の間、または、5%~20%の間のホウ素を有してよく、残りは、基本的に、Siおよび一部の例ではHからなる。水素原子、例えば、SiH、BH、GeH、または、それらの混合物、が存在し、ここで、x、y、および、zは、独立的に、0から対応する還元剤化合物の化学量論的当量未満の数までの間であってよい。
いくつかの実施形態において、組成は、還元剤層の厚さを通して変化しうる。例えば、還元剤層は、還元剤層の底部で20%のBであり、層の上部で0%のBであってよい。還元剤層の全厚は、10Å~50Åの間であってよく、いくつかの実施形態において、15Å~40Åの間、または、20Å~30Åの間であってよい。還元剤層は、フィーチャを共形にライニングする。
動作402中の基板温度は、膜が共形になるように温度T1に維持されてよい。温度が高すぎると、膜は、下層構造のトポグラフィと共形にならない場合がある。いくつかの実施形態において、90%または95%より大きいステップカバレッジが達成される。シラン、ジボラン、および、シラン/ジボラン混合物について、共形性は、300℃で優れており、400℃以上の温度では低下しうる。したがって、いくつかの実施形態において、動作202中の温度は、最大限で350℃であり、もしくは、最大限で325℃、最大限で315℃、または、最大限で300℃でさえある。いくつかの実施形態では、300℃未満の温度が用いられる。例えば、温度は、200℃程度の低さであってよい。
動作402は、任意の適切な持続期間にわたって実行されてよい。いくつかの例において、持続時間の例は、約0.25秒~約30秒、約0.25秒~約20秒、約0.25秒~約5秒、または、約0.5秒~約3秒を含む。
動作404で、チャンバは、基板の表面に吸着しなかった余分な還元剤を除去するために、任意選択的にパージされる。パージは、固定圧で不活性ガスを流すことでチャンバの圧力を低減させ、別のガス暴露を開始する前にチャンバを再加圧することによって実行されてよい。不活性ガスの例は、窒素(N)、アルゴン(Ar)、ヘリウム(He)、および、それらの混合物を含む。パージは、約0.25秒~約30秒、約0.25秒~約20秒、約0.25秒~約5秒、または、約0.5秒~約3秒の持続期間にわたって実行されてよい。
動作406において、基板は、基板温度T2でMo含有前駆体に暴露される。Mo含有化合物の例は、上記しており、塩化物および酸塩化物を含む。酸素含有前駆体の利用は、不純物混入およびより高い抵抗率につながりうる。しかしながら、酸素が取り込まれた場合、非常に薄く、おそらく不連続な還元剤層が、許容可能な抵抗率のために用いられてよい。いくつかの実施形態において、窒素(N)、アルゴン(Ar)、ヘリウム(He)、または、その他の不活性ガスなどの搬送ガスが、動作406中に流されてよい。温度の例は、500℃~700℃である。
動作406は、任意の適切な持続期間にわたって実行されてよい。いくつかの実施形態において、その動作は、Mo含有前駆体のソーク、および、いくつかの実施形態においてMo含有前駆体パルスのシーケンスを含んでよい。様々な実施形態によると、動作406は、Hの存在下で実行されてもよいしされなくてもよい。Hが用いられる場合、いくつかの実施形態において、HおよびMo含有前駆体は、ALDタイプモードで適用されてよい。例えば:
のパルス
アルゴンパージ
バックグラウンドのHを伴うかまたは伴わないMo含有前駆体のパルス
アルゴンパージ
繰り返し
基板温度T2は、Mo含有前駆体が還元剤層と反応して元素Moを形成するのに十分な高さである。還元剤層全体が、Moに変換される。いくつかの実施形態において、温度は、少なくとも450℃であり、100%またはほぼ100%の変換を得るために少なくとも550℃であってもよい。結果として得られるフィーチャは、Moの共形膜でライニングされている。それは、10Å~50Åの間であってよく、いくつかの実施形態において、15Å~40Åの間、または、20Å~30Åの間であってよい。一般に、それは、還元剤層とおおよそ同じ厚さである。いくつかの実施形態において、それは、変換中の体積膨張によって、還元剤層より最大5%厚い場合がある。いくつかの実施形態において、CVD Mo層が、共形Mo層上に蒸着されてもよい。
多成分Mo膜
いくつかの実施形態において、多成分Mo含有膜が提供される。いくつかのかかる実施形態において、多成分Mo含有膜は、ホウ素(B)、シリコン(Si)、または、ゲルマニウム(Ge)の内の1または複数を含んでよい。図4Bは、開示された実施形態に従って実行される方法の処理フローチャートを提供する。
最初に、基板が、還元剤パルスに暴露される(ブロック452)。いくつかの実施形態において、還元剤パルスに暴露されて膜が上に形成される表面は、誘電体である。様々な実施形態によると、膜は、導電体表面および半導体面を含むその他のタイプの表面上に形成されてよい。
ブロック452で用いられる還元剤は、後続の動作で用いられるMo含有前駆体を還元すると共に、結果として得られる膜に組み込まれる化合物を提供する。かかる還元剤の例は、ホウ素含有、シリコン含有、および、ゲルマニウム含有還元剤を含む。ホウ素含有還元剤の例は、Bn+4、Bn+6、Bn+8、Bなどのボランを含み、ここで、nは、1~10の整数であり、mは、とは異なる整数である。特定の例において、ジボランが用いられてもよい。その他のホウ素含有化合物、例えば、アルキルボラン、アルキルホウ素、アミノボラン(CHNB(CH、および、カルボラン(Cn+2など)が用いられてもよい。シリコン含有化合物の例は、シラン(SiHおよびSiなど)を含む。ゲルマニウム含有化合物の例は、Gen+4、Gen+6、Gen+8、および、Geなどのゲルマンを含み、ここで、nは、1~10の整数であり、nは、mとは異なる整数である。その他のゲルマニウム含有化合物、例えば、アルキルゲルマン、アルキルゲルマニウム、アミノゲルマン、および、カルボゲルマンが用いられてもよい。
様々な実施形態によると、ブロック452は、熱分解された元素のホウ素、シリコン、または、ゲルマニウムの薄層を基板の表面上に吸着させることを含んでよい。いくつかの実施形態において、ブロック452は、前駆体分子を基板表面上に吸着させることを含んでもよい。
次に、中に基板を配置されたチャンバは、任意選択的にパージされてもよい(ブロック454)。パージパルスまたは排気が、存在する場合に任意の副生成物と、未吸着の前駆体とを除去するために用いられうる。この次に、Mo含有前駆体のパルスが続く(ブロック456)。いくつかの実施形態において、Mo含有前駆体は、MoOCl、MoOCl、および、MoClといったCl含有前駆体である。任意選択的なパージ(457)が、ブロック456の後に実行されてもよい。Mo含有前駆体は、還元剤(もしくは、その分解生成物または反応生成物)によって還元されて、多成分膜を形成する。
蒸着サイクルが、通例、Mo含有層の一部を蒸着する。ブロック457の後、蒸着サイクルが、いくつかの実施例において完了し、蒸着される膜は、MoB、MoSi、および、MoGeといったモリブデン含有の2成分膜であり、ここで、xはゼロより大きい。かかる実施形態において、処理は、所望の厚さが蒸着されるまで、ブロック452~457のサイクルを繰り返して、ブロック462に進んでよい。成長速度の例は、サイクルあたり約100Åでありうる。
いくつかの実施形態において、処理は、第3反応物質を任意選択的に導入する動作に進む(ブロック458)。第3反応物質は、一般に、炭素または窒素といった膜に導入される元素を含む。窒素含有反応物質の例は、N、NH、および、Nを含む。炭素含有反応物質の例は、CHおよびCを含む。任意選択的なパージ(ブロック459)が続いてもよい。次いで、処理は、蒸着サイクルを繰り返してブロック462に進んでよい。
窒素または炭素を含む3成分の膜の例については上記した。いくつかの実施形態において、膜は、窒素および炭素を両方含んでもよい(例えば、MoSiCN)。
様々な実施形態によると、多成分タングステン膜は、以下の原子百分率を有してよい:Mo約5%~90%、B/Ge/Si約5%~60%、C/N約5%~80%。いくつかの実施形態において、多成分膜は、以下の原子百分率を有する:Mo約15%~約80%;B/Ge/Si:約15%~約50%;C/N約20%~約50%。様々な実施形態によると、多成分Mo膜は、少なくとも50%Moである。
様々な実施形態によると、蒸着は、相対的に高く、例えば、550℃~650℃など、500℃~700℃の間であり、いくつかの実施形態では、約500℃超である。これは、Mo含有前駆体の還元を促進し、また、B、Si、または、Geを2成分膜に組み込むことを可能にする。範囲の上限は、サーマルバジェットの考慮によって限定されうる。いくつかの実施形態において、ブロック452、456、および、458の内の任意の1または複数が、他のブロックのいずれとも異なる温度で実行されてもよい。特定の実施形態において、ブロック452からブロック456への移行およびブロック456からブロック458への移行は、マルチステーションチャンバ内で、或る蒸着ステーションから別の蒸着ステーションへ基板を移動させることを含む。さらに、ブロック452、ブロック456、および、ブロック458の各々は、同じマルチステーションチャンバの異なるステーションに実行されてよい。いくつかの実施形態において、ブロック452、456、および、458の順序は変更されてもよい。
いくつかの実施形態において、2成分または3成分の膜の仕事関数などの電気的特性が、窒素または炭素を導入することによって調整されてもよい。同様に、還元剤の量が、膜に組み込まれるB、Si、または、Geの量を調整するために、(投入量および/またはパルス時間を変調することによって)調節されてもよい。さらに、ブロック452、456、および、458の内の任意の1または2つのブロックが、2成分または3成分の膜のタングステンおよびその他の成分の相対量、ひいては、それらの物理的、電気的、および、化学的特性を調整するために、サイクル毎に2回以上実行されてもよい。多成分層は、Moと、B、Si、および、Geの内の1以上と、任意選択的にCおよびNの内の1以上と、を含んでよい。例は、MoB、MoSi、MoGe、MoB、MoSi、MoGe、MoSi、MoB、MoGeを含み、ここで、xおよびyは、ゼロより大きい。
図4Bを参照して記載した処理において、還元剤中の元素(B、Si、または、Ge)が、Mo含有膜へ意図的に組み込まれることに注意されたい。これは、B含有、Si含有、または、Ge含有還元剤がこれらの元素を全くまたは微量しか持たない元素Mo膜を形成するために利用されうる上述した特定のPNLおよびCVD蒸着処理ならびに図4Bに記載した蒸着処理の特定の実施形態とは対照的である。B、Ge、または、Siの組み込みは、パルス幅および投入量によって制御できる。さらに、いくつかの実施形態において、より高い温度が、組み込みを増大させるために用いられてもよい。温度が高すぎると、反応ガスの制御されない分解につながりうる。いくつかの実施形態において、図4Aに関して上述したように、基板温度は、還元剤ガスに対して低い温度であり、Mo前駆体に対して高い温度であってよい。
いくつかの実施形態において、図4Bの処理は、B、Si、または、Geが膜に組み込まれず、Cおよび/またはNを組み込んで、例えば、MoC、MoN、または、MoCN膜を形成するために、ブロック458を実行するように、変形されてもよい。Cおよび/またはN含有反応物質が、かかる実施形態で用いられてよい。
いくつかの実施形態において、多成分Mo含有膜は、拡散バリア(例えば、ワード線)である。いくつかの実施形態において、多成分タングステン含有膜は、金属ゲートのための仕事関数層である。いくつかの実施形態において、バルクMo層が、多成分層上に蒸着されてもよい。バルク層は、いくつかの実施形態において、中間層なしに多成分Mo含有膜上に直接蒸着されてよい。いくつかの実施形態において、それは、CVDによって蒸着されてよい。
実験
WFを還元するために、それぞれ、シランおよびジボランを用いてPNLによって蒸着されたタングステン核形成層上にCVD Mo膜を成長させた。シラン蒸着タングステン核形成層は、SW核形成層とも呼ばれ、ジボラン蒸着タングステン核形成層は、BW核形成層とも呼ばれる。Mo膜は、MoOClおよびHから蒸着された。
各蒸着について、30Torrおよび45Torrの処理圧を比較した。30Torrでは、Mo蒸着が観察されず、いくらかのW損失が観察され、SW核形成よりもBW核形成で、より多くのW損失が観察された。二次イオン質量分析(SIMS)データは、1原子%未満のO含有量を示した。
異なる温度(500℃および520℃)、異なる圧力(45Torrおよび60Torr)で、CVDによってSW核形成層およびBW核形成層上にMoを蒸着した。また、核形成層を蒸着するためのBWまたはSWサイクル利用回数を変更した(1、2、3、または、4)。図5および図6は、それぞれ、Mo厚さ(オングストローム)対CVD持続時間(秒)、および、Mo抵抗率(μΩ-cm)対Mo厚さ(オングストローム)を示す。
45Torrよりも60Torr処理圧で、低い抵抗率が観察された。60Torrでは、500℃および520℃の間に有意な差は観察されなかった。同等のBW核形成層およびSW核形成層の厚さでは、SW核形成層で、より低い抵抗率が観察された。より薄い(サイクル回数が少ない)SW核形成層で、より高い抵抗率が観察された。
異なる温度(500℃および520℃)、異なる圧力(45Torrおよび60Torr)で、CVDによってWCN上にMoを蒸着した。図7は、Mo成長率を示し、図8は、抵抗率対Mo膜厚を示している。図9は、厚さおよび抵抗率をWCN下層の厚さの関数として示している。45TorrではWCNエッチングが観察されたが、60Torrでは均一なMo蒸着が観察された。60Torrでは、520℃でより高い成長率が観察され、温度は抵抗率に影響を与えなかった。Moは、10オングストローム程度の薄いWCN上で成長され、WCNが薄いほど、抵抗率が低くなった。SIMSデータは、バルク中の総不純物(例えば、O、B、C)が0.5(原子)%未満で、WCN上のCVD Moが滑らかであることを示した。
いくつかの実施形態において、Moは、誘電体下層に対して、金属または純粋な(自然酸化物なしの)Si表面上に選択的に蒸着されうる。例えば、金属コンタクトまたはミドルオブライン(MOL)ロジック用途のために、金属上へ選択的にMoを成長させて、ボトムアップのボイドフリーギャップ充填を実現できる。かかる用途において、Moは、露出した二酸化シリコンまたはその他の露出した誘電体表面に隣接する金属またはSi表面上に直接蒸着されてよい。誘電体上の核形成の遅延は、Moが金属表面上に優先的に蒸着されるような遅延である。例えば、金属の底部および二酸化シリコンの側壁を有するフィーチャが、Mo含有前駆体および共反応物質に暴露されてよい。Moは、側壁からよりもむしろボトムアップで成長する。
アニール
いくつかの実施形態において、熱アニールが、Mo蒸着後に実行される。これは、Mo粒子成長および低い抵抗率を可能にしうる。Moの融点はWよりも低いので、Mo膜に対しては、粒子成長およびそれに伴う抵抗率の低下が、より低い温度で起きる。アニール温度の例は、700℃~1100℃の範囲である。アニールは、炉内でまたは高速熱アニールによって実行されてよい。様々な実施形態によると、アニールは、水素(H)雰囲気、窒素(N)雰囲気、または、真空など、任意の適切な雰囲気中で実行されてよい。
様々な実施形態によると、Mo膜は、蒸着とアニールとの合間に空気に暴露されてもよいしされなくてもよい。Mo膜が、空気またはその他の酸化環境に暴露される場合、暴露の結果として形成された二酸化モリブデン(MoO)または三酸化モリブデン(MoO)を除去するために、アニールの間または後に、還元環境が用いられてよい。特に、MoOは、795℃の融点を有しており、除去されなければ、アニール中に融解しうる。
以下の表1は、2つのW膜(AおよびB)と、2つのMo膜(CおよびD)とを比較したものである。
Figure 0007485736000001
膜Aは、WFを用いて蒸着された低フッ素タングステン(LFW)膜である。膜Bは、WClおよびWClを用いて蒸着されたタングステン膜である。膜Cは、MoClを用いて蒸着されたモリブデン膜であり、膜Dは、MoOClを用いて蒸着されたモリブデン膜である。膜Dは、蒸着後アニールを受けた。特に、抵抗率は、膜AおよびBよりも、膜CおよびDに対して低い。抵抗率は厚さと共に低下し、25μΩ-cm(膜C)および17μΩ-cm(膜D)は、直接的に40μΩ-cm(膜A)に相当する。O含有前駆体で蒸着された膜Dは、低いOを示す。膜CおよびDの応力は、膜AおよびBに匹敵する。
図10は、WCN上に蒸着された様々な厚さのMo膜について800℃でのアニール後の抵抗率の減少を示すグラフである。WCN上のW膜の抵抗率も、比較のために示されている。抵抗率の有意な減少が観察される。抵抗率の減少は、粒子成長によるものである。下の表2は、蒸着直後およびアニール後のCVD Mo膜中のMo粒子の相および平均粒子サイズを示す。
Figure 0007485736000002
雰囲気において800℃で1時間5分行った炉アニールが、匹敵する結果を示した。
装置
任意の適切なチャンバを用いて、開示した実施形態を実施することができる。蒸着装置の例としては、例えば、カリフォルニア州フレモントのLam Research社製のALTUS(登録商標)およびALTUS(登録商標)Max、もしくは、様々な他の市販の処理システムのいずれかなど、様々なシステムが挙げられる。処理は、複数の蒸着ステーションで並行して実行できる。
いくつかの実施形態では、タングステン核形成処理が、単一の蒸着チャンバ内に配置された2、5、または、さらに多くの蒸着ステーションの内の1つである第1のステーションで実行される。いくつかの実施形態において、核形成処理の様々な工程が、蒸着チャンバの2つの異なるステーションで実行される。例えば、基板は、基板表面に局所的な雰囲気を形成する個々のガス供給システムを用いて、第1ステーション内でジボラン(B)に暴露されてよく、次いで、基板は、第2ステーションに移送され、核形成層を蒸着するために六塩化タングステン(WCl)などの前駆体に暴露される。いくつかの実施形態において、基板は、その後、ジボランへの第2暴露のために第1ステーションに戻されるか、または、第3反応物質暴露のための第3ステーションへ移送されてよい。次いで、WCl(または、その他の塩化タングステン)への暴露のために基板を第2ステーションに移送して、タングステン核形成を完了し、同じまたは異なるステーションでバルクモリブデン蒸着を進めてよい。次いで、1または複数のステーションを用いて、上述のようにMo化学蒸着(CVD)を実行できる。
図11は、本明細書に記載の実施形態に従って、蒸着処理を実行するのに適した処理システムを示すブロック図である。システム1100は、搬送モジュール1103を備える。搬送モジュール1103は、処理中の基板が様々なリアクタモジュール間で移動される時の汚染のリスクを最小限に抑えるために、清浄な加圧環境を提供する。搬送モジュール1103には、本明細書に記載の実施形態に従って、核形成層蒸着(パルス核形成層(PNL)蒸着とも呼ばれる)およびCVD蒸着を実行できるマルチステーションリアクタ1109が取り付けられている。チャンバ1109は、これらの動作を順に実行しうる複数のステーション1111、1113、1115、および、1117を備えてよい。例えば、チャンバ1109は、ステーション1111および1113がPNL蒸着を実行し、ステーション1113および1115がCVDを実行するように構成されてよい。各蒸着ステーションは、加熱されたウエハペデスタルと、シャワーヘッド、拡散プレート、または、その他のガス流入口と、を備えてよい。
また、プラズマ前洗浄または化学的な(非プラズマ)前洗浄を実行できる1または複数の単一ステーションモジュールまたはマルチステーションモジュール1107が、搬送モジュール1103上に取り付けられてよい。モジュールは、様々な他の処理(例えば、還元剤浸漬)に用いられてもよい。システム1100は、ウエハが処理前後に収容される1または複数(この例では2つ)のウエハソースモジュール1101も備える。大気搬送チャンバ1119内の大気ロボット(図示せず)が、まず、ソースモジュール1101からロードロック1121にウエハを取り出す。搬送モジュール1103内のウエハ搬送装置(一般に、ロボットアームユニット)が、ロードロック1121から搬送モジュール1103上に取り付けられたモジュールに、そして、モジュールの間で、ウエハを移動させる。
特定の実施形態において、システムコントローラ1129が、蒸着中の処理条件を制御するために用いられる。コントローラは、通例、1または複数のメモリデバイスと、1または複数のプロセッサとを備える。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッパモータコントローラボードなどを備えてよい。
コントローラは、蒸着装置の動作すべてを制御してよい。システムコントローラは、タイミング、ガスの混合、チャンバ圧、チャンバ温度、ウエハ温度、用いられる場合には高周波(RF)電力レベル、ウエハチャックまたはペデスタルの位置、ならびに、特定の処理の他のパラメータを制御するための一連の命令を含むシステム制御ソフトウェアを実行する。コントローラに関連するメモリデバイスに格納された他のコンピュータプログラムが、いくつかの実施形態において用いられてもよい。
通例は、コントローラに関連したユーザインターフェースが存在する。ユーザインターフェースは、表示スクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を含みうる。
システム制御ロジックは、任意の適切な方法で構成されてよい。一般に、ロジックは、ハードウェアおよび/またはソフトウェアで設計または構成されうる。駆動回路を制御するための命令は、ハードコードされてもよいし、ソフトウェアとして提供されてもよい。命令は、「プログラミング」によって提供されうる。かかるプログラミングは、デジタル信号プロセッサ、特定用途向け集積回路、および、ハードウェアとして実装された特定のアルゴリズムを有する他のデバイス内にハードコードされたロジックなど、任意の形態のロジックを含むと理解される。また、プログラミングは、汎用プロセッサ上で実行できるソフトウェア命令またはファームウェア命令を含むと理解される。システム制御ソフトウェアは、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。あるいは、制御ロジックはコントローラにハードコードされてもよい。これらの目的で、特定用途向け集積回路、プログラム可能論理デバイス(例えば、フィールドプログラマブルゲートアレイすなわちFPGA)などが用いられてもよい。以下では、「ソフトウェア」または「コード」が利用される場合、機能的に同等のハードコードされたロジックが代わりに利用されうる。
処理手順内の蒸着処理およびその他の処理を制御するためのコンピュータプログラムコードは、例えば、アセンブリ言語、C、C++、パスカル、フォートランなど、任意の従来のコンピュータ読み取り可能なプログラミング言語で書かれうる。コンパイルされたオブジェクトコードまたはスクリプトが、プラグラム内に特定されたタスクを実行するために、プロセッサによって実行される。
制御パラメータは、例えば、処理ガスの組成および流量、温度、圧力、プラズマ条件(RF電力レベルおよび低周波RF周波数など)、冷却ガス圧、ならびに、チャンバ壁の温度などの処理条件に関連する。これらのパラメータは、レシピの形態でユーザに提供され、ユーザインターフェースを用いて入力されうる。
処理を監視するための信号が、システムコントローラのアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号が、蒸着装置のアナログおよびデジタル出力接続で出力される。
システムソフトウェアは、多くの異なる方法で設計または構成されうる。例えば、本発明の蒸着処理を実行するのに必要なチャンバ構成要素の動作を制御するために、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトが書かれてよい。このためのプログラムまたはプログラムセクションの例は、基板配置コード、処理ガス制御コード、圧力制御コード、ヒータ制御コード、および、プラズマ制御コードを含む。
いくつかの実施例において、コントローラ1129は、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラ1129は、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、いくつかのシステムにおける高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラ1129は、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラ1129は、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。一部の例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、CVDチャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
コントローラ1129は、様々なプログラムを備えてよい。 基板配置プログラムは、ペデスタルまたはチャック上に基板をロードするため、および、基板とチャンバの他の部品(ガス流入口および/またはガスターゲットなど)との間の間隔を制御するために用いられるチャンバ構成要素を制御するためのプログラムコードを備えてよい。処理ガス制御プログラムは、ガス組成および流量を制御するため、ならびに、任意選択的に、チャンバ内の圧力を安定させるために蒸着の前にチャンバ内にガスを流すためのコードを備えてよい。圧力制御プログラムは、例えば、チャンバの排気システムのスロットルバルブを調節することにより、チャンバ内の圧力を制御するためのコードを備えてよい。ヒータ制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するためのコードを備えてよい。あるいは、ヒータ制御プログラムは、ウエハチャックへの熱伝導ガス(ヘリウムなど)の供給を制御してもよい。
蒸着中に監視されうるチャンバセンサの例は、マスフローコントローラ、圧力センサ(マノメータなど)、ならびに、ペデスタルまたはチャック内に配置された熱電対を含む。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが、所望の処理条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
以上、単一チャンバまたはマルチチャンバの半導体処理ツールにおける本開示の実施形態の実施について説明した。
以上、単一チャンバまたはマルチチャンバの半導体処理ツールにおける開示された実施形態の実施について説明した。本明細書に記載の装置および処理は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールまたは処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、かかるツール/処理は、共通の製造施設で一緒に利用または実行されている。薄膜のリソグラフィパターニングは、通例、以下の工程の一部または全部を含み、各工程は、複数の可能なツールで提供される:(1)スピンオンまたはスプレーオンツールを用いて、ワークピース(すなわち、基板)上にフォトレジストを塗布する工程;(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる工程;(3)ウエハステッパなどのツールで可視光またはUVまたはX線にフォトレジストを暴露させる工程;(4)ウェットベンチなどのツールを用いて、選択的にレジストを除去することによってパターニングするためにレジストを現像する工程;(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下層の膜またはワークピースにレジストパターンを転写する工程;ならびに、(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去する工程。
結び
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。本発明の処理、システム、および、装置を実施する多くの他の方法が存在することに注意されたい。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されない。
本開示は、以下の形態により実現されてもよい。
[形態1]
方法であって、
タングステン(W)含有層を基板上に提供する工程と、
モリブデン(Mo)含有層を前記W含有層上に蒸着させる工程と、
を備える、方法。
[形態2]
形態1に記載の方法であって、前記W含有層は、WCN層である、方法。
[形態3]
形態1の方法であって、前記W含有層は、W核形成層である、方法。
[形態4]
形態1ないし3のいずれかに記載の方法であって、前記W含有層は、1または複数の塩化タングステン前駆体から蒸着される、方法。
[形態5]
形態1ないし3のいずれかに記載の方法であって、前記Mo含有層は、1(原子)%未満の不純物を有するMo層である、方法。
[形態6]
形態1ないし3のいずれかに記載の方法であって、さらに、前記Mo含有層を熱アニールする工程を備える、方法。
[形態7]
形態1ないし3のいずれかに記載の方法であって、前記Mo含有層は、還元剤と、六フッ化モリブデン(MoF )、五塩化モリブデン(MoCl )、二塩化二酸化モリブデン(MoO Cl )、四塩化酸化モリブデン(MoOCl )、および、ヘキサカルボニルモリブデン(Mo(CO) )から選択されたMo含有前駆体とに、前記W含有層を暴露させることによって蒸着される、方法。
[形態8]
形態7に記載の方法であって、前記Mo含有前駆体への暴露中の基板温度は、550℃未満である、方法。
[形態9]
形態7に記載の方法であって、前記基板は、第1基板温度で前記還元剤に暴露され、第2基板温度で前記Mo含有前駆体に暴露され、前記第1基板温度は、前記第2基板温度よりも低い、方法。
[形態10]
形態9に記載の方法であって、前記還元剤は、ホウ素含有還元剤とシリコン含有還元剤との混合物である、方法。
[形態11]
方法であって、
第1基板温度で、基板を収容する処理チャンバに還元剤ガスを流して、前記基板上に共形還元剤層を形成する工程と、
第2基板温度で前記共形還元剤層をモリブデン含有前駆体に暴露させて、前記還元剤層をモリブデンに変換する工程と、
を備える、方法。
[形態12]
形態11に記載の方法であって、前記第1基板温度は、前記第2基板温度より低い、方法。
[形態13]
形態11または12に記載の方法であって、前記還元剤は、ホウ素含有還元剤とシリコン含有還元剤との混合物である、方法。
[形態14]
形態11または12に記載の方法であって、前記第1基板温度は400℃以下であり、前記第2基板温度は500℃以上である、方法。
[形態15]
形態11または12に記載の方法であって、さらに、前記モリブデンをアニールする工程を備える、方法。
[形態16]
方法であって、
還元剤をパルス供給する工程であって、前記還元剤は、ホウ素(B)含有、シリコン(Si)含有、または、ゲルマニウム(Ge)含有である、工程と、
Mo含有前駆体をパルス供給する工程であって、
前記Mo含有前駆体は、前記還元剤または前記還元剤の生成物によって還元されて、B、Si、および、Geの内の1以上を含む多成分タングステン含有膜を前記基板上に形成する、工程と、
を備える、方法。
[形態17]
形態16に記載の方法であって、前記多成分タングステン含有膜は、5%~60%(原子%)のB、Si、または、Geを含み、前記5%~60%(原子%)のB、Si、または、Geは、前記還元剤によって供給される、方法。

Claims (4)

  1. モリブデンを含有する膜を形成する方法であって、
    上に誘電体材料が形成された基板を提供する工程と、
    前記誘電体材料上に核形成層を形成する工程と、
    上に前記核形成層が形成された前記基板にモリブデン含有ガスおよび還元ガスを供給して、前記核形成層上にモリブデン膜を形成する工程と、
    を備える、方法。
  2. 請求項1に記載の方法であって、前記核形成層を形成する工程は、前記基板を、(i)六フッ化タングステンガスおよびシリコン含有ガス、または、(ii)六フッ化タングステンガスおよびホウ素含有ガスのうちの少なくとも一方のガスに暴露する、方法。
  3. 請求項1の方法であって、前記モリブデン膜を蒸着する工程は、第1基板温度の第1動作および第2基板温度の第2動作を含み、前記第1基板温度は、前記第2基板温度よりも低い、方法。
  4. 請求項1の方法であって、
    前記核形成層は、モリブデンを含む、方法。
JP2022145721A 2017-04-10 2022-09-14 3d nandデバイス用の誘電体材料上におけるモリブデンを含有する低抵抗膜の成膜 Active JP7485736B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762483857P 2017-04-10 2017-04-10
US62/483,857 2017-04-10
JP2020504286A JP7224335B2 (ja) 2017-04-10 2018-04-09 モリブデンを含有する低抵抗膜
PCT/US2018/026746 WO2018191183A1 (en) 2017-04-10 2018-04-09 Low resistivity films containing molybdenum

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020504286A Division JP7224335B2 (ja) 2017-04-10 2018-04-09 モリブデンを含有する低抵抗膜

Publications (3)

Publication Number Publication Date
JP2022184943A JP2022184943A (ja) 2022-12-13
JP2022184943A5 JP2022184943A5 (ja) 2023-07-05
JP7485736B2 true JP7485736B2 (ja) 2024-05-16

Family

ID=63711237

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020504286A Active JP7224335B2 (ja) 2017-04-10 2018-04-09 モリブデンを含有する低抵抗膜
JP2022145721A Active JP7485736B2 (ja) 2017-04-10 2022-09-14 3d nandデバイス用の誘電体材料上におけるモリブデンを含有する低抵抗膜の成膜

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020504286A Active JP7224335B2 (ja) 2017-04-10 2018-04-09 モリブデンを含有する低抵抗膜

Country Status (6)

Country Link
US (4) US10510590B2 (ja)
JP (2) JP7224335B2 (ja)
KR (3) KR102466639B1 (ja)
CN (1) CN110731003B (ja)
TW (1) TW201903847A (ja)
WO (1) WO2018191183A1 (ja)

Families Citing this family (294)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102466639B1 (ko) 2017-04-10 2022-11-11 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US20190067095A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) * 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131628A1 (en) * 2018-10-24 2020-04-30 Entegris, Inc. Method for forming molybdenum films on a substrate
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR102355507B1 (ko) * 2018-11-14 2022-01-27 (주)디엔에프 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP2022509621A (ja) * 2018-11-19 2022-01-21 ラム リサーチ コーポレーション タングステン用モリブデンテンプレート
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210110886A (ko) * 2019-01-28 2021-09-09 램 리써치 코포레이션 금속 막들의 증착
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
TW202117050A (zh) * 2019-08-12 2021-05-01 美商應用材料股份有限公司 經氧化還原的鉬薄膜
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) * 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP2021034591A (ja) * 2019-08-26 2021-03-01 キオクシア株式会社 半導体装置およびその製造方法
CN110512208A (zh) * 2019-09-03 2019-11-29 北京工业大学 一种在钛合金表面制备强附着力钼层的方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
JP2022551965A (ja) * 2019-10-15 2022-12-14 ラム リサーチ コーポレーション モリブデン充填
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP7117336B2 (ja) * 2020-01-30 2022-08-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210111017A (ko) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 기판 처리 방법 및 이를 이용하여 제조된 반도체 소자
US11821080B2 (en) 2020-03-05 2023-11-21 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
KR20230015926A (ko) * 2020-05-26 2023-01-31 메르크 파텐트 게엠베하 원소 금속 필름 상에 증착된 몰리브덴-함유 필름을 형성하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11560397B2 (en) 2020-07-09 2023-01-24 Entegris, Inc. Group VI precursor compounds
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
KR20220011092A (ko) * 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
TW202204662A (zh) * 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR20230044317A (ko) * 2020-09-18 2023-04-03 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11854813B2 (en) 2021-02-24 2023-12-26 Applied Materials, Inc. Low temperature deposition of pure molybenum films
WO2022221210A1 (en) * 2021-04-14 2022-10-20 Lam Research Corporation Deposition of molybdenum
US11760768B2 (en) 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113463063A (zh) * 2021-06-11 2021-10-01 厦门中材航特科技有限公司 一种难熔金属材料的制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230142966A1 (en) * 2021-11-10 2023-05-11 Entegris, Inc. Molybdenum precursor compounds
WO2023114648A1 (en) * 2021-12-15 2023-06-22 Lam Research Corporation Low temperature molybdenum deposition assisted by silicon-containing reactants
US20240026529A1 (en) * 2022-07-20 2024-01-25 Applied Materials, Inc. Conformal molybdenum deposition
US20240038541A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Methods for removing molybdenum oxides from substrates

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001172049A (ja) 1999-06-30 2001-06-26 Saint Gobain Vitrage タングステン及び/又はモリブデンに基づく層をガラス、セラミック又はガラス−セラミック基材に堆積させる方法、及びそのようにコーティングされた基材
JP2001284360A (ja) 2000-03-31 2001-10-12 Hitachi Ltd 半導体装置
US20040202786A1 (en) 2001-05-22 2004-10-14 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US20100213541A1 (en) 2009-02-24 2010-08-26 Samsung Electronics Co., Ltd. Semiconductor device having recess channel structure
JP2016098406A (ja) 2014-11-21 2016-05-30 東京エレクトロン株式会社 モリブデン膜の成膜方法

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US162106A (en) * 1875-04-13 Improvement in corn-planters
JPS5629648A (en) 1979-08-16 1981-03-25 Toshiba Tungaloy Co Ltd High hardness sintered body
JP2536377B2 (ja) * 1992-11-27 1996-09-18 日本電気株式会社 半導体装置およびその製造方法
TW314654B (en) 1996-09-07 1997-09-01 United Microelectronics Corp Manufacturing method of conductive plug
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
CN1115723C (zh) 1996-11-15 2003-07-23 三星电子株式会社 氮化钨层制造方法及使用同样原理的金属连线制造方法
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6958174B1 (en) * 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6358788B1 (en) 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
KR100330163B1 (ko) 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
JP2005026380A (ja) 2003-06-30 2005-01-27 Toshiba Corp 不揮発性メモリを含む半導体装置及びその製造方法
JP2005150416A (ja) 2003-11-17 2005-06-09 Hitachi Ltd 半導体集積回路装置及びその製造方法
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
KR100881391B1 (ko) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 게이트 형성방법
CN101308794B (zh) 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
KR100890047B1 (ko) 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US8080324B2 (en) 2007-12-03 2011-12-20 Kobe Steel, Ltd. Hard coating excellent in sliding property and method for forming same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8385644B2 (en) 2008-07-08 2013-02-26 Zeitera, Llc Digital video fingerprinting based on resultant weighted gradient orientation computation
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP2010093116A (ja) 2008-10-09 2010-04-22 Panasonic Corp 半導体装置及び半導体装置の製造方法
US20100120245A1 (en) 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
JP5730670B2 (ja) * 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
WO2013063260A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
JP6195898B2 (ja) 2012-03-27 2017-09-13 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 核形成の抑制を伴うタングステンによるフィーチャ充填
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
WO2014140672A1 (en) * 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9551074B2 (en) * 2014-06-05 2017-01-24 Lam Research Corporation Electroless plating solution with at least two borane containing reducing agents
US20160064409A1 (en) * 2014-08-29 2016-03-03 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
CN113652672B (zh) * 2015-05-27 2023-12-22 Asm Ip 控股有限公司 用于含钼或钨薄膜的ald的前体的合成和用途
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US10121671B2 (en) * 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
CN109661481B (zh) * 2016-07-14 2021-11-30 恩特格里斯公司 使用MoOC14的CVD Mo沉积
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10453744B2 (en) 2016-11-23 2019-10-22 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US20180142345A1 (en) * 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
US10283404B2 (en) 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
KR102466639B1 (ko) 2017-04-10 2022-11-11 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US10607895B2 (en) * 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
CN112514052A (zh) 2018-07-31 2021-03-16 朗姆研究公司 多层特征填充

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001172049A (ja) 1999-06-30 2001-06-26 Saint Gobain Vitrage タングステン及び/又はモリブデンに基づく層をガラス、セラミック又はガラス−セラミック基材に堆積させる方法、及びそのようにコーティングされた基材
JP2001284360A (ja) 2000-03-31 2001-10-12 Hitachi Ltd 半導体装置
US20040202786A1 (en) 2001-05-22 2004-10-14 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US20100213541A1 (en) 2009-02-24 2010-08-26 Samsung Electronics Co., Ltd. Semiconductor device having recess channel structure
JP2016098406A (ja) 2014-11-21 2016-05-30 東京エレクトロン株式会社 モリブデン膜の成膜方法

Also Published As

Publication number Publication date
KR20230127377A (ko) 2023-08-31
US20200075403A1 (en) 2020-03-05
US20180294187A1 (en) 2018-10-11
WO2018191183A1 (en) 2018-10-18
KR102466639B1 (ko) 2022-11-11
KR20190130046A (ko) 2019-11-20
KR20220110343A (ko) 2022-08-05
US10777453B2 (en) 2020-09-15
JP7224335B2 (ja) 2023-02-17
JP2020513065A (ja) 2020-04-30
JP2022184943A (ja) 2022-12-13
TW201903847A (zh) 2019-01-16
US20200365456A1 (en) 2020-11-19
US10510590B2 (en) 2019-12-17
CN110731003B (zh) 2024-03-26
US20220223471A1 (en) 2022-07-14
KR102572271B1 (ko) 2023-08-28
CN110731003A (zh) 2020-01-24

Similar Documents

Publication Publication Date Title
JP7485736B2 (ja) 3d nandデバイス用の誘電体材料上におけるモリブデンを含有する低抵抗膜の成膜
US11978666B2 (en) Void free low stress fill
CN110214200B (zh) 通过掺杂钌增强的钴抗团聚性与缝隙填充性能
KR102515236B1 (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
US9159571B2 (en) Tungsten deposition process using germanium-containing reducing agent
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
WO2019099997A1 (en) Self-limiting growth
KR20160140458A (ko) 저 불소 함량을 가진 텅스텐 막들
JP2015221940A (ja) 塩化タングステン前駆体を使用してタングステンおよび窒化タングステン薄膜を準備する方法
US20220013365A1 (en) Molybdenum templates for tungsten
US20240006180A1 (en) Low resistance pulsed cvd tungsten
JP2022545217A (ja) 金属充填プロセス中のラインベンディングの低減
TW202340505A (zh) 利用成核抑制的特徵部填充
KR20210141762A (ko) 고 단차 커버리지 (step coverage) 텅스텐 증착

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221014

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221014

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230627

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20230627

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230905

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231222

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240305

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240403

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240502