CN108475636B - 使用五取代的二硅烷气相沉积含硅膜 - Google Patents

使用五取代的二硅烷气相沉积含硅膜 Download PDF

Info

Publication number
CN108475636B
CN108475636B CN201680076386.1A CN201680076386A CN108475636B CN 108475636 B CN108475636 B CN 108475636B CN 201680076386 A CN201680076386 A CN 201680076386A CN 108475636 B CN108475636 B CN 108475636B
Authority
CN
China
Prior art keywords
silicon
forming composition
film
containing film
film forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680076386.1A
Other languages
English (en)
Other versions
CN108475636A (zh
Inventor
让-马克·吉拉尔
高昌熙
伊凡·奥谢普科夫
柳田一孝
大窪清吾
野田直人
尤利安·伽蒂诺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN108475636A publication Critical patent/CN108475636A/zh
Application granted granted Critical
Publication of CN108475636B publication Critical patent/CN108475636B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Acyclic And Carbocyclic Compounds In Medicinal Compositions (AREA)

Abstract

披露了使用五取代的二硅烷诸如五卤二硅烷或五(二甲基氨基)二硅烷经由气相沉积工艺在基板上沉积含硅膜的方法。

Description

使用五取代的二硅烷气相沉积含硅膜
相关申请的交叉引用
本申请要求于2015年12月28日提交的美国专利申请序列号14/979,816的权益,出于所有的目的将所述申请通过引用以其全文结合在此。
技术领域
披露了使用五取代的二硅烷诸如五氯二硅烷或五(二甲基氨基)二硅烷经由气相沉积工艺在基板上沉积含硅膜的方法。
背景技术
含硅膜是半导体器件中最重要的部件之一,并且已经高度研究了其各种制造工艺的发展。氧化硅(SiO2)膜可以用作电容器和绝缘层。D.Tahir等人,“Electronic andoptical properties of Al2O3/SiO2films grown on Si substrate[在Si基板上生长的Al2O3/SiO2膜的电子和光学特性]”,J.Phys.D:Appl.Phys.[物理期刊D:应用物理]43,255301(2010)。氮化硅(SiN)、碳化硅(SiC)和碳氮化硅(SiCN)膜可用作硬掩模、扩散阻挡层或沟槽蚀刻终止层。Si-Yi Li等人,“Selectivity Control in Plasma Etching for DualDamascene with OSG films[用于具有OSG膜的双镶嵌的等离子体蚀刻的选择性控制]”,摘要#242,第199次ECS会议(2001);Ping Xu等人,“A Breakthrough in Low-k Barrier/EtchStop Films for Copper Damascene Applications[用于铜镶嵌应用的低k阻挡层/蚀刻终止膜的突破]”,Semiconductor FABTECH[半导体制造技术],11,239(2000);Y.L.Wang等人,“Integration of SiCN as a Low k Etch Stop and Cu Passivation in a HighPerformance Cu/Low k Interconnect[将SiCN作为低k蚀刻终止和Cu钝化集成在高性能Cu/低k互连中]”,IEEE International Interconnect Technology Conference,2002:42-44;“A Study of Trimethylsilane(3MS)and Tetramethylsilane(4MS)Basedα-SiCN:H/α-SiCO:H Diffusion Barrier Films”,Materials[材料]5,377-384(2012)。
在现有技术中已经描述了使用五氯二硅烷(PCDS或Si2HCl5)和五(二甲基氨基)二硅烷(Si2H(NMe2)5)作为气相沉积前体。参见,例如,授予Singh等人的US 2004/224089、授予Dussarrat的WO 2007/112780、以及授予Tomasini等人的US 2008/026149。
然而,找到适用于商业沉积含硅膜的含硅前体仍然是个挑战。
发明内容
披露了用于在基板上沉积含硅膜的气相沉积工艺。将形成含Si膜的前体的蒸气引入至含有该基板的反应器中。该形成含Si膜的组合物包含五取代的二硅烷前体。将这些形成五取代的二硅烷膜的前体的至少一部分沉积到该基板上以形成该含硅膜。所披露的工艺可以进一步包括以下方面中的一项或多项:
●该五取代的二硅烷前体是五氯二硅烷(PCDS或Si2HCl5);
●该五取代的二硅烷前体是五碘二硅烷(Si2HI5);
●该五取代的二硅烷前体是五溴二硅烷(Si2HBr5);
●该五取代的二硅烷前体是五氟二硅烷(Si2HF5);
●该五取代的二硅烷前体是五(二甲基氨基)二硅烷[Si2H(NMe2)5];
●该形成含Si膜的组合物包含在大约99%w/w与大约100%w/w之间的该五取代的二硅烷前体;
●该形成含Si膜的组合物包含在大约95%w/w与大约100%w/w之间的该五取代的二硅烷前体;
●该形成含Si膜的组合物包含在大约0%w/w与大约5%w/w之间的杂质;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约2.0%w/w之间的杂质;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的杂质;
●杂质包括六取代的、四取代的以及三取代的具有式Si2HxXy的二硅烷,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基,x=0、2、或3,并且x+y=6;四取代的硅烷具有式SiX4,其中X=Cl、Br、I、或NR2,并且R=H或C1-C4烷基;三取代的硅烷具有式SiHX3,其中X=Cl、Br、I、或NR2,并且R=H或C1-C4烷基;部分取代的三硅烷具有式Si3HxXy,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基,x+y=8,并且x=1至6;硅氧烷具有式SiHX2-O-SiX3,其中X=Cl、Br、I、或NR2,并且R=H或C1-C4烷基;硅氧烷具有式HxXySi–O-SiHxXy,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基,x=0、2、或3,并且x+y=3;硅氧烷具有式SiHxXy-O-SiHxXy,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基,x+y=3,并且x=0或1;硅氧烷具有式HxXySi-O-(Si2HaXb),其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基,x+y=3,a+b=6,x=1至3,并且a=0、2、或3;硅氧烷具有式HxXySi-O-SiHaXb-O-SiHxXy,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基,x+y=3,a+b=2,x=1至3,并且a=0至2;碘;溴;氯;二烷基胺,诸如二甲胺或乙胺;THF;醚;戊烷;己烷;环己烷;庚烷;苯;甲苯;或其组合;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的具有式Si2X6的六取代的二硅烷,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的具有式Si2H2X4的四取代的二硅烷,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的具有式Si2H3X3的三取代的二硅烷,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的具有式SiX4的四取代的硅烷,其中X=Cl、Br、I、或NR2,并且R=H或C1-C4烷基;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的具有式SiHX3的三取代的硅烷,其中X=Cl、Br、I、或NR2,并且R=H或C1-C4烷基;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的具有式Si3HxXy的部分取代的三硅烷,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基,x+y=8,并且x=1至6;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的具有式SiHX2-O-SiX3的硅氧烷,其中X=Cl、Br、I、或NR2,并且R=H或C1-C4烷基;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的具有式HxXySi-O-SiHxXy的硅氧烷,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基,x=0、2、或3,并且x+y=3;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的具有式SiHxXy-O-SiHxXy的硅氧烷,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基,x+y=3,并且x=0或1;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的具有式HxXySi-O-(Si2HaXb)的硅氧烷,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基,x+y=3,a+b=5,x=1至3,并且a=0、2、或3;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的具有式HxXySi-O-SiHaXb-O-SiHxXy的硅氧烷,其中X=Cl、Br、I、或NR2,R=H或C1-C4烷基,x+y=3,a+b=2,x=1至3,并且a=0至2;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的二烷基胺,诸如二甲胺或乙胺;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的THF;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的醚;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的戊烷;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的己烷;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的环己烷;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的庚烷;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的苯;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的甲苯;
●该形成含Si膜的组合物包含在大约0ppbw与大约1ppmw之间的金属杂质;
●该形成含Si膜的组合物包含在大约0ppbw与大约500ppbw之间的金属杂质;
●该气相沉积工艺是原子层沉积(ALD)工艺;
●该气相沉积工艺是化学气相沉积(CVD)工艺;
●进一步包括将含氧反应物引入到反应器中;
●该含氧反应物是O2、O3、H2O、H2O2、NO、N2O、NO2、羧酸、甲酸、乙酸、丙酸、其含氧自由基、或其混合物;
●该含氧反应物是O2、O3、H2O、其含氧自由基、或其混合物;
●该含氧反应物是O2、O3、其含氧自由基、或其混合物;
●该含氧反应物是O3和O2的混合物;
●该混合物包含至少5%v/v的O3
●该含氧反应物是7.2%v/v的O3在O2中的混合物;
●该含硅膜是氧化硅;
●该氧化硅膜具有小于或等于通过使用稀释的HF溶液(0.5%至1%的HF)的热沉积而沉积的氧化硅的湿式蚀刻速率的十倍的湿式蚀刻速率;
●在范围从大约450℃至大约800℃的温度下沉积该氧化硅膜;
●在范围从大约500℃至大约800℃的温度下沉积该氧化硅膜;
●在范围从大约500℃至大约700℃的温度下沉积该氧化硅膜;
●在不使用等离子体的情况下沉积该氧化硅膜;
●该热ALD工艺在范围从大约550℃至大约600℃的温度下沉积氧化硅膜;
●该热ALD工艺在范围从大约100℃至大约400℃的温度下沉积氧化硅膜;
●在范围从大约0.75托(100Pa)至大约1.25托(167Pa)的压力下沉积该氧化硅膜;
●该等离子体ALD工艺在范围从大约室温至大约400℃的温度下沉积氧化硅膜;
●该氧化硅膜含有在大约0原子%与大约5原子%之间的碳;
●该氧化硅膜含有在大约0原子%与大约2.5原子%之间的碳;
●该氧化硅膜含有在大约0原子%与大约1原子%之间的碳;
●该氧化硅膜含有在大约0原子%与大约1原子%之间的氮;
●该氧化硅膜含有在大约0原子%与大约1原子%之间的氯;
●氧化硅膜对于1:7的纵横比展现出在大约70%与大约100%之间的阶梯覆盖率;
●氧化硅膜对于1:20的纵横比展现出在大约70%与大约100%之间的阶梯覆盖率;
●氧化硅膜对于1:7的纵横比展现出在大约90%与大约100%之间的阶梯覆盖率;
●氧化硅膜对于1:20的纵横比展现出在大约90%与大约100%之间的阶梯覆盖率;
●包括孔洞或沟槽的基板具有范围从大约10:1至大约100:1的纵横比;
●包括孔洞或沟槽的基板具有范围从大约10:1至大约40:1的纵横比;
●该氧化硅膜获得了孔洞或沟槽的范围从大约80%至大约100%的阶梯覆盖率;
●该氧化硅膜具有范围从大约(0.075nm)至大约/>(0.1nm)的每个循环的生长量;
●进一步包括将含氮反应物引入到反应器中;
●该含氮反应物是NH3、N2H4、N(SiH3)3、N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH、(CH3)HNNH2、(CH3)2NNH2、其含氮自由基物种、以及其混合物;
●该含氮反应物是NH3
●该含硅膜是氮化硅;
●在范围从大约450℃至大约650℃的温度下沉积该氮化硅膜;
●在范围从大约0.1托(13Pa)至大约100托(13,332Pa)的压力下沉积该氮化硅膜;
●在范围从大约4.75托(633Pa)至大约5.25托(700Pa)的压力下沉积该氮化硅膜;
●在不使用等离子体的情况下沉积该氮化硅膜;
●该氮化硅膜具有范围从大约(0.03nm)至大约/>(0.2nm)的每个循环的生长量;
●该氧化硅膜具有范围从大约(0.1nm)至大约/>(0.2nm)的每个循环的生长量;
●该氮化硅膜具有范围从大约1.7至大约2.2的折射率;
●该氮化硅膜具有范围从大约1.8至大约2.1的折射率;
●该氮化硅膜具有范围从大约2.0至大约2.1的折射率;
●进一步包括将胺、烷基氨基硅烷或二硅氮烷引入到反应器中;
●胺是氨;
●烷基氨基硅烷是双(二乙基氨基)硅烷;
●烷基氨基硅烷是三(二甲基氨基)硅烷;
●二硅氮烷是六甲基二硅氮烷;
●该含硅膜是掺杂碳的氮化硅膜;
●该掺杂碳的氮化硅膜具有范围从大约5原子%至大约40原子%的碳浓度;
●该掺杂碳的氮化硅膜具有范围从大约20原子%至大约60原子%的氮浓度;
●该掺杂碳的氮化硅膜具有范围从大约0原子%至大约5原子%的氧浓度;
●该工艺是等离子体增强的原子层沉积(PEALD)工艺;
●进一步包括将含氮反应物引入到反应器中;
●该含氮反应物是N2、NH3、N2H4、N(SiH3)3、N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH、(CH3)HNNH2、(CH3)2NNH2、N2和H2的混合物、其含氮自由基物种、以及其混合物;
●该含氮反应物是NH3、N2、N2和H2的混合物、以及其混合物;
●该N2和H2的混合物含有在大约0%v/v至大约70%v/v之间的H2
●该N2和H2的混合物含有大约50%v/v的H2
●该含硅膜是掺杂碳的氮化硅膜;
●该PEALD工艺在范围从大约100℃至大约350℃的温度下沉积掺杂碳的氮化硅膜;
●该掺杂碳的氮化硅膜具有范围从大约1.6至大约2.1的折射率;
●该掺杂碳的氮化硅膜具有范围从大约1原子%至大约15原子%的碳浓度;
●该含硅膜是非晶硅或多晶硅膜;
●在范围从大约550℃至大约800℃的温度下沉积该非晶硅或多晶硅膜;
●在范围从大约0.1托(13Pa)至大约100托(13,332Pa)的压力下沉积该非晶硅或多晶硅膜;
●进一步包括将惰性气体引入到反应器中;
●进一步包括将还原气体引入到反应器中;
●该硅膜含有在大约0原子%与大约5原子%之间的碳;
●该硅膜含有在大约0原子%与大约1原子%之间的氮;
●该硅膜含有在大约0原子%与大约1原子%之间的氯;
●该硅膜是非晶硅膜;
●该硅膜是多晶硅膜;
●包括孔洞或沟槽的基板具有范围从大约1:10至大约1:100的纵横比;
●包括孔洞或沟槽的基板具有范围从大约1:10至大约1:40的纵横比;或
●该硅膜获得了孔洞或沟槽的范围从大约80%至大约100%的阶梯覆盖率。
还披露了用于在基板上气相沉积碳化硅膜的工艺。将形成含硅膜的组合物的蒸气引入至含有该一个或多个基板的反应器中。这些形成含硅膜的组合物包含卤化硅。将该卤化硅的至少一部分沉积到该一个或多个基板上以形成该碳化硅膜。将烷基取代的金属或类金属引入至反应器中。将该烷基取代的金属或类金属的至少一部分沉积到该基板上以形成该碳化硅膜。所披露的工艺可以进一步包括以下方面中的一项或多项:
●该形成含Si膜的组合物包含在大约99%w/w与大约100%w/w之间的该卤化硅;
●该形成含Si膜的组合物包含在大约95%w/w与大约100%w/w之间的该卤化硅;
●该形成含Si膜的组合物包含在大约0%w/w与大约5%w/w之间的杂质;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约2.0%w/w之间的杂质;
●该形成含Si膜的组合物包含在大约0.0%w/w与大约1.0%w/w之间的杂质;
●杂质包括六取代的二硅烷;烷基胺;二烷基胺;烷基亚胺;碘硅烷;氨基硅烷;碘化锂、碘化钠或碘化钾;碘;THF;醚;戊烷;环己烷;庚烷;苯;甲苯;卤化的金属化合物;
●该形成含Si膜的组合物包含在大约0ppbw与大约1ppmw之间的金属杂质;
●该形成含Si膜的组合物包含在大约0ppbw与大约500ppbw之间的金属杂质;
●该卤化硅含有至少一个卤素原子;
●该卤化硅含有至少一个硅-卤素键;
●该卤化硅具有式SiX4,每个X独立地是Cl、Br、I、H、或R,并且R是脂肪族基团;
●该卤化硅是SiCl3H;
●该卤化硅是SiH2Cl2
●该卤化硅是SiH3Cl;
●该卤化硅是SiI2H2
●该卤化硅是SiHMeI2
●该卤化硅是SiMe2I2
●该卤化硅是SiHxCl3-x(CH2Cl),其中x=0-3;
●该卤化硅是SiH3(CH2Cl);
●该卤化硅是SiH2Cl(CH2Cl);
●该卤化硅是SiHCl2(CH2Cl);
●该卤化硅是SiCl3(CH2Cl);
●该卤化硅具有式Si2X6,其中每个X独立地是Cl、Br、I、或H;
●该卤化硅是五氯二硅烷;
●该卤化硅是五溴二硅烷;
●该卤化硅是五碘二硅烷;
●该卤化硅是五氟二硅烷;
●该卤化硅是六氯二硅烷;
●该卤化硅是六碘二硅烷;
●该卤化硅是单氯二硅烷;
●该卤化硅是单溴二硅烷;
●该卤化硅是单碘二硅烷;
●该卤化硅是1,1-二氯二硅烷[Cl2HSi-SiH3];
●该卤化硅是二溴二硅烷[H2BrSi-SiBrH2];
●该卤化硅是二碘二硅烷[H2ISi-SiIH2];
●该卤化硅是二氯二硅烷[H3Si-SiHCl2];
●该卤化硅是二溴二硅烷[H3Si-SiHBr2];
●该卤化硅是二碘二硅烷[H3Si-SiHI2];
●该卤化硅具有式X3Si-CH2-SiX3,其中每个X独立地是Cl、Br、I、或H;
●该卤化硅是双(二氯甲硅烷基)甲烷[(SiClH2)2CH2];
●该卤化硅是单氯三硅戊烷[H3Si-CH2-SiH2Cl];
●该卤化硅具有式X3Si-CH2-CH2-SiX3,其中每个X独立地是Cl、Br、I、或H;
●该卤化硅具有式X3Si-CH2-SiX2-CH2-SiX3,其中每个X独立地是Cl或H,前提是至少一个末端X是Cl;
●该卤化硅具有式Cl3Si-CH2-SiCl2-CH2-SiCl3
●该卤化硅具有式H3Si-CH2-SiH2-CH2-SiClH2
●该卤化硅具有式(-SiX2-CH2-)3,其中每个X独立地是Cl、Br、或I;
●该卤化硅具有式(-SiHX-CH2-)3,其中每个X独立地是Cl、Br、或I;
●该卤化硅是八氯三硅烷(OCTS);
●该卤化硅是十氯四硅烷(Si4Cl10);
●该卤化硅是十二氯五硅烷(DCPS或Si5Cl12);
●该烷基取代的金属或类金属具有式AlR3,其中每个R独立地是H、Me、Et、nPr、iPr、nBu、iBu、或NR2,前提是当R3=H3时,该分子可以与胺或硼氢化物加合;
●该烷基取代的金属或类金属是三乙基铝;
●该烷基取代的金属或类金属是三甲基铝;
●该烷基取代的金属或类金属是AlH3·NH3
●该烷基取代的金属或类金属具有式BR3,其中每个R独立地是烷基或烯丙基;
●该烷基取代的金属或类金属是三乙基硼;
●该烷基取代的金属或类金属是三甲基硼;
●该烷基取代的金属或类金属具有式AlClR2,其中每个R独立地是H、Me、Et、nPr、iPr、nBu、或iBu、或NR2
●该烷基取代的金属或类金属具有式BXR2,其中X是Cl、Br、或I,并且每个R独立地是烷基或烯丙基;
●该烷基取代的金属或类金属具有式ZnR2,其中每个R独立地是Me、Et、nPr、iPr、nBu、或iBu;
●该烷基取代的金属或类金属具有式GaR3,其中每个R独立地是Me、Et、nPr、iPr、nBu、iBu、或NR2
●该烷基取代的金属或类金属是三甲基镓;并且
●该烷基取代的金属或类金属具有式InR3,其中每个R独立地是Me、Et、nPr、iPr、nBu、iBu、或NR2
还披露了一种形成含Si膜的组合物递送装置,该递送装置包括罐,该罐具有入口导管和出口导管并且含有以上披露的任一种形成含Si膜的组合物。所披露的装置可以包括以下方面中的一项或多项:
●该形成含Si膜的组合物具有小于10ppmw的总金属污染物浓度;
●该入口导管的一端位于该形成含Si膜的组合物的表面上方且该出口导管的一端位于该形成含Si膜的组合物的表面下方;
●该入口导管的一端位于该形成含Si膜的组合物的表面下方且该出口导管的一端位于该形成含Si膜的组合物的表面上方;
●进一步包含在该入口和该出口上的隔膜阀。
●在该罐的内表面上进一步包括一个或多个阻挡层;
●在该罐的内表面上进一步包括一个至四个阻挡层;
●在该罐的内表面上进一步包括一个或两个阻挡层;
●每个阻挡层包含氧化硅层、氮化硅层、氧氮化硅层、碳氮化硅、氧碳氮化硅层、或其组合;
●其中每个阻挡层是5至1000nm厚;
●其中每个阻挡层是50至500nm厚;
●该形成含Si膜的组合物包含五氯二硅烷(PCDS或Si2HCl5);
●该形成含Si膜的组合物包含五碘二硅烷(Si2HI5);
●该形成含Si膜的组合物包含五溴二硅烷(Si2HBr5);
●该形成含Si膜的组合物包含五氟二硅烷(Si2HF5);
●该形成含Si膜的组合物包含五(二甲基氨基)二硅烷[Si2H(NMe2)5];
●该形成含Si膜的组合物包含SiCl3H;
●该形成含Si膜的组合物包含SiH2Cl2
●该形成含Si膜的组合物包含SiH3Cl;
●该形成含Si膜的组合物包含SiI2H2
●该形成含Si膜的组合物包含SiHMeI2
●该形成含Si膜的组合物包含SiMe2I2
●该形成含Si膜的组合物包含SiHxCl3-x(CH2Cl),其中x=0-3;
●该形成含Si膜的组合物包含SiH3(CH2Cl);
●该形成含Si膜的组合物包含SiH2Cl(CH2Cl);
●该形成含Si膜的组合物包含SiHCl2(CH2Cl);
●该形成含Si膜的组合物包含SiCl3(CH2Cl);
●该形成含Si膜的组合物包含具有式Si2X6的卤化硅,其中每个X独立地是Cl、Br、I、或H;
●该形成含Si膜的组合物包含五氯二硅烷;
●该形成含Si膜的组合物包含五溴二硅烷;
●该形成含Si膜的组合物包含五碘二硅烷;
●该形成含Si膜的组合物包含五氟二硅烷;
●该形成含Si膜的组合物包含六氯二硅烷;
●该形成含Si膜的组合物包含六碘二硅烷;
●该形成含Si膜的组合物包含单氯二硅烷;
●该形成含Si膜的组合物包含单溴二硅烷;
●该形成含Si膜的组合物包含单碘二硅烷;
●该形成含Si膜的组合物包含1,1-二氯二硅烷[Cl2HSi-SiH3];
●该形成含Si膜的组合物包含二溴二硅烷[H2BrSi-SiBrH2];
●该形成含Si膜的组合物包含二碘二硅烷[H2ISi-SiIH2];
●该形成含Si膜的组合物包含二氯二硅烷[H3Si-SiHCl2];
●该形成含Si膜的组合物包含二溴二硅烷[H3Si-SiHBr2];
●该形成含Si膜的组合物包含二碘二硅烷[H3Si-SiHI2];
●该形成含Si膜的组合物包含具有式X3Si-CH2-SiX3的卤化硅,其中每个X独立地是Cl、Br、I、或H;
●该形成含Si膜的组合物包含双(二氯甲硅烷基)甲烷[(SiClH2)2CH2];
●该形成含Si膜的组合物包含单氯三硅戊烷[H3Si-CH2-SiH2Cl];
●该形成含Si膜的组合物包含具有式X3Si-CH2-CH2-SiX3的卤化硅,其中每个X独立地是Cl、Br、I、或H;
●该形成含Si膜的组合物包含具有式X3Si-CH2-SiX2-CH2-SiX3的卤化硅,其中每个X独立地是Cl或H,前提是至少一个末端X是Cl;
●该形成含Si膜的组合物包含具有式Cl3Si-CH2-SiCl2-CH2-SiCl3的卤化硅;
●该形成含Si膜的组合物包含具有式H3Si-CH2-SiH2-CH2-SiClH2的卤化硅;
●该形成含Si膜的组合物包含具有式(-SiX2-CH2-)3的卤化硅,其中每个X独立地是Cl、Br、或I;
●该形成含Si膜的组合物包含具有式(-SiHX-CH2-)3的卤化硅,其中每个X独立地是Cl、Br、或I;
●该形成含Si膜的组合物包含八氯三硅烷(OCTS);
●该形成含Si膜的组合物包含十氯四硅烷(Si4Cl10);并且
●该形成含Si膜的组合物包含十二氯五硅烷(DCPS或Si5Cl12)。
标记和命名
贯穿以下说明及权利要求书中使用某些缩写、符号及术语,且其包括:
如在此所使用,不定冠词“一个/一种(a或an)”意指一个/一种或多个/多种。
如在此所使用,术语“大约(approximately)”或“约(about)”意指所陈述的值的±10%。
如在此所使用,当被用于描述R基团的上下文中时,术语“独立地”应理解为表示对象R基团不仅相对于带有相同或不同下标或上标的其他R基团独立地选择,而且相对于同样的R基团的任何附加种类独立地选择。例如,在式MR1 x(NR2R3)(4-x)中,其中x是2或3,两个或三个R1基团可以但是不必是彼此相同的或与R2或与R3相同的。进一步地,应理解,除非另外确切地指明,否则当用于不同式中时,R基团的值彼此独立。
如在此所使用,术语“烷基”是指仅仅含有碳和氢原子的饱和官能团。进一步地,术语“烷基”是指直链、支链、或环状烷基。直链烷基的实例包括但不限于,甲基、乙基、丙基、丁基等。支链烷基的实例包括但不限于叔丁基。环烷基的实例包括但不限于,环丙基、环戊基、环己基等。
如在此所使用,缩写“Me”是指甲基;缩写“Et”是指乙基;缩写“Pr”是指丙基;缩写“iPr”是指异丙基;缩写“Bu”是指丁基;缩写“tBu”是指叔丁基;以及缩写“sBu”是指仲丁基。
请注意,所沉积的膜或层(诸如氧化硅或氮化硅)可以在整个说明书及权利要求书中在不提及其适当化学计量(即SiO2、SiO3、Si3N4)的情况下列举。这些层可以包括纯(Si)层、碳化物(SioCp)层、氮化物(SikNl)层、氧化物(SinOm)层或其混合物,其中k、l、m、n、o和p范围从1至6(包括端点)。例如,氧化硅为SinOm,其中n范围从0.5至1.5并且m范围从1.5至3.5。更优选地,氧化硅层为SiO2或SiO3。氧化硅层可为基于氧化硅的介电材料,诸如基于有机物或基于氧化硅的低k介电材料,诸如应用材料公司(Applied Materials)的Black DiamondII或III材料。可替代地,任何提及的含硅层可为纯硅。任何含硅层还可以包括掺杂剂,诸如B、C、P、As和/或Ge。
在此列举的任何及所有范围包括其端点(即,x=1至4或x范围从1至4,包括x=1、x=4及x=其间的任何数),不论是否使用术语“包括端点”。
在此使用来自元素周期表的元素的标准缩写。应理解,可通过这些缩写提及元素(例如,Mn是指锰,Si是指硅,C是指碳等)。
附图说明
为了进一步理解本发明的本质和目的,应结合附图来参考以下详细说明,其中:
图1是在此披露的形成含Si膜的组合物递送装置的一个实施例的侧视图;
图2是在此披露的形成含Si膜的组合物递送装置的第二实施例的侧视图;
图3是用于除了实例10之外的所有实例中的测试的气相沉积设备的示意图;
图4(a)是在大约400℃下由PCDS的热分解产生的Si膜的X射线光电子能谱(XPS)深度分布;
图4(b)是在大约500℃下由PCDS的热分解产生的Si膜的XPS深度分布;
图4(c)是在大约600℃下由PCDS的热分解产生的Si膜的XPS深度分布;
图4(d)是在大约700℃下由PCDS的热分解产生的Si膜的XPS深度分布;
图5(a)是在大约400℃下由HCDS的热分解产生的Si膜的XPS深度分布;
图5(b)是在大约500℃下由HCDS的热分解产生的Si膜的XPS深度分布;
图5(c)是在大约600℃下由HCDS的热分解产生的Si膜的XPS深度分布;
图5(d)是在大约700℃下由HCDS的热分解产生的Si膜的XPS深度分布;
图6是使用PCDS(圆形)或HCDS(三角形)和臭氧沉积的SiO2膜的以埃/循环计的平均ALD沉积速率对比范围从大约500℃至大约700℃的温度的图;
图7是使用PCDS和臭氧在大约600℃(正方形)或大约550℃(菱形)下SiO2膜的以埃/循环计的平均ALD生长速率对比以秒计的PCDS脉冲持续时间的图;
图8(a)是在大约500℃下使用PCDS和臭氧在Si基板上由ALD沉积产生的SiO2膜的XPS深度分布;
图8(b)是在大约600℃下使用PCDS和臭氧在Si基板上由ALD沉积产生的SiO2膜的XPS深度分布;
图8(c)是在大约650℃下使用PCDS和臭氧在Si基板上由ALD沉积产生的SiO2膜的XPS深度分布;
图8(d)是在大约700℃下使用PCDS和臭氧在Si基板上由ALD沉积产生的SiO2膜的XPS深度分布;
图9是示出了使用PCDS和臭氧在大约600℃下通过350个ALD循环沉积在具有40:1的纵横比的深孔图案晶片上的SiO2膜的阶梯覆盖的扫描电子显微镜(SEM)照片;
图9(a)是示出了在图9的结构的顶部处的SiO2阶梯覆盖的放大的SEM照片;
图9(b)是示出了在图9的结构的中间处的SiO2阶梯覆盖的放大的SEM照片;
图9(c)是示出了在图9的结构的底部处的SiO2阶梯覆盖的放大的SEM照片;
图10是使用PCDS、水和三乙胺(TEA)沉积的SiO2膜的以埃/循环计的平均ALD沉积速率(菱形)和折射率(正方形)对比范围从大约50℃至大约100℃的温度的图;
图11是使用PCDS、水和TEA由ALD沉积产生的氧化硅膜的XPS深度分布;
图12是使用PCDS(圆形)和HCDS(正方形)和NH3沉积的SiN膜的以埃/分钟计的平均CVD沉积速率(空心的)和折射率(填充的)对比范围从大约450℃至大约600℃的温度的图;
图13是使用PCDS(圆形)、HCDS(正方形)和八氯三硅烷(三角形)和NH3沉积的SiN膜的以埃/循环计的平均ALD沉积速率(空心的)和折射率(填充的)对比范围从大约350℃至大约600℃的温度的图;
图14是用PCDS和NH3在600℃下沉积的SiN膜的XPS深度分布;
图15是在400℃下使用PCDS和三甲基铝由ALD沉积产生的SiC膜的XPS深度分布;
图16是展示了使用PCDS(正方形)或HCDS(三角形)作为Si源和三甲基铝(TMA)作为碳源经由ALD沉积的SiC膜的膜厚度和折射率对比测量位置的图;
图17是实例10中使用的等离子体气相沉积设备的示意图;
图18是在275℃下使用五(二甲基氨基)二硅烷和N2等离子体由ALD沉积产生的Si(C)N膜的XPS深度分布;以及
图19是使用五(二甲基氨基)二硅烷和N2等离子体沉积的Si(C)N膜的以埃/循环计的平均ALD沉积速率(正方形)和折射率(圆形)对比以秒计的暴露时间的图。
具体实施方式
披露了用于在基板上沉积含硅膜的工艺。将形成含硅膜的组合物的蒸气引入至在其中安置有基板的反应器中。这些形成含硅膜的组合物包含五取代的二硅烷前体。将该五取代的二硅烷前体的至少一部分沉积到该基板上以形成该含硅膜。
该五取代的二硅烷前体可以是五氯二硅烷(PCDS或Si2HCl5)、五氟二硅烷(Si2HF5)、五溴二硅烷(Si2HBr5)、或五碘二硅烷(Si2HI5)。
五氯二硅烷目前不是可商购的。然而,生产五氯二硅烷的方法是本领域中已知的。在2014年9月25日提交的日本专利申请号2014-194723中披露了一种优选的方法,将该申请的内容通过引用以其全文结合在此。更特别地,PCDS可以通过蒸馏从三氯硅烷的合成中产生的氯硅烷副产物中分离。相应的五卤二硅烷可以以相同的方式合成。该五卤二硅烷可通过连续或部分分批蒸馏纯化至范围从大约95%w/w至大约100%w/w、优选范围从大约99%w/w至大约100%w/w的纯度。本领域普通技术人员将认识到,蒸馏柱将要求大量的理论塔板以便从其卤二硅烷类似物中纯化五卤二硅烷。
六卤二硅烷在纯化的五卤二硅烷中的浓度范围可以是从大约0%w/w至大约5%w/w、优选地从大约0%w/w至大约1%w/w。O2在纯化的五卤二硅烷中的浓度范围可以是从大约0ppmw至大约50ppmw、优选地从大约0ppmw至大约4ppmw、并且更优选地从大约0ppmw至大约1ppmw。纯化的五卤二硅烷应该不含有水(0ppmw),或这两者将反应。Cr在纯化的五卤二硅烷中的浓度范围可以是从大约0ppmw至大约25ppmw。Fe在纯化的五卤二硅烷中的浓度范围可以是从大约0ppmw至大约100ppmw。Na在纯化的五卤二硅烷中的浓度范围可以是从大约0ppmw至大约50ppmw。Ni在纯化的五卤二硅烷中的浓度范围可以是从大约0ppmw至大约25ppmw。
可替代地,该五取代的二硅烷前体可以是五(二甲基氨基)二硅烷[PDMADS-Si2H(NMe2)5]。PDMADS目前也不是可商购的。然而,例如在授予Dussarrat的WO 2007/112780中披露了合成其的方法,其内容通过引用以其全文结合在此。更特别地,通过使六氯二硅烷与超过5倍摩尔的二甲胺(Me2NH)在有机溶剂中在从-30℃至50℃的温度下反应来产生五(二甲基氨基)氯二硅烷。通过使用氢化铝锂或硼氢化钠还原五(二甲基氨基)氯二硅烷来形成PDMADS。
PDMADS可通过连续或部分分批蒸馏纯化至范围从大约95%w/w至大约100%w/w、优选范围从大约99%w/w至大约100%w/w的纯度。本领域普通技术人员将认识到,蒸馏柱将要求大量的理论塔板以便从其氨基硅烷类似物中纯化PDMADS。
六(二甲基氨基)二硅烷在纯化的PDMADS中的浓度范围可以是从大约0%w/w至大约5%w/w、优选地从大约0%w/w至大约1%w/w。O2在纯化的PDMADS中的浓度范围可以是从大约0ppmw至大约50ppmw、优选地从大约0ppmw至大约4ppmw、并且更优选地从大约0ppmw至大约1ppmw。PDMADS内不能含有水(0ppmw),或者这两者将反应。Cr在纯化的PDMADS中的浓度范围可以是从大约0ppmw至大约25ppmw。Fe在纯化的PDMADS中的浓度范围可以是从大约0ppmw至大约100ppmw。Na在纯化的PDMADS中的浓度范围可以是从大约0ppmw至大约50ppmw。Ni在纯化的PDMADS中的浓度范围可以是从大约0ppmw至大约25ppmw。
如以下实例中说明的,申请人已经出人意料地发现,当与通过类似的六取代的二硅烷诸如HCDS或Si2(NHMe)6沉积的膜相比时,使用形成五取代的二硅烷膜的前体用于含硅膜沉积的工艺提供了更快的ALD生长速率和合理的ALD温度窗口,在该温度窗口下可用膜以高纯度沉积。申请人相信,六取代的二硅烷的一个配体(其中所有配体是相同的)被H取代产生了更具反应性的分子(即,Si2Cl6→Si2HCl5或Si2(NMe2)6→Si2H(NMe2)5)。与分别在HCDS和Si2(NMe2)6的情况下氯或二甲基氨基配体的较大的原子尺寸相比,形成五取代的二硅烷膜的前体的增加的反应性可能是由于氢配体的较小的原子尺寸。较小尺寸的氢配体可提供较少的位阻以及因此到基板上或到先前形成的层上的较高的物理吸附或化学吸附的可能性,导致较快的生长行为。氢配体还可以使形成五取代的二硅烷膜的前体比HCDS和Si2(NMe2)6更具酸性,导致更高的反应性。增加的反应性还可能是由于六取代的二硅烷的一个配体被H取代,由于从对称分子到不对称分子的变化使Si-Si键轻微极化。
所披露的用于使用气相沉积工艺在基板上形成含硅层的方法可以是在半导体、光伏、LCD-TFT、或平板型器件的制造中有用的。所披露的形成含Si膜的组合物可使用本领域技术人员已知的任何沉积方法用于沉积含硅膜。适合的沉积方法的实例包括化学气相沉积(CVD)或原子层沉积(ALD)。示例性CVD方法包括热CVD、等离子体增强的CVD(PECVD)、脉冲CVD(PCVD)、低压CVD(LPCVD)、低于大气压的CVD(SACVD)或大气压CVD(APCVD)、热丝CVD(HWCVD,还被称为cat-CVD,其中热丝充当用于沉积工艺的能源)、自由基结合的沉积、超临界流体沉积、以及其组合。示例性ALD方法包括热ALD、等离子体增强的ALD(PEALD)、空间隔离ALD、热丝ALD(HWALD)、以及其组合。沉积方法优选地是ALD、PE-ALD、或空间隔离ALD,以便提供适合的阶梯覆盖和膜厚度控制。
所披露的形成含Si膜的组合物可以包含仅仅该五取代的二硅烷前体。可替代地,该形成含Si膜的组合物可以进一步包含溶剂,诸如甲苯、二甲苯、均三甲苯、癸烷、十二烷、或其他合适的烃。所披露的前体可以是以变化的浓度存在于该溶剂中。
通过常规手段(诸如管道和/或流量计)将所披露的形成含Si膜的组合物以蒸气形式引入至反应器中。可通过常规汽化步骤(诸如直接汽化、蒸馏、或通过鼓泡)来产生蒸气形式的组合物。在将该组合物引入到反应器中之前,可将其以液态进料到汽化器(直接液体注射或“DLI”),在该汽化器中使其汽化。可替代地,可通过将载气传送至含有该组合物的容器内或通过将载气鼓泡进该组合物内使该组合物汽化。该载气可包括但不限于Ar、He、N2及其混合物。用载体鼓泡还可移除组合物中存在的任何溶解氧。然后将该载气和组合物作为蒸气引入到反应器中。
如果必要,所披露的形成含Si膜的组合物的容器可以被加热到允许组合物具有足够的蒸气压的温度。可以将该容器维持在例如大约0℃至大约150℃的范围内的温度下。本领域技术人员认识到可以按已知方式调整该容器的温度以控制汽化的组合物的量。
例如,这些形成含Si膜的组合物可以使用所披露的形成含Si膜的组合物递送装置引入到反应器中。图1和图2示出了所披露的递送装置1的两个实施例。
图1是形成含Si膜的组合物递送装置1的一个实施例的侧视图。在图1中,所披露的形成含Si膜的组合物10被包含在具有两个导管(入口导管30和出口导管40)的容器20内。在前体领域中的普通技术人员将认识到,容器20、入口导管30及出口导管40制造为防止形成含Si膜的组合物10的气态形式的逸出,即使在高温和高压下。
递送装置应该是不漏的并且配备有不允许材料释放的阀。适合的阀包括弹簧加载阀或束缚隔膜阀。阀可进一步包含限流孔(RFO)。递送装置可以连接至气体歧管或在密闭室中。气体歧管应允许当替换递送装置时可能暴露于空气的管道被安全抽真空并且吹扫,使得任何残余量的材料不发生反应。该密闭室可以配备有传感器和控火能力,以便在材料释放的情况下控制火。气体歧管还应配备有分离阀、真空发生器且允许引入最少的吹扫气体。
递送装置经由阀35及45流体连通至半导体加工工具的其他部件,诸如上文所披露的气柜。优选地,递送装置20、入口导管30、阀35、出口导管40及阀45由316L EP或304不锈钢制成。然而,本领域普通技术人员将认识到,本文传授内容中还可使用其他非反应性材料,且任何腐蚀性的形成含硅膜的组合物10可能需要使用更耐腐蚀的材料,诸如哈斯特镍基合金(Hastelloy)或因科镍合金(Inconel)。
在图1中,入口导管30的末端31位于形成含Si膜的组合物10的表面上方,而出口导管40的末端41位于形成含Si膜的组合物10的表面下方。在此实施例中,形成含Si膜的组合物10优选呈液体形式。包括但不限于氮气、氩气、氦气及其混合物的惰性气体可被引入至入口导管30中。惰性气体对递送装置20加压以迫使液体的形成含硅膜的组合物10通过出口导管40且进入半导体加工工具中的部件(未示出)中。半导体加工工具可包括汽化器,其使用或不使用载气(诸如氦气、氩气、氮气或其混合物)将液体的形成含硅膜的组合物10转变成蒸气,以便将该蒸气递送至其中定位有待修复晶片且处理以气相发生的室中。可替代地,液体的形成含Si膜的组合物10可以作为射流或气溶胶被直接递送至晶片表面上。
图2是形成含Si膜的组合物递送装置1的第二实施例的侧视图。在图2中,入口导管30的末端31位于形成含Si膜的组合物10的表面下方,而出口导管40的末端41位于形成含Si膜的组合物10的表面上方。图2还包括任选的加热元件25,该加热元件可以升高形成含Si膜的组合物10的温度。在此实施例中,形成含Si膜的组合物10可以呈固体或液体形式。包括但不限于氮气、氩气、氦气及其混合物的惰性气体被引入至入口导管30中。惰性气体鼓泡通过形成含Si膜的组合物10并且将惰性气体与汽化的形成含Si膜的组合物10的混合物携载至出口导管40和半导体加工工具中的部件。
图1和图2二者都包括阀35和45。本领域普通技术人员将认识到,阀35和45可以被置于打开或关闭位置,以分别允许流过导管30和40。如果形成含Si膜的组合物10是呈蒸气形式或如果足够的蒸气压存在于固相/液相上方,则可以使用图1或图2中的递送装置1或者具有在存在的任何固体或液体表面上方终止的单个导管的更简单的递送装置。在此情况下,通过分别打开图1中的阀35或图2中的阀45,使形成含Si膜的组合物10以蒸气形式通过导管30或40递送。递送装置1可维持于合适温度以向待以蒸气形式递送的形成含Si膜的组合物10提供足够的蒸气压,例如通过使用任选的加热元件25来维持。
虽然图1和图2披露了形成含Si膜的组合物递送装置1的两个实施例,但是本领域普通技术人员将认识到,入口导管30和出口导管40二者都可以位于形成含Si膜的组合物10的表面的上方或下方,而不脱离在此的披露内容。此外,入口导管30可以是填充端口。最后,本领域普通技术人员将认识到,可使用其他递送装置(如Jurcik等人的WO 2006/059187中所披露的安瓿)将所披露的形成含Si膜的组合物递送至半导体加工工具中,而不脱离在此的传授内容。
所披露的形成含Si膜的组合物的蒸气被递送到半导体、光伏、LCD-TFT、平板型器件、耐火材料或航空工具的反应器中。该反应器可以是在其中进行气相沉积方法的装置的任何密闭室或腔室,如但不限于:平行板型反应器、冷壁型反应器、热壁型反应器、单晶片反应器、多晶片(即,分批)反应器、或适于引起前体反应并形成层的其他类型的沉积系统。所有这些示例性反应器均能够充当ALD和/或CVD反应器。
通常,反应器含有一个或多个上面沉积有膜的基板。基板一般定义为在其上进行工艺的材料。基板可为用于半导体、光伏、平板或LCD-TFT器件制造中的任何合适的基板。合适的基板的实例包括晶片,如硅、二氧化硅、玻璃、或GaAs晶片。该晶片可具有从先前的制造步骤沉积在其上的一个或多个不同材料层。例如,这些晶片可包括氮化硅层、氧氮化硅层、掺杂碳的氧化硅(SiCOH)层、或其组合。此外,这些晶片可包括铜层、钨层或贵金属层(例如铂、钯铑或金)。也可使用塑料层诸如聚(3,4-亚乙基二氧噻吩)聚(苯乙烯磺酸酯)[PEDOT:PSS]。这些层可以是平面的或图案化的。可以使这些层经受导致在其中形成的孔洞或沟槽的加工。这些孔洞和沟槽可以具有范围从10:1至100:1的纵横比。所披露的工艺可直接在晶片上、直接在晶片顶部上的层中的一个或多于一个层(当图案化层形成基板时)上、和/或在孔洞和沟槽中沉积该含硅层。贯穿本说明书和权利要求书,晶片和其上的任何相关层称为基板。例如,Cu膜可沉积到SiC层上。在随后的加工中,可以在Cu层上沉积SiC层,形成用于后段制程(BEOL)中的镶嵌结构中的SiC/Cu/SiC堆叠体。
反应器内的温度和压力保持在适用于气相沉积的条件下。换言之,在将该汽化的组合物引入至该室内之后,该室内的条件是使得将该五取代的二硅烷前体的至少一部分沉积到该基板上以形成该含硅膜。例如,根据每个沉积参数所要求的,反应器中的压力可以保持在约1Pa与约105Pa之间,更优选在约25Pa与约103Pa之间。同样,反应器中的温度可以保持在约100℃与约800℃之间。本领域普通技术人员将认识到,“使该五取代的二硅烷前体的至少一部分沉积”意指一些或全部前体与基板反应或粘附至基板。
可通过控制基板固持器的温度或控制反应器壁的温度来控制反应器的温度。用于加热基板的装置是本领域中已知的。该反应器壁被加热至足够温度以便以足够生长率和所希望的物理状态和组成获得所希望的膜。非限制性示例性温度范围(可以将该反应器壁加热到该温度范围)包括从大约室温(20℃)至大约800℃。当利用等离子体沉积工艺时,该沉积温度范围可以是从大约室温(20℃)至大约400℃。可替代地,当使用臭氧作为反应物时,该沉积温度范围可以是从大约100℃至大约400℃。在另一个替代方案中,当进行热工艺时,该沉积温度范围可以是从大约450℃至大约800℃。
除所披露的形成含Si膜的组合物之外,还可将反应物引入到反应器中。该反应物可以是含氧气体,诸如O2、O3、H2O、H2O2、NO、N2O、NO2之一,含氧自由基诸如O·或OH·,羧酸,甲酸,乙酸,丙酸,及其混合物。典型地,该含氧气体选自下组,该组由以下各项组成:O2、O3、H2O、H2O2、其含氧自由基(如O·或OH·)、以及其混合物。更典型地,该含氧气体是O2、O3、O2等离子体、或其组合。优选地,该含氧气体是氧气和臭氧的混合物。该混合物优选地含有至少5%v/v的臭氧,并且更优选地7.2%v/v的臭氧。
该反应物可以通过等离子体处理,以便将该反应物分解成其含氧自由基形式。例如,可以用范围从约50W至约500W、优选地从约100W至约200W的功率产生等离子体。等离子体可产生或存在于反应器本身内。可替代地,例如在远程定位的等离子体系统中,等离子体总体上可处于远离该反应器的位置处。本领域技术人员将认识到适于此类等离子体处理的方法和设备。
例如,反应物可以被引入到直接等离子体反应器(该反应器在反应室中产生等离子体)中,以在该反应室中产生等离子体处理过的反应物。示例性的直接等离子体反应器包括由垂恩技术公司(Trion Technologies)生产的TitanTMPECVD系统。反应物可以在等离子体加工之前被引入并保持在反应室中。可替代地,等离子体加工可以与引入反应物同时发生。原位等离子体典型地是在喷淋头与基板固持器之间产生的13.56MHz RF电感耦合等离子体。根据是否发生正离子碰撞,基板或喷淋头可以是被供电电极。原位等离子体产生器中的典型施加功率为从大约30W至大约1000W。优选地,在所披露的方法中使用从大约30W至大约600W的功率。更优选地,功率的范围从大约100W至大约500W。使用原位等离子体的反应物的解离典型地小于对于相同功率输入使用远程等离子体源实现的,并且因此在反应物解离中不如远程等离子体系统有效,这可能有利于将含Si膜沉积在容易被等离子体损坏的基板上。
可替代地,等离子体处理过的反应物可以在反应室外产生。MKS仪器公司的反应性气体产生器可以用于在通入反应室之前处理反应物。在2.45GHz、7kW等离子体功率和范围从大约3托至大约10托的压力下操作,反应物O2可分解成两个O·自由基。优选地,可以用范围从约1kW至约10kW、更优选地从约2.5kW至约7.5kW的功率产生远程等离子体。
所得氧化硅膜可以用作电容器和绝缘层。
可以在ALD或CVD工艺中使用五(二甲基氨基)二硅烷和含氧气体来沉积氧化硅。当使用臭氧反应物时,该工艺可以是在范围从大约100℃至大约400℃的温度下的热ALD工艺。可替代地,该工艺可以是在范围从大约室温至400℃的温度下的等离子体增强的ALD工艺。预期使用五(二甲基氨基)二硅烷前体的氧化硅膜的ALD沉积产生大约1埃/循环的量级的沉积速率。大多数烷基氨基单硅烷展现出较低的沉积速率,典型地大约0.5埃/循环。
如实例中说明的,氧化硅膜还可以在ALD或CVD工艺中使用五氯二硅烷和含氧气体在高温下沉积。压力范围可以是从大约0.75托至大约1.25托。温度范围可以是从大约500℃至大约800℃、优选地从大约500℃至大约600℃。高温氧化硅膜沉积对于生产具有高密度、低湿式蚀刻速率和低污染物水平(例如,具有范围从109至1017原子/cm3的金属杂质)的膜是重要的。预期使用五氯二硅烷前体和臭氧/氧气混合物的氧化硅膜的热ALD沉积产生范围在大约0.4埃/循环至大约1.5埃/循环之间的沉积速率,事实上,如实例2-5中示出的,使用五氯二硅烷和大约7.2%v/v的臭氧/氧气混合物的ALD沉积在大约550℃至大约650℃的温度范围下在范围在大约0.75埃/循环至大约1埃/循环之间的沉积速率下展现出自限性生长。所得膜具有很少至不具有氮或氯污染。所得氧化硅膜优选地含有在大约0原子%与大约1原子%之间的氮。所得氧化硅膜还含有在大约0原子%与大约1原子%之间的氯。申请人相信,工艺条件的优化将在有具有范围从大约10:1至大约100:1的纵横比的孔洞或沟槽的基板上产生具有在大约80%至大约100%之间的阶梯覆盖率的氧化硅膜的沉积。
可替代地,该反应物可以是还原气体如以下项之一:H2、H2CO、NH3、SiH4、Si2H6、Si3H8、(CH3)2SiH2、(C2H5)2SiH2、(CH3)SiH3、(C2H5)SiH3、苯基硅烷、N2H4、N(SiH3)3、N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH、(CH3)HNNH2、(CH3)2NNH2、苯肼、含N分子、B2H6、9-硼双环[3,3,1]壬烷、二氢苯并呋喃、吡唑啉、三甲基铝、二甲基锌、二乙基锌、其自由基物种、及其混合物。优选地,该还原气体是H2、NH3、SiH4、Si2H6、Si3H8、SiH2Me2、SiH2Et2、N(SiH3)3、其氢自由基、或其混合物。本领域技术人员将认识到,使用还原气体优先用于元素硅膜(诸如非晶硅或多晶硅(还被称为多结晶硅)的沉积。
例如,如实例1中说明的,可通过将Si2Cl5H的蒸气引入到设定在范围从大约550℃至大约800℃的温度以及范围从大约0.1托至大约100托的压力下的反应器中将硅膜沉积在基板上。还可以将惰性气体(诸如Ar、Ne、He)引入到该反应器中。还可以将以上披露的任何还原气体(优选地H2)引入到反应器中。所得Si膜可以是非晶硅或多晶硅。申请人相信,所得Si膜将含有在大约0原子%与5原子%之间的C;在大约0原子%与1原子%之间的N;以及在大约0原子%与1原子%之间的Cl。申请人进一步相信,工艺条件的优化将在有具有范围从大约10:1至大约100:1的纵横比的孔洞或沟槽的基板上产生具有在大约80%至大约100%之间的阶梯覆盖率的硅膜的沉积。
在另一个替代方案中,该反应物可以是含氮反应物,诸如以下项之一:NH3、N2H4、N(SiH3)3、N(CH3)H2、N(C2H5)H2、N(CH3)2H、N(C2H5)2H、N(CH3)3、N(C2H5)3、(SiMe3)2NH、(CH3)HNNH2、(CH3)2NNH2、其含氮自由基物种、以及其混合物。本领域技术人员将认识到,使用含氮气体优先用于氮化硅或碳氮化硅膜的沉积。
如以上关于氧化气体反应物所披露的,还可以通过等离子体处理还原气体或含氮反应物,以便将反应物分解成其自由基形式。当用等离子体处理时,N2还可以用作还原气体,并且可以在远程等离子体工艺中使用N2和H2的共混物。等离子体可以原位或远程生成,如以上进一步详细地详细描述的。
例如,如实例中说明的,氮化硅膜可以在ALD或CVD工艺中使用五氯二硅烷和含N气体在中等范围温度下沉积。压力范围可以是从大约0.1托至大约100托、优选地从大约4.75托至大约5.25托。温度范围可以是从大约350℃至大约650℃、优选地从大约450℃至大约650℃、并且更优选地从大约550℃至大约600℃。这个中等范围温度对于氮化硅沉积工艺是重要的,因为底层基板可能不能经受更高的工艺温度,而不遭受损坏。事实上,如实例8中示出的,使用五氯二硅烷和氨反应物的热ALD沉积在范围从大约0.3埃/循环至大约2埃/循环、优选地从大约1埃/循环至大约2埃/循环的生长速率下产生了具有范围从大约1.7至大约2.2、优选地从大约1.8至大约2.1、并且更优选地从大约2.0至大约2.1的折射率的氮化硅膜。纯氮化硅的折射率是2.0。氧的结合或多孔膜的沉积将降低折射率并且过量的硅将增加折射率。如实例8和图14中示出的,所得膜具有很少至不具有碳和痕量的氧和氯污染。该氮化硅膜可以含有在大约0原子%与大约5原子%之间的碳、优选地在大约0原子%与大约2.5原子%之间的碳;并且更优选地在大约0原子%与大约1原子%之间的碳。该氮化硅膜还可以含有在大约0.1原子%与大约1原子%之间的氧。最后,该氮化硅膜可以含有在大约0.1原子%与大约1原子%之间的氯。申请人进一步相信,工艺条件的优化将在有具有范围从大约10:1至大约100:1的纵横比的孔洞或沟槽的基板上产生具有在大约80%至大约100%之间的阶梯覆盖率的氮化硅膜的沉积。
使用所披露的五取代的二硅烷和含氮反应物生产的氮化硅膜可以用作用于鳍式双图案化工艺的间隔物、用作用于双Epi侧壁的间隔物、用作接触蚀刻终止层、或用作用于FinFET中的自对准接触的封盖层。当用作用于鳍式双图案化工艺的间隔物时,氮化硅生长速率没有展现出图案负载效应(与基板的平坦区域相比,生长速率在密集特征上是更低的)。当用作用于双Epi侧壁的间隔物或用作接触蚀刻终止层时,氮化硅膜具有低介电常数(在大约3至大约6之间、优选地在大约4.5与大约5.5之间)和低HF湿式蚀刻速率(在室温下对于1%HF在0埃/秒与10埃/秒之间)。
使用所披露的五取代的二硅烷和含氮反应物生产的氮化硅膜还可用于DRAM制造中。更特别地,氮化硅层可以充当用于电容器的DRAM间隔物、埋入式字线(BWL)氮化物盖、位线间隔物、或低k蚀刻终止层(ESL)。
使用所披露的五取代的二硅烷和含氮反应物生产的氮化硅层还可用作3DNAND制造中的氮化物陷阱层。氮化物陷阱层应该没有接缝和低介电常数(在大约3至大约6之间、优选地在大约4.5与大约5.5之间)。
使用所披露的五取代的二硅烷和含氮反应物生产的氮化硅层还可用于MRAM制造中的封装。这些膜需要在低温(在大约200℃与大约500℃之间、优选地在大约275℃与大约350℃之间)下沉积并且应该是不含卤化物的(含有在大约0.1原子%与大约1原子%之间的卤化物)。PEALD特别适合于这些应用。
使用所披露的五取代的二硅烷和含氮反应物生产的氮化硅层还可用作先进的互连制造中的气隙线。这些气隙线需要在低温(在大约200℃与大约500℃之间、优选地在大约275℃与大约350℃之间)下沉积,具有低介电常数(在大约3至大约6之间、优选地在大约4.5与大约5.5之间),具有低的湿式蚀刻速率(在室温下对于1%HF在0埃/秒与10埃/秒之间),并且是不含卤化物的(含有在大约0.1原子%与大约1原子%之间的卤化物)。
如实例10中示出的,掺杂碳的氮化硅还可以在低温等离子体增强的ALD工艺中使用五取代的二硅烷诸如五(二甲基氨基)二硅烷和含氮反应物来沉积。优选地,该含氮反应物是NH3、N2、或N2和H2的组合。在N2和H2的组合中的H2浓度范围可以是从大约0%vol/vol至大约70%vol/vol。例如,N2:H2比率可以是1:1。工艺温度范围可以是从大约100℃至大约350℃。在该掺杂碳的氮化硅膜中的碳浓度范围可以是从大约1原子%至大约15原子%。
在另一个替代方案中,该反应物可以是胺、烷基氨基硅烷或二硅氮烷以生产掺杂碳的氮化硅膜。示例性胺包括氨。示例性烷基氨基硅烷包括双(二乙基氨基)硅烷或三(二甲基氨基)硅烷。示例性二硅氮烷包括六甲基二硅氮烷。该掺杂碳的氮化硅膜优选地具有范围从大约2原子%至大约15原子%的碳浓度,范围从大约10原子%至大约50原子%的氮浓度,以及范围从大约0原子%至大约5原子%的氧浓度。
在另一个替代方案中,该反应物可以是烷基取代的金属或类金属。该烷基取代的金属或类金属可以具有式AlR3,其中每个R独立地是H、Me、Et、nPr、iPr、nBu、iBu、或NR2,前提是当R3=H3时,该分子可以与胺或硼氢化物加合。例如,该烷基取代的金属或类金属是三乙基铝、三甲基铝、或AlH3·NH3。可替代地,该烷基取代的金属或类金属可具有式BR3,其中每个R独立地是烷基或烯丙基。例如,该烷基取代的金属或类金属可以是三乙基硼或三甲基硼。可替代地,该烷基取代的金属或类金属可具有式AlClR2,其中每个R独立地是H、Me、Et、nPr、iPr、nBu、或iBu、或NR2。在另一个替代方案中,该烷基取代的金属或类金属可具有式BXR2,其中X是Cl、Br、或I,并且每个R独立地是烷基或烯丙基。在又另一个替代方案中,该烷基取代的金属或类金属具有式ZnR2,其中每个R独立地是Me、Et、nPr、iPr、nBu、或iBu。在另一个替代方案中,该烷基取代的金属或类金属具有式GaR3,其中每个R独立地是Me、Et、nPr、iPr、nBu、iBu、或NR2。例如,该烷基取代的金属或类金属是三甲基镓。在又另一个替代方案中,该烷基取代的金属或类金属具有式InR3,其中每个R独立地是Me、Et、nPr、iPr、nBu、iBu、或NR2
如实例9中示出的,五取代的二硅烷和烷基取代的金属或类金属可用于使用ALD工艺来沉积碳化硅膜。然而,除了所披露的五取代的二硅烷之外,申请人相信任何卤化硅前体在该工艺中可以是有用的,前提是该前体含有至少一种卤化物,因为该卤化物与该金属或类金属反应,形成挥发性卤化物。
可适合用于碳化硅膜的ALD沉积中的附加的卤化硅包括具有式SiX4的卤化硅,每个X独立地是Cl、Br、I、H、或R,其中R是脂肪族基团。示例性卤化硅包括SiCl3H、SiH2Cl2、SiH3Cl、SiI2H2、SiHMeI2、或SiMe2I2。在另一个替代方案中,该卤化硅可以具有式Si2X6,其中每个X独立地是Cl、Br、I、或H。示例性卤化硅包括五氯二硅烷、六氯二硅烷、六碘二硅烷、单氯二硅烷、单溴二硅烷、单碘二硅烷、二氯二硅烷[H2ClSi-SiClH2]、二溴二硅烷[H2BrSi-SiBrH2]、二碘二硅烷[H2ISi-SiIH2]、二氯二硅烷[H3Si-SiHCl2]、二溴二硅烷[H3Si-SiHBr2]、或二碘二硅烷[H3Si-SiHI2]。在另一个替代方案中,该卤化硅可以具有式X3Si-CH2-SiX3,其中每个X独立地是Cl、Br、I、或H,诸如双(三氯甲硅烷基)甲烷[Cl3Si-CH2-SiCl3]或双(二氯甲硅烷基)甲烷[(SiClH2)2CH2]。在另一个替代方案中,该卤化硅可以具有式X3Si-CH2-CH2-SiX3,其中每个X独立地是Cl、Br、I、或H,诸如Cl3Si-CH2-CH2-SiCl3。在另一个替代方案中,该卤化硅可以具有式X3Si-CH2-SiX2-CH2-SiX3,其中每个X独立地是Cl或H,前提是至少一个末端X是Cl。示例性卤化硅包括Cl3Si-CH2-SiCl2-CH2-SiCl3或H3Si-CH2-SiH2-CH2-SiClH2。在又另一个替代方案中,该卤化硅可以具有环状式(-SiX2-CH2-)3,其中每个X独立地是Cl、Br、或I,诸如(-SiCl2-CH2-)3。在又另一个替代方案中,该卤化硅可以具有环状式(-SiHX-CH2-)3,其中每个X独立地是Cl、Br、或I,诸如(-SiHCl-CH2-)3。该卤化硅还可以是八氯三硅烷(OCTS或Si3Cl8)、十氯四硅烷(Si4Cl10)或十二氯五硅烷(DCPS或Si5Cl12)。这些卤化硅是可商购的或可以通过本领域中已知的方法合成。
室内的气相沉积条件允许所披露的前体和反应物反应并在基板上形成含硅膜。在一些实施例中,申请人认为等离子体处理反应物可以向反应物提供与所披露的前体反应所需的能量。
根据希望沉积哪种类型的膜,可以将另外的前体化合物引入到反应器中。该前体可用于向含硅膜提供附加的元素。这些附加的元素可包括镧系元素(镱、铒、镝、钆、镨、铈、镧、钇)、锗、硅、钛、锰、钌、铋、铅、镁、铝、或这些元素的混合物。当使用另外的前体化合物时,所得的沉积在基板上的膜含有与至少一种另外的元素组合的硅。
可以将形成含Si膜的组合物和反应物同时(化学气相沉积)、顺序地(原子层沉积)或其不同组合引入到反应器中。可以在引入组合物与引入反应物之间用惰性气体吹扫反应器。可替代地,反应物和组合物可以混合在一起以形成反应物/组合物混合物,并且然后以混合物形式引入到反应器中。另一个实例是连续引入反应物并通过脉冲(脉冲化学气相沉积)引入形成含Si膜的组合物。
汽化的形成含Si膜的组合物和反应物可以顺序地或同时地(例如脉冲CVD)脉冲进入反应器。组合物的每次脉冲可持续范围从约0.01秒至约10秒、可替代地从约0.3秒至约3秒、可替代地从约0.5秒至约2秒的一段时间。在另一个实施例中,反应物也可以脉冲进入反应器。在此类实施例中,每种气体的脉冲可持续范围从约0.01秒至约10秒、可替代地从约0.3秒至约3秒、可替代地从约0.5秒至约2秒的一段时间。在另一个替代方案中,可以同时地从喷淋头喷射该汽化的组合物和一种或多种反应物,保持若干晶片的基座在该喷淋头下旋转(空间ALD)。
根据具体的工艺参数,沉积可能进行不同的时间长度。通常,可使沉积继续所希望或所必需长度的时间以产生具有必需特性的膜。根据具体的沉积工艺,典型的膜厚度可以从几埃到几百微米变化。沉积工艺也可以进行获得所希望的膜所必需的很多次。
在一个非限制性的示例性CVD型工艺中,将蒸气相的所披露的形成含Si膜的组合物和反应物同时引入到反应器中。两者反应以形成所得的含硅膜。当在此示例性CVD工艺中的反应物用等离子体处理时,示例性CVD工艺变成示例性的PECVD工艺。反应物可以在引入到室中之前或之后用等离子体处理。
在一个非限制性的示例性ALD型工艺中,将蒸气相的所披露的形成含Si膜的组合物引入到反应器中,在该反应器中使其与适合的基板接触。然后可通过吹扫和/或排空该反应器从该反应器中去除过量的组合物。将所希望的气体(例如,H2)引入到反应器中,在该反应器中使其以自限制的方式与化学吸附或物理吸附的前体反应。通过吹扫和/或排空该反应器从该反应器中去除任何过量的还原气体。如果所希望的膜是Si膜,则此两步工艺可提供所希望的膜厚度或可被重复直至获得具有必需厚度的膜。
可替代地,如果所希望的膜含有硅和第二元素,则可以在以上两步工艺之后将另外的前体化合物的蒸气引入到反应器中。将基于沉积的含硅膜的性质来选择另外的前体化合物。在引入到反应器中之后,使该另外的前体化合物与基板接触。通过吹扫和/或排空该反应器从该反应器中去除任何过量的前体化合物。再次,可以将所希望的气体引入到反应器中以与物理吸附或化学吸附的前体化合物反应。通过吹扫和/或排空该反应器从该反应器中去除过量气体。如果已经实现了所希望的膜厚度,则可终止该工艺。然而,如果较厚的膜是所希望的,则可重复整个四步骤工艺。通过交替提供形成含Si膜的组合物、另外的前体化合物和反应物,可以沉积具有所希望的组成和厚度的膜。
当在此示例性ALD工艺中的反应物用等离子体处理时,示例性ALD工艺变成示例性的PEALD工艺。反应物可以在引入到室中之前或之后用等离子体处理。
由以上讨论的工艺产生的含硅膜可以包括硅、氧化硅、氮化硅、碳化硅和掺杂碳的氮化硅膜。本领域普通技术人员将认识到,通过适当的形成含Si膜的组合物、任选的前体化合物以及反应物物种的明断选择,可以获得所希望的膜组成。
一经获得所希望的膜厚度,可以使该膜经受另外的加工,如热退火、炉退火、快速热退火、UV或电子束固化、和/或等离子体气体暴露。本领域技术人员认识到用于进行这些附加的加工步骤的系统和方法。例如,含硅膜可在惰性气氛、含H气氛、含N气氛、含O气氛或其组合下,在范围从大约200℃至大约1000℃的温度下暴露范围从大约0.1秒至大约7200秒的时间。最优选地,在含H气氛或含O气氛下,温度为400℃持续3600秒。可替代地,退火可以在含Si气氛下进行,前提是该工艺在低于含硅化合物的分解温度的温度下进行。可用于形成含硅气氛的示例性含硅分子包括SiH4、Si2H6、MeSiH3、Me2SiH2、氨基硅烷、异氰酸基硅烷(R-Si-NCO),诸如四(异氰酸基)硅烷(TICS)。所得到的膜可含有较少的杂质,并且因此可具有改善的密度,导致改善的泄漏电流。退火步骤可以在进行该沉积工艺的同一反应室中进行。可替代地,可以从该反应室中移除该基板,其中在单独的设备中进行退火/快速退火工艺。已经发现任何以上后处理方法、但尤其是热退火有效地使膜致密化并且减小湿式蚀刻速率。这进而倾向于改善膜的电阻率。
实例
以下实例说明结合在此的披露内容进行的实验。这些实例不旨在包括所有情况并且并不旨在限制在此所描述的披露的范围。
实例1:五氯二硅烷[PCDS或Si2HCl5]的热解试验
图3为用于以下测试的沉积设备的示意图。该设备包括含有基板试样105的热壁管式反应器100。泵110从热壁管式反应器100中移除内含物。
经由管线201将所披露的形成含Si膜的组合物的蒸气自递送装置200引入至热壁管式反应器100中。经由管线206将惰性气体205,诸如N2递送至递送装置200。还可经由管线207将惰性气体205递送至反应器100。
经由管线301可以将氧化气体自递送装置300引入至热壁管式反应器100中。当氧化气体是臭氧时,管线301可以包括臭氧发生器303和臭氧监测器304。氧化气体还可以被递送至排气装置311。
经由管线401可以将含氮气体自递送装置400引入至热壁管式反应器100中。
本领域普通技术人员将认识到,管线201、206、207、301以及401可包括许多压力计、止回阀、阀门及压力调节器,且为了简化附图,并未将用于压力调节或旁通流的额外管线包括在内。
为了检查PCDS的热分解行为,在图3的沉积设备中在SiO2基板或试样上在4个不同温度(400℃、500℃、600℃和700℃)下进行热解测试持续30min。将热壁管式反应器保持在1托下,其中使用50sccm的N2作为载气,使4sccm的PCDS的连续流进入反应室中。热解模拟化学气相沉积而没有反应物,并说明了前体自分解所处的温度。
图4(a)、4(b)、4(c)和4(d)是所得Si膜的X射线光电子能谱(XPS)深度分布。如在图4(a)和4(b)中可以看出,因为没有形成硅层,所以没有发生PCDS的热分解,测试揭示了原始的SiO2基板。图4(c)揭示了在600℃下PCDS的部分分解,导致富含Si的SiO2层。如在图4(d)中可以看出,在600℃-700℃下,由单独PCDS的分解在SiO2基板上形成了具有很少至不具有O、Cl或C内含物的几乎100%的Si层。该膜是非晶膜或多晶膜。本领域的普通技术人员将认识到,基板将确定沉积了哪种形式的硅。
对比实例1
在图3的沉积设备中在SiO2基板上在4个不同温度(400℃、500℃、600℃和700℃)下进行六氯二硅烷(HCDS或Si2Cl6)的对比热解测试持续30min。将热壁管式反应器保持在1托下,其中使用50sccm的N2作为载气,使4sccm的HCDS的连续流进入反应室中。
图5(a)、5(b)、5(c)和5(d)示出了所得含Si膜的X射线光电子能谱(XPS)深度分布。如可以看出,在任何温度下通过HCDS分解都没有形成纯Si层。
实例2使用PCDS或HCDS和O3/O2的SiO2ALD
使用PCDS与O3(作为氧化剂)在500℃-700℃的温度范围下在Si基板上进行SiO2ALD。将图3的反应炉控制在1托下并且使50sccm的N2连续流动。ALD工艺包括以下步骤:1)向反应室中供应4sccm的PCDS的脉冲持续10秒,2)通过50sccm的N2吹扫过量的前体持续90秒,3)向室中供应约7.2%的O3/O2(O2:100sccm)持续10秒,4)通过50sccm的N2吹扫过量的O3/O2持续30秒。重复从1)至4)的顺序持续200个循环,直到沉积层实现了用于膜表征的合适厚度(即超过用HCDS代替PCDS重复该方法,使用与PCDS相同的ALD工艺条件。图6中示出了来自使用PCDS(圆形)的4个单独的试样基板位置以及使用HCDS(三角形)的4个单独的试样位置的平均沉积速率。
PCDS的沉积速率从500℃到600℃增加,并且在600℃与650℃之间展现出平台,然后再次增加一直到700℃。从ALD工艺中PCDS的沉积速率的这种温度依赖性,在600℃与650℃之间可以观察到ALD窗口。然而,在同一图中,HCDS的沉积速率在500℃至700℃的温度范围内连续增加。其结果是,对于HCDS没有观察到相当大的ALD窗口。申请人相信,从PCDS获得的SiO2ALD窗口(即,600℃-650℃)使用基于氯硅烷的Si前体像单氯硅烷或六氯二硅烷提供了最高温度ALD窗口。本领域普通技术人员将认识到,可以操纵温度和压力以改变这个ALD沉积窗口。
实例3PCDS和O3的SiO2ALD饱和行为
基于来自实例2的结果,在两个温度550℃和600℃下检查了SiO2ALD生长行为的PCDS脉冲时间依赖性,并且结果提供在图7中。除了PCDS的脉冲时间在550℃(菱形)和600℃(正方形)两者处变化之外,将用于实例2中的温度依赖性试验的相同工艺条件应用于此评价。在两者温度下,观察到稳定的平均沉积速率,其中PCDS的脉冲时间在10-15秒之间(来自试样上的3-5个位置):在600℃下/循环以及在550℃下/>/循环。一致的沉积速率被认为是ALD饱和的特征。
实例4通过XPS的SiO2ALD膜组成
对于实例2中的在550℃、600℃、650℃和700℃下从PCDS在Si基板上沉积的SiO2ALD膜进行XPS分析以检查膜组成。图8a-d示出了5种元素(Si、Cl、C、N和O)的XPS深度分布的结果。在膜中未检测到Cl、C和N。对于在650℃和700℃下沉积的膜比对于在550℃和600℃下沉积的膜,设定的XPS溅射能更高。其结果是,在650℃和700℃下沉积的膜的蚀刻时间的分析结果比在550℃和600℃下沉积的膜的相等蚀刻时间更深地穿透到膜中。实例5使用PCDS的SiO2ALD膜的阶梯覆盖
在600℃下在具有孔洞(具有纵横比(AR)=40具有8微米深度)的图案晶片上检查通过ALD沉积的SiO2膜的阶梯覆盖。将图3的反应炉控制在1托下并且使50sccm的N2连续流动。ALD工艺包括以下步骤:1)向反应室中供应4sccm的PCDS的脉冲持续10秒,2)通过50sccm的N2吹扫过量的前体持续90秒,3)向室中供应约7.2%的O3/O2(O2:100sccm)持续10秒,4)通过50sccm的N2吹扫过量的O3/O2持续10秒。重复从1)至4)的顺序持续350个循环。图9和9(a)-(c)是扫描电子显微镜照片,示出了在整个孔洞(图9)和图9中的三个不同位置的所得阶梯覆盖率:(图9(a))顶部阶梯覆盖率=93%,(图9(b))中间(从顶部大约2微米深度)阶梯覆盖率=77%和(图9(c))底部阶梯覆盖率=73%。
实例6催化低温SiO2ALD
使用ALD与PCDS、作为氧化剂的H2O和作为催化剂的三乙胺(TEA)来沉积SiO2膜。将图3的反应炉控制在5托下、50℃-100℃的温度范围下并且使200sccm的Ar连续流动。沉积工艺包括以下步骤:1)将1sccm的PCDS和50sccm的TEA的脉冲引入到反应炉中持续10秒,2)通过1slm的Ar吹扫来自反应炉的过量的PCDS和TEA持续10秒,3)将15sccm的H2O和50sccm的TEA和1slm的Ar引入到炉中持续20秒,4)通过1slm的Ar吹扫过量的H2O和TEA持续20秒。重复从1)至4)的顺序持续300个循环。
图10是表明了沉积速率(空心菱形)和折射率(实心正方形)的工艺温度依赖性的图。在70℃下获得了约/循环的沉积速率和约1.39的折射率,指示了可适合于牺牲使用的低密度膜。图11是表明了在70℃下沉积的SiO2膜的XPS深度分布的图,其表明了所得膜不含有杂质。
实例7SiN的低温CVD
使用PCDS(圆形)或HCDS(正方形)作为Si源和氨(NH3)作为氮化源经由CVD沉积SiN膜。将图3的反应炉控制在1托、从450℃至600℃变化的温度下并且使100sccm的Ar连续流动。将1sccm的Si源和25sccm的NH3的混合气体流供应给反应炉持续60-120分钟,直至沉积层实现了合适厚度。图12是说明了用PCDS+NH3获得的沉积速率(空心)和折射率(实心)比对用HCDS+NH3获得的沉积速率和折射率的图。
实例8SiN的中等温度ALD
使用PCDS(圆形)、HCDS(正方形)或OCTS(八氯三硅烷)(三角形)作为Si源和氨(NH3)作为氮化源经由ALD沉积SiN膜。将图3的反应炉中的压力控制在5托下,温度从350℃至600℃变化,并且使100sccm的Ar连续流动。沉积工艺包括以下步骤:1)将1sccm的Si源的脉冲引入到反应炉中持续10秒,2)通过1slm的Ar吹扫来自反应炉的过量的Si源持续10秒,3)将50sccm的NH3引入到反应炉中,并且4)通过1slm的Ar吹扫来自反应炉的过量的NH3持续20秒。重复从1)至4)的顺序持续200-400个循环,直到沉积层实现了超过的合适厚度。
图13是表明了来自该工艺的PCDS(圆形)、HCDS(正方形)和OCTS(三角形)沉积速率(空心)和折射率(实心)的温度依赖性的图。
与HCDS或OCTS相比,PCDS展现出更高的沉积速率和折射率,除了HCDS的折射率值在400℃和550℃下更高之外。PCDS和HCDS的沉积速率在550℃与600℃之间展现出平台,指示自限制ALD行为,但是从OCTS没有观察到平台。
图14是表明了在600℃下用PCDS和NH3沉积的SiN膜的XPS深度分布的图,包括54%的Si,45%的N和痕量的O、C和Cl杂质。
如图13中示出的,将具有对于在半导体器件的制造中使用可能可接受的折射率的氮化硅膜使用PCDS在范围从450℃至600℃的基板温度下沉积以及使用HCDS在范围从500℃至600℃的基板温度下沉积。由OCTS沉积的氮化硅膜的折射率仅在600℃下变得在商业上可行。此外,在该温度范围内来自PCDS的氮化硅膜的沉积速率比来自HCDS的显著更高(即,40%-100%更高)。因此,使用PCDS允许在较低的温度下并且以出人意料地高的沉积速率形成高品质氮化硅膜。与HCDS相比,对于PCDS产生接近纯氮化硅的折射率的折射率的温度范围延伸对于生产半导体器件也是出人意料的且有益的。所希望的氮化硅膜可以在比使用工业标准材料HCDS可能的低50℃的基板温度下获得。较低的温度允许在加工中较低的热预算,这允许对于随后加工步骤更多的灵活性和选项。
实例9SiC的低温ALD
使用PCDS或HCDS作为Si源和三甲基铝(TMA)作为碳源经由ALD沉积SiC膜。将图3的反应炉中的压力控制在8托下,温度从350℃至400℃变化,并且使10sccm的N2连续流动。沉积工艺包括以下步骤:1)将0.8sccm的Si源的脉冲引入到反应炉中持续15秒,2)通过25sccm的N2吹扫来自反应炉的过量的Si源持续30秒,3)将1.8sccm的TMA引入到反应炉中,并且4)通过25sccm的N2吹扫来自反应炉的过量的TMA持续60秒。重复从1)至4)的顺序290个循环,直到沉积层实现了超过的合适层厚度。图15示出了使用PCDS在400℃下沉积的SiC膜的XPS深度分布,包括O、Al、Cl杂质。图16示出了基于测量位置的膜厚度(空心)和折射率(实心)。该图说明了比用HCDS(三角形)+TMA获得的折射率更高的用PCDS(正方形)+TMA获得的折射率。具有较高折射率的膜(即,来自PCDS+TMA)可比具有较低折射率的膜(即,来自HCDS+TMA)更致密,这可增强湿式蚀刻抗性。
实例10Si(C)N的低-T PEALD
图17为用于实例10的测试的沉积设备的示意图。该设备包括含有晶片载物台101的反应器100。通过装载锁定室102将晶片转移至晶片载物台101,该装载锁定室连接至泵108。淋喷头103位于反应器100的顶部中,且可通过RF发生器106产生等离子体。干燥泵105移除反应器100的内含物。
经由管线201将所披露的含Si前体自递送装置200引入至反应器100中。经由管线301将惰性气体300,诸如Ar递送至递送装置200。还可经由管线302将惰性气体300递送至反应器100。
经由管线401将氮化气体400,诸如N2引入至反应器100中。还可经由管线303将惰性气体300递送至氮化气体400。
本领域普通技术人员将认识到,管线201、301、302、303以及401可包括许多压力计、止回阀、阀门及压力调节器,且为了简化附图,并未将用于压力调节或旁通流的额外管线包括在内。
使用图17的沉积设备使用五(二甲基氨基)二硅烷(Si2H(NMe2)5)作为Si源和含氮反应物经由等离子体增强ALD工艺在低温下沉积Si(C)N膜。该工艺在直接等离子体模式下在6”Si晶片上完成。将图17的反应炉中的压力控制在1托下,温度从200℃至400℃变化,并且使500sccm的Ar连续流动。沉积工艺包括以下步骤:1)将100sccm的N2的N2等离子体脉冲(100W)引入到反应炉中持续7秒,2)通过500sccm的N2吹扫反应炉持续3秒,3)将1sccm的五(二甲基氨基)二硅烷引入到反应炉中持续5秒,并且4)通过500sccm的N2吹扫来自反应炉的过量的Si前体持续5秒。重复从1)至4)的顺序500个循环,直到沉积层实现了超过的合适层厚度。
图18示出了在275℃下沉积的Si(C)N膜的XPS深度分布,其展现出SiN的形成,在膜中具有约11%的C和约2%的O。图19示出了在10秒(实心)和20秒(空心)吹扫时间下的沉积速率(正方形)和折射率(圆形)的Si暴露时间。该图在10秒吹扫时间的情况下说明了沉积速率对应于Si暴露时间而增加,但折射率对应于Si暴露时间在约1.8下保持稳定。然而,当Si吹扫时间从10秒增加到20秒时,对于20秒的Si暴露时间的情况,沉积速率从/循环减小到/>/循环,并且RI从1.78增加到1.81,这可表明对于较长脉冲工艺的低效吹扫时间。
应当理解,由本领域技术人员可在如所附权利要求中所表述的本发明的原则和范围内做出在此已经描述且阐明以解释本发明的本质的细节、材料、步骤和零件安排上的许多附加的改变。因此,本发明不旨在限于以上给出的实例和/或附图中的具体实施例。

Claims (15)

1.一种将氧化硅膜沉积在基板上的热ALD方法,该方法包括:
a)将含有该基板的反应器设定为范围从大约500℃至大约800℃的温度以及范围从大约0.1托(13Pa)至大约10托(1,333Pa)的压力;
b)将五氯二硅烷的蒸气引入到反应室中以在该基板上形成含硅层;
c)使含氧反应物与该含硅层反应以形成该氧化硅膜层,其具有范围从大约(0.04nm)至大约/>(0.15nm)的每个循环的生长量;并且
d)重复步骤b)和c),
其中术语“大约”意指所陈述的值的±10%。
2.如权利要求1所述的方法,其中该含氧反应物是臭氧和氧气的混合物。
3.如权利要求2所述的方法,其中该混合物包含至少5%v/v的臭氧。
4.如权利要求3所述的方法,其中氧化气体是7.2%v/v的O3/O2
5.如权利要求1至4中任一项所述的方法,其中该基板包括具有范围从大约10:1至大约100:1的纵横比的孔洞或沟槽,进一步包括获得该氧化硅膜在这些孔洞或沟槽上的大约80%至大约100%的阶梯覆盖率。
6.如权利要求1至4中任一项所述的方法,其中在不使用等离子体的情况下沉积该氧化硅膜。
7.如权利要求1至4中任一项所述的方法,其中压力范围从大约0.75托(100Pa)至大约1.25托(167Pa)。
8.如权利要求1至4中任一项所述的方法,其中该每个循环的生长量范围从大约(0.075nm)至大约/>(0.1nm)。
9.如权利要求1至4中任一项所述的方法,其中在步骤b)中,五氯二硅烷是引入到反应室中的唯一硅前体。
10.一种将氮化硅膜沉积在基板上的热ALD方法,该方法包括:
a)将含有该基板的反应器设定为范围从大约450℃至大约650℃的温度以及范围从大约0.1托(13Pa)至大约100托(13,332Pa)的压力;
b)将五氯二硅烷的蒸气引入到反应室中以在该基板上形成含硅层;
c)使含氮反应物与该含硅层反应以形成该氮化硅膜层,其具有范围从大约(0.03nm)至大约/>(0.2nm)的每个循环的生长量以及范围从大约1.8至2.1的折射率;并且
d)重复步骤b)和c),
其中术语“大约”意指所陈述的值的±10%。
11.如权利要求10所述的方法,其中该含氮反应物是NH3
12.如权利要求10或11所述的方法,其中在不使用等离子体的情况下沉积该氮化硅膜。
13.如权利要求10或11所述的方法,其中压力范围从大约4.75托(633Pa)至大约5.25托(700Pa)。
14.如权利要求10或11所述的方法,其中厚度范围从大约(0.1nm)至大约/>(0.2nm),并且折射率范围为大约2.0至2.1。
15.如权利要求10或11所述的方法,其中在步骤b)中,五氯二硅烷是引入到反应室中的唯一硅前体。
CN201680076386.1A 2015-12-28 2016-12-16 使用五取代的二硅烷气相沉积含硅膜 Active CN108475636B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/979,816 US9633838B2 (en) 2015-12-28 2015-12-28 Vapor deposition of silicon-containing films using penta-substituted disilanes
US14/979,816 2015-12-28
PCT/IB2016/001962 WO2017115147A2 (en) 2015-12-28 2016-12-16 Vapor disposition of silicon-containing films using penta-substituted disilanes

Publications (2)

Publication Number Publication Date
CN108475636A CN108475636A (zh) 2018-08-31
CN108475636B true CN108475636B (zh) 2023-08-15

Family

ID=55749599

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680076386.1A Active CN108475636B (zh) 2015-12-28 2016-12-16 使用五取代的二硅烷气相沉积含硅膜

Country Status (7)

Country Link
US (3) US9633838B2 (zh)
EP (1) EP3400607A4 (zh)
JP (1) JP2019501528A (zh)
KR (1) KR20180099716A (zh)
CN (1) CN108475636B (zh)
SG (1) SG11201805070TA (zh)
WO (1) WO2017115147A2 (zh)

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10480070B2 (en) 2016-05-12 2019-11-19 Versum Materials Us, Llc Delivery container with flow distributor
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
JP6794533B2 (ja) * 2016-09-28 2020-12-02 ダウ シリコーンズ コーポレーション クロロジシラザン
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
WO2018187546A1 (en) * 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10242885B2 (en) * 2017-05-26 2019-03-26 Applied Materials, Inc. Selective dry etching of metal films comprising multiple metal oxides
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
TWI791547B (zh) * 2017-07-31 2023-02-11 中國大陸商南大光電半導體材料有限公司 製備五氯二矽烷之方法及包含五氯二矽烷之經純化的反應產物
TWI784022B (zh) 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
JP6840051B2 (ja) * 2017-08-02 2021-03-10 東京エレクトロン株式会社 タングステン膜上へシリコン酸化膜を形成する方法および装置
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) * 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
DE102018110837A1 (de) 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Prozesse zur Bildung von Merkmalen mit einem niedrigen K-Wert und dadurch gebildete Aufbauten
US10304677B2 (en) * 2017-09-29 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k feature formation processes and structures formed thereby
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10510852B2 (en) * 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
EP3514128A1 (en) * 2018-01-18 2019-07-24 Heraeus GMSI LLC Process for manufacturing a silicon carbide coated body
EP3514129A1 (en) * 2018-01-18 2019-07-24 Heraeus GMSI LLC Process for manufacturing a silicon carbide coated body
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
WO2019147400A1 (en) 2018-01-24 2019-08-01 Applied Materials, Inc. Seam healing using high pressure anneal
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11043373B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect system with improved low-k dielectrics
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11072858B2 (en) * 2018-09-05 2021-07-27 Nova Engineering Films, Inc. Pulsing mixture of precursor and supercritical fluid to treat substrate surface
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2020072874A1 (en) * 2018-10-05 2020-04-09 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11631680B2 (en) 2018-10-18 2023-04-18 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
US10700072B2 (en) * 2018-10-18 2020-06-30 Applied Materials, Inc. Cap layer for bit line resistance reduction
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US11211243B2 (en) * 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
CN111211088B (zh) * 2018-11-21 2023-04-25 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN113169070A (zh) * 2018-12-21 2021-07-23 乔治洛德方法研究和开发液化空气有限公司 在550℃或更高的温度下使用ALD沉积含Si膜的前体和工艺
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11186909B2 (en) 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US11296209B2 (en) * 2019-08-27 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. RF switch device with a sidewall spacer having a low dielectric constant
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN110854075B (zh) * 2019-11-13 2022-10-18 上海华力集成电路制造有限公司 Cmos器件制造方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP7189375B2 (ja) 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111816556B (zh) * 2020-06-03 2024-01-23 中国科学院微电子研究所 晶体管及制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
WO2007140375A2 (en) * 2006-05-31 2007-12-06 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
JP2010010497A (ja) * 2008-06-29 2010-01-14 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
CN101962756A (zh) * 2009-07-22 2011-02-02 东京毅力科创株式会社 半导体处理用的成批化学气相沉积方法及装置
JP2013179321A (ja) * 2009-11-27 2013-09-09 Tokyo Electron Ltd 成膜方法および成膜装置
JP2014146828A (ja) * 2010-02-15 2014-08-14 Tokyo Electron Ltd 成膜方法、成膜装置、および成膜装置の使用方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62229930A (ja) * 1986-03-31 1987-10-08 Toshiba Corp エピタキシヤル成長法
JP2001352087A (ja) * 2000-06-07 2001-12-21 Tokuyama Corp シリコン膜及びその製造方法
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2003204063A (ja) * 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US6451641B1 (en) * 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US9370881B2 (en) * 2005-03-02 2016-06-21 The Trustees Of Boston College Structures and methods of replicating the same
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP5149273B2 (ja) 2006-04-03 2013-02-20 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 化学気相堆積による窒化珪素膜及び/又はシリコンオキシナイトライド膜の堆積方法
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US7858535B2 (en) * 2008-05-02 2010-12-28 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ALD) processes and methods of fabricating semiconductor structures
WO2011123792A2 (en) * 2010-04-01 2011-10-06 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US9040127B2 (en) 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
EP2642001B1 (en) * 2010-11-17 2020-10-21 Showa Denko K.K. Production process of epitaxial silicon carbide single crystal substrate
JP2013055240A (ja) * 2011-09-05 2013-03-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法、及び基板処理装置
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
JP2015525774A (ja) * 2012-07-20 2015-09-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
KR20140059107A (ko) * 2012-11-07 2014-05-15 주식회사 유피케미칼 실리콘 질화물 박막 제조 방법
US20140179985A1 (en) * 2012-12-21 2014-06-26 Marcus ANDERSSON Prosthesis adapter
JP6068130B2 (ja) * 2012-12-25 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6088886B2 (ja) 2013-03-29 2017-03-01 株式会社Jsol イベント準備促進アドバイスシステム及びその方法
TW201509799A (zh) * 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US9576790B2 (en) * 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
KR20180005221A (ko) * 2015-05-22 2018-01-15 다우 코닝 코포레이션 펜타클로로실란

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
WO2007140375A2 (en) * 2006-05-31 2007-12-06 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
JP2010010497A (ja) * 2008-06-29 2010-01-14 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
CN101962756A (zh) * 2009-07-22 2011-02-02 东京毅力科创株式会社 半导体处理用的成批化学气相沉积方法及装置
JP2013179321A (ja) * 2009-11-27 2013-09-09 Tokyo Electron Ltd 成膜方法および成膜装置
JP2014146828A (ja) * 2010-02-15 2014-08-14 Tokyo Electron Ltd 成膜方法、成膜装置、および成膜装置の使用方法

Also Published As

Publication number Publication date
US20160111272A1 (en) 2016-04-21
WO2017115147A2 (en) 2017-07-06
US20170186597A1 (en) 2017-06-29
US20190027357A1 (en) 2019-01-24
WO2017115147A3 (en) 2017-08-10
EP3400607A4 (en) 2019-07-31
KR20180099716A (ko) 2018-09-05
EP3400607A2 (en) 2018-11-14
US9633838B2 (en) 2017-04-25
CN108475636A (zh) 2018-08-31
SG11201805070TA (en) 2018-07-30
JP2019501528A (ja) 2019-01-17

Similar Documents

Publication Publication Date Title
CN108475636B (zh) 使用五取代的二硅烷气相沉积含硅膜
JP7320544B2 (ja) Si含有膜形成組成物およびその使用方法
CN110872703A (zh) 用于制备含硅和氮的膜的方法
EP3307744B1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
JP2019500497A5 (zh)
CN112805405A (zh) 用于制备含硅和氮的膜的方法
US20190309411A1 (en) Method and system for low temperature ald
EP3307745B1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films
CN117980534A (zh) 用于包含硅和硼的膜的组合物及其使用方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant