KR20180005221A - 펜타클로로실란 - Google Patents

펜타클로로실란 Download PDF

Info

Publication number
KR20180005221A
KR20180005221A KR1020177035370A KR20177035370A KR20180005221A KR 20180005221 A KR20180005221 A KR 20180005221A KR 1020177035370 A KR1020177035370 A KR 1020177035370A KR 20177035370 A KR20177035370 A KR 20177035370A KR 20180005221 A KR20180005221 A KR 20180005221A
Authority
KR
South Korea
Prior art keywords
silicon
film
nitrogen
precursor
substrate
Prior art date
Application number
KR1020177035370A
Other languages
English (en)
Inventor
시오빙 저우
Original Assignee
다우 코닝 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 다우 코닝 코포레이션 filed Critical 다우 코닝 코포레이션
Publication of KR20180005221A publication Critical patent/KR20180005221A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicates, Zeolites, And Molecular Sieves (AREA)
  • Ceramic Products (AREA)

Abstract

펜타클로로다이실란을 포함하는, 증착용 규소 전구체 화합물; 상기 규소 전구체 화합물 및 불활성 가스, 분자 수소, 탄소 전구체, 질소 전구체, 및 산소 전구체 중 하나 이상을 포함하는, 필름 형성용 조성물; 상기 규소 전구체 화합물을 이용하여 기판 상에 규소-함유 필름을 형성하는 방법, 및 이에 의해 형성된 상기 규소-함유 필름이 개시된다.

Description

펜타클로로실란
본 발명은 일반적으로 필름 형성용 전구체 화합물 및 조성물, 증착 장치를 통해 상기 전구체 화합물 또는 조성물을 이용하여 필름을 형성하기 위한 방법, 및 상기 방법에 의해 형성된 필름에 관한 것이다.
원소 규소, 및 산화규소, 탄화규소, 질화규소, 규소 카보나이트라이드 및 규소 옥시카보나이트라이드와 같은 다른 규소 재료는 알려진 다양한 용도를 갖는다. 예를 들어, 규소 필름은 전자 또는 광전지 디바이스를 위한 전자 회로의 제조에서 반도체, 절연 층 또는 희생 층으로서 사용될 수 있다.
규소 재료의 알려진 제조 방법은 하나 이상의 규소 전구체를 사용할 수 있다. 이들 규소 전구체의 용도는 전자 또는 광전지 반도체 응용을 위한 규소의 제조에 제한되지 않는다. 예를 들어, 규소 전구체는 규소계 윤활제, 탄성중합체 및 수지를 제조하는 데 사용될 수 있다.
본 발명자는, 전자 및 광전지 산업에서 개선된 규소 전구체에 대해 오랫동안 체감된 필요성을 인지하였다. 본 발명자는 개선된 전구체가 증착 온도의 저하 및/또는 더 우수한 성능의 전자 및 광전지 디바이스를 위한 보다 뛰어난 반도체 특징부의 제조를 가능하게 할 것이라고 생각한다.
본 발명자는 개선된 규소 전구체를 발견하였다. 본 발명은 하기 각각의 실시 형태를 제공한다:
펜타클로로다이실란을 포함하는, 증착용 전구체 화합물 (이하, "규소 전구체 화합물").
상기 규소 전구체 화합물 및 불활성 가스, 분자 수소, 탄소 전구체, 질소 전구체, 및 산소 전구체 중 하나 이상을 포함하는, 필름 형성용 조성물.
기판 상에 규소-함유 필름을 형성하는 방법으로서, 상기 방법은 펜타클로로다이실란으로 이루어진 규소 전구체의 증기를 상기 기판 존재 하에서 증착 조건에 적용시켜서 상기 기판 상에 규소-함유 필름을 형성하는 단계를 포함하며, 상기 규소-함유 필름은 규소 질소 필름 또는 규소 산소 필름이며, 상기 방법은 원자층 증착을 이용하는, 방법.
상기 방법에 따라 형성된 필름.
발명의 내용 및 요약서가 본 명세서에 참고로 포함된다. 상기에 요약된 본 발명의 실시 형태, 용도 및 이점은 하기에 추가로 설명된다.
본 발명의 양태는 다양한 통상의 규정을 사용하여 본 명세서에 기재되어 있다. 예를 들어, 달리 지시되지 않는 한, 모든 물질의 상태는 25℃ 및 101.3 ㎪에서 결정된다. 달리 표시되거나 지시되지 않는 한, 모든 %는 중량 기준이다. 달리 표시되지 않는 한, 모든 % 값은 합계 100%가 되는, 조성물을 합성하거나 제조하는 데 사용되는 모든 성분의 총량을 기준으로 한다. 부류(genus) 및 그 안의 하위부류(subgenus)를 포함하는 임의의 마쿠쉬(Markush) 군은 부류 내의 하위부류를 포함하며, 예를 들어 "R은 하이드로카빌 또는 알케닐이다"에서, R은 알케닐일 수 있고, 대안적으로 R은 하이드로카빌일 수 있는데, 이는 다른 하위부류 중에서도 알케닐을 포함한다. 미국 관행에 있어서, 모든 미국 특허 출원 공개 및 본 명세서에서 인용되는 특허 또는 부분만이 인용되는 경우 이의 부분은, 포함된 주제가 본 명세서와 상충하지 않는 정도까지 본 명세서에 참고로 포함되며, 임의의 이러한 상충에서 상기 명세서가 우선할 것이다.
본 발명의 양태는 다양한 특허 용어를 사용하여 본 명세서에 기재되어 있다. 예를 들어, "대안적으로"는 상이하고 구별되는 실시 형태를 나타낸다. "비교예"는 발명이 아닌 실험을 의미한다. "포함한다" 및 이의 변형 (포함하는, ~로 구성된)은 개방형이다. "~로 이루어지다" 및 이의 변형 (~로 이루어진)은 폐쇄형이다. "접촉"은 물리적으로 접촉시키는 것을 의미한다. "~일 수 있는"은 선택을 부여하며, 필수적인 것이 아니다. "임의로"는 부재하거나, 대안적으로는, 존재하는 것을 의미한다.
본 발명의 양태는 다양한 화학 용어를 사용하여 본 명세서에 기재되어 있다. 본 명세서에서 달리 정의되지 않는 한, 상기 용어의 의미는 IUPAC에 의해 공표된 그들의 정의와 일치한다. 편의상, 소정의 화학 용어가 정의된다.
용어 "증착"은 특정 위치 상에 응축된 물질을 생성하는 공정이다. 응축된 물질은 차원(dimension)으로 제한되거나 제한되지 않을 수 있다. 증착의 예는 필름-형성, 로드(rod)-형성 및 입자-형성 증착이다.
용어 "필름"은 1차원으로 제한된 재료를 의미한다. 제한된 차원은, 모든 다른 것이 동일한 경우, 상기 재료를 증착하여 필름을 형성하는 공정의 기간이 증가함에 따라, 증가하는 "두께" 및 차원으로서 특징될 수 있다.
달리 정의되지 않는 한, 용어 "할로겐"은 불소, 염소, 브롬 또는 요오드를 의미한다.
용어 "IUPAC"는 국제 순수 및 응용 화학 연맹(International Union of Pure and Applied Chemistry)을 말한다.
용어 "~이 결여되다"는 ~이 없거나 ~의 완전한 부재를 의미한다.
"원소 주기율표"는 IUPAC에 의해 2011년에 출판된 버전을 의미한다.
용어 "전구체"는 나타낸 원소의 원자를 함유하고, 증착 방법에 의해 형성된 필름 내에 있는 그 원소의 공급원으로서 유용한 물질 또는 분자를 의미한다.
용어 "분리하다"는 물리적으로 멀어지게하여, 그 결과 더 이상 직접 접촉하지 않는 것을 의미한다.
용어 "기판"은 다른 물질이 호스트될(hosted) 수 있는 하나 이상의 표면을 갖는 물리적 지지체를 의미한다.
본 발명은 규소 전구체 화합물 및 필름 형성용 조성물을 제공한다. 규소 전구체 화합물은 규소-함유 필름을 형성하기 위한 증착 공정에 특히 적합하지만, 규소 전구체 화합물은 그러한 응용에 제한되지는 않는다. 예를 들어, 규소 전구체 화합물은 다른 응용, 예를 들어 실록산 또는 실라잔 재료의 제조를 위한 반응물질로서 사용될 수 있다. 본 발명은 필름 형성 방법 및 상기 방법에 따라 형성된 필름을 추가로 제공한다.
규소 전구체 화합물은 일반 화학식이 HSiCl2SiCl3인, 펜타클로로다이실란이라는 화학명을 갖는다. 규소 전구체 화합물이 본 조성물 및 방법에 사용된 경우, 규소 전구체 화합물은 99 면적% (GC) 내지 99.9999999 면적% (GC)의 순도를 가질 수 있다.
규소 전구체 화합물은 임의의 방법으로 제공될 수 있다. 예를 들어, 규소 전구체 화합물은 본 방법에서의 용도를 위해 합성되거나, 다르게 수득될 수 있다. 일 실시 형태에서, 규소 전구체 화합물은 트라이클로로실란 (HSiCl3)의 열 분해 (600℃ 내지 800℃로 가열)에 의해 합성된다. 규소 전구체 화합물을 합성하기 위한 추가의 실시 형태는, 규소 존재 또는 부재 하에서 수소와 사염화규소의 환원성 커플링, 사염화규소와 트라이클로로실란 간의 탈염화수소성 커플링, 규소 또는 규화마그네슘 및 규화구리와 같은 규화금속의 염화수소화, 퍼클로로네오펜타실란과 같은 더욱 고급의 클로로실란의 염화수소화, LiH, LiAlH4, NaH, NaAlH4, LiAl(OBu-t)3H, LiAl(Bu-i)2(OBu-t)H, 다이아이소부틸알루미늄 하이드라이드, 비트라이드(Vitride), NaBH4, Mg(BH4)2, (CH3)4N(BH4), KB(C2H5)3H, N-셀렉트라이드(N-Selectride), L-셀렉트라이드, K-셀렉트라이드 및 KS-셀렉트라이드와 같은 금속 하이드라이드와 헥사클로로다이실란의 일부 환원, 및 다이실란의 염소화를 포함한다. 규소 전구체 화합물은 증발 또는 스트리핑(stripping)을 통해 분리될 수 있다.
상기 언급된 바와 같이, 필름 형성용 조성물은 규소 전구체 화합물 및 불활성 가스, 분자 수소, 탄소 전구체, 질소 전구체, 및 산소 전구체, 대안적으로 불활성 가스, 질소 전구체, 및 산소 전구체 중 하나 이상을 포함한다. 분자 수소는, 무정형 다결정성 규소 및 단결정성 필름을 포함하는, 원소성 규소 필름을 형성하기 위하여 조성물 내 규소 전구체 화합물과 함께 사용될 수 있다. 증기 또는 가스 상태의 분자 수소, 탄소 전구체, 질소 전구체 또는 산소 전구체는 본 명세서에서 추가적인 반응물질 가스로서 일반적으로 지칭될 수 있다.
탄소 전구체는 본 방법의 일 실시 형태에 따른 규소 탄소 필름을 형성하기 위하여 조성물 내 규소 전구체 화합물과 함께 사용될 수 있다. 규소 탄소 필름은 Si 및 C 원자를 함유하고, 탄화규소를 포함할 수 있다. 탄소 전구체는 C, H, 및 임의의 Si 원자를 포함하거나, 대안적으로 본질적으로 이루어지거나, 대안적으로 이루어질 수 있다. C, H, 및 임의의 Si 원자를 포함하는 탄소 전구체는, 상기 탄소 전구체가 각각 규소 카보나이트라이드 필름 또는 산탄화규소 (silicon oxycarbide) 필름을 형성하기 위한 방법에서 사용되는 경우, N 또는 O 원자를 추가로 포함할 수 있거나, 상기 탄소 전구체가 규소 옥시카보나이트라이드 필름을 형성하기 위한 방법에 사용되는 경우, N 및 O 원자를 추가로 포함할 수 있다. C, H, 및 임의의 Si 원자로 본질적으로 이루어진 탄소 전구체는 N 및 O 원자가 결여되지만, 임의로 하나 이상의 할로겐 원자 (예를 들어, Cl)를 가질 수 있다. C 및 H 원자로 이루어진 탄소 전구체의 예로는 알칸과 같은 탄화수소가 있다. C, H 및 Si 원자로 이루어진 탄소 전구체의 예로는, 부틸다이실란 또는 테트라메틸실란과 같은 하이드로카빌실란이 있다.
질소 전구체는 본 방법의 일 실시 형태에 따른 규소 질소 필름을 형성하기 위하여 조성물 내 규소 전구체 화합물과 함께 사용될 수 있다. 규소 질소 필름은 Si 및 N 원자 및 임의의 C 및/또는 O 원자를 함유하며, 질화규소, 규소 옥시나이트라이드, 또는 규소 옥시카보나이트라이드를 포함할 수 있다. 질화규소는 SixNy일 수 있으며, 식에서 첨자 x는 1, 2 또는 3이고, 첨자 y는 1 내지 5의 정수이다. 질소 전구체는 N 원자 및 임의의 H 원자를 포함할 수 있으며, 대안적으로 상기 질소 전구체는 N 원자 및 임의의 H 원자로 본질적으로 이루어질 수 있고, 대안적으로 질소 전구체는 N 및 임의의 H 원자로 이루어질 수 있다. N 및 임의의 H 원자를 포함하는 질소 전구체는, 상기 질소 전구체가 각각 규소 카보나이트라이드 필름 또는 규소 옥시나이트라이드 필름을 형성하기 위한 방법에서 사용되는 경우, C 또는 O 원자를 추가로 포함할 수 있거나, 상기 질소 전구체가 규소 옥시카보나이트라이드 필름을 형성하기 위한 방법에 사용되는 경우, C 및 O 원자를 추가로 포함할 수 있다. N 원자 및 임의로 H 원자로 본질적으로 이루어진 질소 전구체는 C 및 O 원자가 결여되지만, 임의로 하나 이상의 할로겐 원자 (예를 들어, Cl)를 가질 수 있다. N 원자로 이루어진 질소 전구체의 예로는 분자 질소가 있다. N 및 H 원자로 이루어진 질소 전구체의 예로는 암모니아 및 하이드라진이 있다. O 및 N 원자로 이루어진 질소 전구체의 예로는 산화질소 (N2O) 및 이산화질소 (NO2)가 있다.
산소 전구체는 본 방법의 일 실시 형태에 따른 규소 산소 필름을 형성하기 위하여 조성물 내 규소 전구체 화합물과 함께 사용될 수 있다. 규소 산소 필름은 Si 및 O 원자 및 임의의 C 및/또는 N 원자를 함유하며, 산화규소, 산탄화규소, 규소 옥시나이트라이드, 또는 규소 옥시카보나이트라이드를 포함할 수 있다. 산화규소는 SiO 또는 SiO2일 수 있다. 산소 전구체는 O 원자 및 임의의 H 원자를 포함할 수 있으며, 대안적으로 O 원자 및 임의의 H 원자로 본질적으로 이루어질 수 있고, 대안적으로 O 원자 및 임의의 H 원자로 이루어질 수 있다. O 원자 및 임의의 H 원자를 포함하는 산소 전구체는, 상기 산소 전구체가 각각 산탄화규소 또는 규소 옥시나이트라이드 필름을 형성하기 위한 방법에서 사용되는 경우, C 또는 N 원자를 추가로 포함할 수 있거나, 상기 산소 전구체가 규소 옥시카보나이트라이드 필름을 형성하기 위한 방법에 사용되는 경우, C 및 N 원자를 추가로 포함할 수 있다. O 원자로 이루어지는 산소 전구체의 예로는 분자 산소 및 오존이 있다. 오존은 공기 내에 5% 부피/부피 이하로 또는 분자 산소 내에 14% 부피/부피 이하로 전달될 수 있다. O 및 H 원자로 이루어진 산소 전구체의 예로는 물 및 과산화수소가 있다. O 및 N 원자로 이루어진 산소 전구체의 예로는 산화질소 및 이산화질소가 있다.
불활성 가스는 상기 전구체 중 임의의 하나 및 조성물 또는 방법 중 임의의 실시 형태와 조합되어 사용될 수 있다. 불활성 가스의 예로는 헬륨, 아르곤, 및 이의 혼합물이 있다. 예를 들어, 형성된 규소 함유 필름이 원소성 규소 필름인 방법의 일 실시 형태에서, 헬륨이 규소 전구체 화합물 및 분자 수소와 조합되어 사용될 수 있다. 대안적으로, 형성된 규소 함유 필름이 각각 규소 탄소 필름, 규소 질소 필름, 또는 규소 산소 필름인 방법의 일 실시 형태에서, 헬륨은 규소 전구체 화합물 및 탄소 전구체, 질소 전구체 및 산소 전구체 중 임의의 하나와 함께 사용될 수 있다.
상기 방법에 의해 형성된 필름은 Si를 함유하는 재료이고, 재료의 두께로서 지칭될 수 있는, 1차원으로 제한된다. 규소 함유 필름은 원소성 규소 필름, 규소 탄소 필름, 규소 질소 필름, 또는 규소 산소 필름일 수 있다. (예를 들어, 질화규소, 규소 카보나이트라이드, 규소 옥시나이트라이드, 또는 규소 옥시카보나이트라이드 필름, 대안적으로 규소 질소 필름 또는 규소 산소 필름 (예를 들어, 질화규소, 산화규소)). 상기 방법에 의해 형성된 원소성 규소 필름은 C, N 및 O 원자가 결여되고, 무정형 또는 결정성 Si 재료일 수 있다. 상기 방법에 의해 형성된 규소 탄소 필름은 Si 및 C 원자 및 임의로 N 및/또는 O 원자를 함유한다. 상기 방법에 의해 형성된 규소 질소 필름은 Si 및 N 원자 및 임의로 C 및/또는 O 원자를 함유한다. 상기 방법에 의해 형성된 규소 산소 필름은 Si 및 O 원자 및 임의로 C 및/또는 N 원자를 함유한다.
상기 필름은 전자 및 광전지 응용분야에서 유용할 수 있다. 예를 들어, 질화규소 필름은 축전기 내 폴리실리콘(polysilicon) 층 사이에서 절연체 층, 패시베이션(passivation) 층, 또는 유전체 층으로서 형성될 수 있다.
필름 형성 방법은 원자층 증착 장치를 이용한다. 상기 방법에서 사용되는 증착 장치는 일반적으로, 바람직한 필름 형성 방법을 기준으로 선택되고, 당업자에게 알려진 임의의 증착 장치일 수 있다.
특정 실시 형태에서, 증착 장치는 물리적 증착 장치를 포함한다. 이들 실시 형태에서, 증착 장치는 스퍼터링(sputtering) 장치, 원자층 증착 장치 (플라즈마 강화 및 열 원자층 증착 장치 포함), 및 직류 (DC) 마그네트론 스퍼터링 장치로부터 전형적으로 선택되고, 대안적으로 증착 장치는 원자층 증착 장치이다. 이들 물리적 증착 장치 각각의 최적 작동 파라미터는 상기 방법에 사용된 규소 전구체 화합물 및 증착 장치를 통해 형성된 필름이 사용되는 바람직한 응용분야를 기준으로 한다. 특정 실시 형태에서, 증착 장치는 스퍼터링 장치를 포함한다. 스퍼터링 장치는 예를 들어, 이온-빔 스퍼터링 장치, 반응성 스퍼터링 장치, 또는 이온-보조 스퍼터링 장치일 수 있다.
그러나, 바람직하게는, 증착 장치는 원자층 증착 장치를 포함한다. 원자층 증착 장치를 이용하는 실시 형태에서, 필름을 형성하는 방법은 원자층 증착 방법으로서 지칭될 수 있으며, 플라즈마 강화 원자층 증착 (PEALD), 공간 원자층 증착 (SALD) 및 열 원자층 증착 (TALD)을 포함한다. 원자층 증착 방법은 일반적으로 당 업계에 잘 공지되어 있다.
화학 증착 장치를 이용하는 방법의 실시 형태에서, 화학 증착 장치는 예를 들어, 유동성 화학 증착 장치, 열 화학 증착 장치, 플라즈마 강화 화학 증착 장치, 광화학 증착 장치, 전자 사이클로트론 공명 장치, 유도 결합 플라즈마 장치, 자기 구속 플라즈마 장치, 저압 화학 증착 장치 및 제트 증착 장치로부터 선택될 수 있다. 이들 화학 증착 장치 각각의 최적 작동 파라미터는 상기 방법에 사용된 규소 전구체 화합물 및 증착 장치를 통해 형성된 필름이 사용되는 바람직한 응용분야를 기준으로 한다. 특정 실시 형태에서, 증착 장치는 플라즈마 강화 화학 증착 장치를 포함한다. 다른 실시 형태에서, 증착 장치는 저압 화학 증착 장치를 포함한다.
화학 증착에서, 필름을 형성하기 위한 가스는 증착 챔버 내에서 전형적으로 혼합되고 반응된다. 반응은 증기 상태의 적절한 필름 원소 또는 분자를 형성한다. 원소 또는 분자는 이후 기판 (또는 웨이퍼) 상에 증착 및 구축되어 필름을 형성한다. 화학 증착은 일반적으로 시스템에, 증착 챔버 및 기판의 가열과 같은 에너지의 첨가를 필요로 한다.
가스 화학종의 반응은 당 기술 분야에 일반적으로 잘 공지되어 있으며, 임의의 통상의 화학 증착 (CVD) 기술은 본 방법을 통하여 실시될 수 있다. 예를 들어, 단순 열 증착, 플라즈마 강화 화학 증착 (PECVD), 전자 사이클로트론 공명 (ECRCVD), 대기압 화학 증착 (APCVD), 저압 화학 증착 (LPCVD), 초고진공 화학 증착 (UHVCVD), 에어로졸-보조 화학 증착 (AACVD), 직접 액체 주입 화학 증착 (DLICVD), 마이크로웨이브 플라즈마-보조 화학 증착 (MPCVD), 원격 플라즈마-강화 화학 증착 (RPECVD), 원자층 화학 증착 (ALCVD), 열선 화학 증착 (HWCVD), 혼성 물리-화학 증착 (HPCVD), 급속 열 화학 증착 (RTCVD), 및 증기-상 에피택시(epitaxy) 화학 증착 (VPECVD), 광-보조 화학 증착 (PACVD), 화염 보조 화학 증착 (FACVD)과 같은 방법 또는 임의의 유사한 기술이 사용될 수 있다.
플라즈마 강화 원자층 증착 방법이 사용되는 경우, 플라즈마는 포밍 가스(forming gas) 플라즈마, 질소 플라즈마, 또는 캐리어(carrier)로서 질소 또는 아르곤 가스 중 하나 내의 암모니아 플라즈마 또는 산소 플라즈마를 포함한다. 포밍 가스는 질소 및 수소를 포함한다. 당업자는 포밍 가스의 조성을 이해할 것이다.
화학 증착은 필름의 원하는 최종 용도에 따라 광범위한 두께를 갖는 필름을 형성하는데 사용될 수 있다. 예를 들어, 필름은 수 나노미터의 두께 또는 수 마이크로미터의 두께, 또는 더 크거나 더 적은 두께 (또는 이들 값 사이에 속하는 두께)를 가질 수 있다. 이들 필름은 코팅, 예컨대 SiO2 코팅, SiO2/개질 세라믹 산화물 층, 규소-함유 코팅, 규소 탄소-함유 코팅, 탄화규소-함유 코팅, 규소 질소-함유 코팅, 질화규소-함유 코팅, 규소 질소 탄소-함유 코팅, 규소 산소 질소 함유 코팅, 및/또는 다이아몬드 유사 탄소 코팅에 의해 임의로 커버될 수 있다. 그러한 코팅 및 이의 증착 방법은 당 기술 분야에 일반적으로 알려져 있다.
상기 방법에서 사용된 기판은 제한되지 않는다. 특정 실시 형태에서, 기판은 증착 챔버의 온도 및 환경 내에서 열 및 화학 안정성에 대한 필요에 의해서만 제한된다. 따라서, 기판은 예를 들어, 유리, 금속, 플라스틱, 세라믹, 규소 (예를 들어, 단결정성 규소, 다결정성 규소, 무정형 규소, 등)를 포함하지만 이로 한정되지는 않는 반도체일 수 있다.
기판은 편평하거나 패턴화된 표면을 가질 수 있다. 패턴화된 표면은 1 내지 500, 대안적으로 1 내지 50, 대안적으로 10 내지 50 범위의 종횡비를 갖는 특징을 갖는다. CVD 또는 ALD 필름은 편평하거나 패턴화된 기판 표면 모두 상에서 등각 (conformal)일 수 있다.
본 발명의 실시 형태는 아산화질소 (N2O)를 포함하는 반응 환경을 포함할 수 있다. 그러한 반응 환경은 일반적으로 당 업계에 알려져 있다. 이들 실시 형태에서, 방법은 일반적으로 아산화질소 존재 하에서 규소 전구체 화합물의 분해를 포함한다. 그러한 방법의 예로는 미국 특허 제5,310,583호에 설명되어 있다. 아산화질소의 이용은 화학 증착 방법에서 형성된 결과로서 생성된 필름의 조성을 변경시킬 수 있다.
화학 증착 장치 및 이에 따라 이용된 화학 증착 방법은 일반적으로, 규소 전구체 화합물, 필름의 원하는 순도, 기판의 기하학적 구조, 및 경제적 고려사항을 포함하지만 이로 한정되지 않는 다수의 인자들의 균형에 의해 선택된다.
화학 증착 및 원자 층 증착에서 조작되는 주요 작동 변수는, 반응기 온도, 기판 온도, 압력, 규소 전구체 화합물의 가스 상 내 농도, 임의의 추가적인 반응물 가스 농도 (예를 들어, 임의의 탄소 전구체, 질소 전구체, 및/또는 산소 전구체의 가스 농도), 총 가스 흐름, 및 기판을 포함하지만 이로 한정되지는 않는다. 화학 증착 및 원자층 증착은, 열분해, 산화, 환원, 가수분해, 및 이의 조합을 포함하지만 이로 한정되지는 않는 화학 반응으로부터 생성된다. 화학 증착 및 원자층 증착에 대한 최적 온도의 선택은 규소 전구체 화합물과 선택된 화학 반응의 동력학 및 열역학 모두에 대한 이해를 필요로 한다.
통상의 화학 증착 및 원자층 증착 방법은, 600℃ 초과, 예를 들어, 600℃ 내지 1000℃와 같은 현저히 높은 반응기 온도를 일반적으로 요구한다. 그러나, 규소 전구체 화합물은 훨씬 더 낮은 반응기 온도에서의 화학 증착 및 원자층 증착에 이용될 수 있는 것으로 생각된다. 예를 들어, 방법은 100℃ 내지 700℃, 대안적으로 200℃ 내지 700℃, 대안적으로 200℃ 내지 600℃, 대안적으로 200℃ 내지 500℃, 대안적으로 200℃ 내지 400℃, 대안적으로 100℃ 내지 300℃의 반응기 온도에서 실시될 수 있다. 방법이 실시되는 반응기 온도는 등온성 또는 역학적일 수 있다.
화학 증착 및 원자층 증착 공정은 일반적으로 0.01 토르(torr) 내지 100 토르, 대안적으로 0.01 토르 내지 10 토르, 대안적으로 0.1 내지 10 토르, 대안적으로 1 내지 10 토르의 압력에서 수행된다.
화학 증착 및 원자층 증착 공정은 일반적으로 전구체를 생성하는 단계, 전구체를 반응 챔버 내로 이송하는 단계, 및 가열된 기판 상에 전구체의 흡수 또는 전구체의 화학 반응과 이후 기판 상으로의 흡수의 어느 하나의 단계를 포함한다. 하기는 이용가능한 방대한 옵션들의 일부를 예시하기 위하여 화학 증착 방법의 개략적 조사를 제시한다. 이들 방법은 당업자에 의해 원자층 증착에 대해 조정될 수 있다.
화학 증착 및 원자층 증착 공정은 0.01 나노미터 내지 1 마이크로미터, 대안적으로 0.1 나노미터 내지 100 나노미터, 대안적으로 1 나노미터 내지 100 나노미터, 대안적으로 10 내지 100 nm 두께의 필름을 증착시킨다.
열 CVD에서, 필름은 가열된 기판 위로 규소 전구체 화합물의 기화된 형태의 스트림을 통과시켜 증착된다. 규소 전구체 화합물의 기화된 형태가 가열된 기판에 접촉할 때, 규소 전구체 화합물은 일반적으로 반응 및/또는 분해되어 필름을 형성한다.
PECVD에서, 규소 전구체 화합물의 기화된 형태는, 반응성 화학종을 형성하기 위하여 이를 플라즈마 장을 통해 통과시킴으로써 반응된다. 반응성 화학종은 이후 기판 상에 집중되고(focused) 증착되어 필름을 형성한다. 일반적으로, 열 CVD에 비해 PECVD의 장점은 보다 낮은 기판 온도가 사용될 수 있다는 것이다. PECVD에서 사용된 플라즈마는 전기 방전, 무선주파수 또는 마이크로파 범위 내의 전자기장, 레이저 또는 입자 빔과 같은 다양한 공급원으로부터 유도된 에너지를 포함한다. 일반적으로, PECVD는 중간의 출력 밀도 (제곱센티미터 당 0.1-5 와트 (W/㎠))에서 무선주파수 (10 킬로헤르쯔 (㎑)-102 메가헤르쯔 (㎒)) 또는 마이크로파 에너지 (0.1-10 기가헤르쯔 (㎓))를 이용하지만, 이들 임의의 변수들은 변경될 수 있다. 그러나, 특정 주파수, 전력, 및 압력은 일반적으로 증착 장치에 대해 맞춤조절된다.
AACVD에서, 규소 전구체 화합물은 화학 매질 내에 용해되어 혼합물을 형성한다. 규소 전구체 화합물 및 화학 매질을 포함하는 혼합물은 전통적인 에어로졸 내에 포장된다. 에어로졸은 규소 전구체 화합물을 가열된 챔버 내로 분무 및 도입시키며, 규소 전구체 화합물은 분해 및/또는 화학 반응을 겪는다. AACVD의 한가지 장점은 진공을 필요로 하지 않으면서 필름을 형성하는 능력이다.
선택된 증착 공정 및 작동 파라미터는 필름의 구조 및 특성에 영향을 미칠 것이다. 일반적으로, 필름 구조의 배향, 필름이 연합되는 방식, 필름의 균일성, 및 필름의 결정성/비결정성 구조를 제어하는 것이 가능하다.
바람직한 증착을 용이하게 하는 환경이 또한 증착 챔버 내에서 사용될 수 있음에 유의하여야 한다. 예를 들어, 공기, 산소, 산소 플라즈마, 암모니아, 아민, 하이드라진, 등과 같은 반응성 환경 또는 불활성 환경 모두가 본 명세서에서 사용될 수 있다.
추가로, 본 발명은 상기 방법에 따라 형성된 필름을 제공한다. 필름의 조성 및 구조는 증착 장치 및 그의 파라미터 뿐만 아니라, 사용된 규소 전구체 화합물 및 상기 방법 동안 임의의 반응성 환경의 존재 또는 부재의 함수이다. 규소 전구체 화합물은 임의의 기타 알려진 전구체 화합물과 조합되어 사용될 수 있거나, 임의의 기타 전구체 화합물이 없는 방법에서 사용될 수 있다.
규소 전구체 화합물은 하나 이상의 Si-N 결합 및 Si-C 결합 및 Si-O 결합이 결여되기 때문에, 규소 전구체 화합물은 원소성 규소 필름을 형성하는데 사용될 수 있다.
대안적으로, 규소 전구체 화합물은 결정성 규소 또는 질화규소를 포함하는 규소 필름을 형성하는데 전통적으로 사용된 다른 규소계 전구체 화합물과 함께 사용될 수 있다. 그러한 실시 형태에서, 필름은 예를 들어 결정성 또는 에피택시성일 수 있다. 방법 동안 반응성 환경의 존재에 따라, 필름은 규소 및 질소에 추가하여 산소 및/또는 탄소를 추가로 포함할 수 있다.
규소 전구체 화합물의 순도는 29Si-NMR, 역상 액체 크로마토그래피, 또는 더욱 가능성 있게는, 후술되는 바와 같은 기체 크로마토그래피 (GC)에 의해 결정될 수 있다. 예를 들어, GC에 의해 결정된 순도는 60 면적% 내지 ≤ 100 면적% (GC), 대안적으로 70 면적% 내지 ≤ 100 면적% (GC), 대안적으로 80 면적% 내지 ≤ 100 면적% (GC), 대안적으로 90 면적% 내지 ≤ 100 면적% (GC), 대안적으로 93 면적% 내지 ≤ 100 면적% (GC), 대안적으로 95 면적% 내지 ≤ 100 면적% (GC), 대안적으로 97 면적% 내지 ≤ 100 면적% (GC) 또는 대안적으로 99.0 면적% 내지 ≤ 100 면적% (GC)일 수 있다. 각각의 ≤ 100 면적% (GC)는 독립적으로 이전에 정의된 바와 같을 수 있다.
본 발명은 하기의 이들 비제한적 실시예에 의해 추가로 예시되며, 발명 실시 형태는 하기 비제한적 실시예의 특징 및 제한의 임의의 조합을 포함할 수 있다. 달리 지시되지 않는 한, 주위 온도는 약 23℃이다. 하기 표는 실시예에서 사용된 약어를 포함한다.
Figure pct00001
기체 크로마토그래피 열 전도성 검출기 (GC-TCD) 조건: 길이가 30 미터이고, 내경이 0.32 mm인 모세관 컬럼으로, 0.25 μm 두께의 고정상을 모세관 컬럼의 내부 표면 상에 코팅의 형태로 함유하며, 고정상은 페닐 메틸 실록산으로 구성되었다. 캐리어 가스는 105 mL/min의 유량으로 사용된 헬륨 가스이다. GC 기기는 애질런트(Agilent) 모델 7890A 기체 크로마토그래프이다. 주입구 온도는 150℃이다. GC 실험 온도 프로파일은 50℃에서 2 분 동안 침지 (유지), 15℃/분의 속도로 250℃까지 온도 상승, 및 이어서 250℃에서 10 분 동안 침지 (유지)로 이루어진다.
GC-MS 기기 및 조건: 샘플을 전자 충격 이온화 및 화학 이온화 기체 크로마토그래피-질량분석법 (EI GC-MS 및 CI GC-MS)에 의해 분석한다. 애질런트 6890 GC 조건은 30 미터 (m) × 0.25 밀리미터 (mm) × 0.50 마이크로미터 (μm) 필름 구성을 갖는 DB-1 컬럼을 포함한다. 50℃에서 2분 동안 침지, 15℃/분으로 250℃까지 상승 및 250℃에서 10분 동안 침지의 오븐 프로그램. 70 mL/분의 일정한 흐름으로 유동하는 헬륨 캐리어 가스 및 50:1 분할 주입. 애질런트 5973 MSD 조건은 15 내지 800 달톤 범위의 MS 스캔, EI 이온화 및 5% NH3와 95% CH4의 커스텀(custom) CI 가스 믹스를 사용하는 CI 이온화를 포함한다.
29Si-NMR 기기 및 용매: 배리안(Varian) 400 ㎒ 수은 분광계를 사용한다. C6D6을 용매로서 사용한다.
1H-NMR 기기 및 용매: 배리안 400 ㎒ 수은 분광계를 사용한다. C6D6을 용매로서 사용한다.
실시예 (Ex) A (가공 실시예): 펜타클로로다이실란의 합성: 트라이클로로실란을 700℃의 온도에서 가열하여 펜타클로로다이실란을 산출하고, 결과로서 생성된 반응 혼합물로부터 펜타클로로다이실란을 증류하여 정제된 펜타클로로다이실란을 수득한다.
실시예 1 (가공 실시예): LPCVD와 함께 규소 전구체 화합물을 사용하여 원소성 규소 필름을 형성: LPCVD 반응기 및 규소 전구체 화합물을 함유하고, LPCVD 반응기와 유체 연통하는 버블러(bubbler)를 사용하여, 규소 전구체 화합물을 함유하는 버블러를 40℃로 가열하여 이의 증기압을 증가시켰다. 이어서, 버블러를 통해 수소 캐리어 가스를 유동시켜 LPCVD 반응기 내로 규소 전구체 화합물의 증기를 운반하고, LPCVD 반응기는 컨포멀(conformal) 원소 규소 필름이 웨이퍼 상에 형성되도록 700℃로 가열된 복수의 수직으로 배향되고 이격된 규소 웨이퍼를 함유한다.
실시예 2: 원자층 증착 (ALD)과 함께 규소 전구체 화합물을 사용하여 질화규소 필름을 형성하였다. ALD 반응기 및 이러한 ALD 반응기와 유체 연통하는 규소 전구체 화합물을 함유하는 캐니스터 (즉, "버블러")를 사용하였다. 규소 전구체 화합물을 함유하는 버블러를 실온 (즉, 대략 23℃)에서 유지시켰다. ALD 반응기를 이후 아르곤으로 퍼징하고(purged), ALD 반응기는, 500 또는 600℃ (아래 표에 표시된 바와 같음) 중 어느 하나로 가열된, 복수의 수평으로 배향되고 이격된 규소 웨이퍼를 함유하였다. 이어서, 규소 전구체 화합물의 증기를 10 초 동안 ALD 반응기 내로 유동시킨다. ALD 반응기를 이후 아르곤으로 다시 퍼징하여 규소 전구체 화합물의 임의의 잔여 증기를 제거하였다. 이후, ALD 반응기 내로 10 초 동안 암모니아를 유동시켰다. 이어서, 바람직한 두께를 갖는 컨포멀 질화규소 필름이 웨이퍼 상에 형성될 때까지, 상기 순서의 단계들(규소 전구체 퍼징, 암모니아)을 반복하였다. 표에서 일회의 사이클은, 10 초의 전구체 도스, 이어서 10 초의 Ar 퍼징, 이어서 10 초의 암모니아 도스, 및 이어서 10 초의 Ar 퍼징의 일 순서와 같다.
Figure pct00002
실시예 3 (가공 실시예): LPCVD와 함께 규소 전구체 화합물 및 암모니아 (NH3)를 사용하여 질화규소 필름을 형성: LPCVD 반응기 및 규소 전구체 화합물을 함유하고, LPCVD 반응기와 유체 연통하는 버블러를 사용하여, 규소 전구체 화합물을 함유하는 버블러를 40℃로 가열하여, 이의 증기압을 증가시켰다. 이어서, 버블러를 통해 He 캐리어 가스를 유동시켜, LPCVD 반응기 내로 규소 전구체 화합물의 증기를 운반하고, LPCVD 반응기는 컨포멀 질화규소 필름이 웨이퍼 상에 형성되도록, 증기상 암모니아 및 500℃로 가열된 복수의 수직으로 배향되고 이격된 규소 웨이퍼를 함유한다.
실시예 4 (가공 실시예): 암모니아와 함께 규소 전구체 화합물 및 PECVD를 사용하여 질화규소 필름을 형성: PECVD 반응기 및 PECVD 반응기와 유체 연통하는 버블러를 사용하여, 규소 전구체 화합물을 함유하는 버블러를 40℃로 가열하여 이의 증기압을 증가시켰다. 이어서, 버블러를 통해 He 캐리어 가스를 유동시켜, PECVD 반응기 내로 규소 전구체 화합물의 증기를 운반하고, PECVD 반응기는 암모니아-유도된 플라즈마를 가지며, 컨포멀 질화규소 필름이 웨이퍼 상에 형성되도록, 500℃로 가열된 복수의 수평으로 배향되고 이격된 규소 웨이퍼를 함유한다.
실시예 5: 질소 원자-함유 가스 화학종 PEALD와 함께 규소 전구체 화합물을 사용하여 질화규소 필름을 형성하였다. PEALD 반응기 및 PEALD 반응기와 유체 연통하는 규소 전구체 화합물을 함유하는 캐니스터 ("버블러")를 사용하였다. 규소 전구체 화합물을 함유하는 버블러를 실온에서 유지시켰다. PEALD 반응기를 질소로 퍼징하고, PEALD 반응기는 350℃에서 500℃의 온도 (표에서 각 회차에 대해 표시된 바와 같음)로 가열된 복수의 수평으로 배향되고 이격된 규소 웨이퍼를 함유하였다. 이어서 규소 전구체 화합물의 증기를 ALD 반응기 내로 유동시켰다. ALD 반응기를 이후 질소로 다시 퍼징하여 규소 전구체 화합물의 임의의 잔여 증기를 제거하였다. 이후, 질소 원자-함유 화학종 (예를 들어, 암모니아, 질소, 질소 및 수소의 혼합물)을 전력을 켠 상태에서 플라즈마와 함께 ALD 반응기 내로 유동시켰다. ALD 반응기를 이후 질소로 다시 퍼징하여 플라즈마에 의해 생성된 임의의 잔여 반응성 화학종을 제거하였다. 이어서, 바람직한 두께를 갖는 컨포멀 질화규소 필름이 웨이퍼 상에 형성될 때까지, 상기 순서의 단계들을 반복하였다. 하기 표에서 일회의 사이클은 1 초의 전구체 도스, 이어서 30 초의 질소 퍼징, 이어서 15 초 플라즈마 처리, 및 이어서 30 초의 질소 퍼징의 일 순서와 같다.
Figure pct00003
실시예 6 (가공 실시예): LPCVD와 함께 규소 전구체 화합물을 사용하여 산화규소 필름을 형성: LPCVD 반응기 및 LPCVD 반응기와 유체 연통하는 버블러를 사용하여, 규소 전구체 화합물을 함유하는 버블러를 40℃로 가열하여 이의 증기압을 증가시켰다. 이어서, 버블러를 통해 He 캐리어 가스를 유동시켜 LPCVD 반응기 내로 규소 전구체 화합물의 증기를 운반하고, LPCVD 반응기는 산소 분위기를 가지며, 컨포멀 산화규소 필름이 웨이퍼 상에 형성되도록, 500℃로 가열된 복수의 수직으로 배향되고 이격된 규소 웨이퍼를 함유한다.
실시예 7: 원자층 증착 (ALD)과 함께 규소 전구체 화합물을 사용하여 산화규소 필름을 형성하였다. ALD 반응기 및 ALD 반응기와 유체 연통하는 규소 전구체 화합물을 함유하는 버블러를 사용하였다. 규소 전구체 화합물을 함유하는 버블러를 실온에서 유지시켰다. ALD 반응기를 Ar으로 퍼징하고, ALD 반응기는 400℃ 내지 600℃로(정확한 온도는 특정 회차에 대해 하기 표에서 표시됨) 가열된 복수의 수평으로 배향되고 이격된 규소 웨이퍼를 함유한다. 이어서, 규소 전구체 화합물의 증기를 ALD 반응기 내로 유동시켰다. ALD 반응기를 Ar로 다시 퍼징하여 규소 전구체 화합물의 임의의 잔여 증기를 제거하였다. 이후, ALD 반응기 내로 오존을 유동시켰다. 바람직한 두께를 갖는 컨포멀 산화규소 필름이 웨이퍼 상에 형성될 때까지, 상기 순서의 단계들을 반복하였다. 하기 표에서 일회의 사이클은 3 초의 전구체 도스, 이어서 10 초의 아르곤 퍼징, 이어서 10 초의 오존 처리, 및 이어서 10 초의 아르곤 퍼징의 일 순서와 같다.
Figure pct00004
실시예 7 (가공 실시예): 메탄과 함께 규소 전구체 화합물 및 PECVD를 사용하여 탄화규소 필름을 형성: PECVD 반응기 및 PECVD 반응기와 유체 연통하는 버블러를 이용하여, 규소 전구체 화합물을 함유하는 버블러를 40℃로 가열하여 이의 증기압을 증가시켰다. 이어서, 버블러를 통해 He 캐리어 가스를 유동시켜, PECVD 반응기 내로 규소 전구체 화합물의 증기를 운반하고, PECVD 반응기는 메탄-유도된 플라즈마를 가지며, 컨포멀 탄화규소 필름이 웨이퍼 상에 형성되도록, 500℃로 가열된 복수의 수평으로 배향되고 이격된 규소 웨이퍼를 함유한다.
하기 청구범위는 본 명세서에 참고로 포함되며, 용어 "청구항"과 "청구항들"은 각각 용어 "양태" 또는 "양태들"로 교체된다. 본 발명의 실시 형태는 또한 이러한 결과로서 수득된 번호 매겨진 양태들을 포함한다.

Claims (9)

  1. 기판 상에 규소-함유 필름을 형성하는 방법으로서, 상기 방법은 펜타클로로다이실란으로 이루어진 규소 전구체의 증기를 상기 기판 존재 하에서 증착 조건에 적용시켜서 상기 기판 상에 규소-함유 필름을 형성하는 단계를 포함하며, 상기 규소-함유 필름은 규소 질소 필름 또는 규소 산소 필름이며, 상기 방법은 원자층 증착을 이용하는, 방법.
  2. 제1항에 있어서, 상기 규소 전구체의 제1 증기 및 분자 질소, 암모니아, 아민, 하이드라진, 또는 이의 임의의 둘 또는 셋의 조합을 포함하는 질소 전구체의 제2 증기를 상기 기판 존재 하에 증착 조건에 적용시켜서 상기 기판 상에 상기 규소-함유 필름을 형성하는 단계를 포함하며, 상기 규소-함유 필름은 상기 규소 질소 필름인, 방법.
  3. 제1항에 있어서, 상기 규소 전구체의 제1 증기 및 분자 산소, 오존, 산화질소, 이산화질소, 물, 과산화수소, 또는 이의 임의의 둘 또는 셋의 조합을 포함하는 산소 전구체의 제2 증기를 상기 기판 존재 하에 증착 조건에 적용시켜서 상기 기판 상에 상기 규소-함유 필름을 형성하는 단계를 포함하며, 상기 규소-함유 필름은 상기 규소 산소 필름인, 방법.
  4. 제2항 내지 제4항 중 어느 한 항에 있어서, 상기 기판은 가열되고, 원자층 증착을 위해 구성된 증착 반응기 내에 배치되고, 상기 방법은 상기 규소 전구체의 상기 제1 증기를 반복적으로 공급하는 단계, 불활성 가스로 퍼징(purging)하는 단계, 상기 증착 반응기 내로 상기 제2 증기를 공급하는 단계, 및 불활성 가스로 퍼징하여 상기 가열된 기판 상에 상기 규소-함유 필름을 형성하는 단계를 포함하며, 이때 공급물들은 동일하거나 상이할 수 있는, 방법.
  5. 제1항에 있어서, 상기 원자층 증착은 플라즈마 강화 원자층 증착이고, 상기 플라즈마는 질소 또는 아르곤 내 암모니아 플라즈마이거나, 상기 플라즈마는 포밍 가스(forming gas), 질소, 또는 산소 플라즈마인, 방법.
  6. 제3항에 있어서, 상기 증착 조건은 탄소 및 산소가 결여되고, 상기 규소 질소 필름은 질화규소 필름을 포함하는, 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 기판은 반도체 재료인, 방법.
  8. 펜타클로로다이실란으로 이루어진 규소 전구체 및 질소 전구체를 포함하는, 규소 질소 필름 형성용 조성물.
  9. 규소 질소 필름의 형성 방법에 있어서의 제9항의 조성물의 용도.
KR1020177035370A 2015-05-22 2016-05-19 펜타클로로실란 KR20180005221A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562165302P 2015-05-22 2015-05-22
US62/165,302 2015-05-22
PCT/US2016/033263 WO2016191194A1 (en) 2015-05-22 2016-05-19 Pentachlorosilane

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217005224A Division KR20210024208A (ko) 2015-05-22 2016-05-19 펜타클로로다이실란

Publications (1)

Publication Number Publication Date
KR20180005221A true KR20180005221A (ko) 2018-01-15

Family

ID=56097314

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020177035370A KR20180005221A (ko) 2015-05-22 2016-05-19 펜타클로로실란
KR1020217005224A KR20210024208A (ko) 2015-05-22 2016-05-19 펜타클로로다이실란
KR1020227002335A KR20220016293A (ko) 2015-05-22 2016-05-19 펜타클로로다이실란

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020217005224A KR20210024208A (ko) 2015-05-22 2016-05-19 펜타클로로다이실란
KR1020227002335A KR20220016293A (ko) 2015-05-22 2016-05-19 펜타클로로다이실란

Country Status (7)

Country Link
US (1) US10157735B2 (ko)
EP (1) EP3298177A1 (ko)
JP (1) JP6689886B2 (ko)
KR (3) KR20180005221A (ko)
CN (1) CN107614749A (ko)
TW (1) TWI773643B (ko)
WO (1) WO2016191194A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3751712A1 (en) 2019-06-14 2020-12-16 LG Electronics Inc. Motor assembly and method for manufacturing the same

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6486049B2 (ja) * 2014-09-25 2019-03-20 デンカ株式会社 ペンタクロロジシランの製造方法並びに該方法により製造されるペンタクロロジシラン
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US11117807B2 (en) * 2017-06-23 2021-09-14 Jiangsu Nata Opto-Electronic Materials Co. Ltd. Method of making aluminum-free neopentasilane
TWI791547B (zh) * 2017-07-31 2023-02-11 中國大陸商南大光電半導體材料有限公司 製備五氯二矽烷之方法及包含五氯二矽烷之經純化的反應產物

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5310583A (en) 1992-11-02 1994-05-10 Dow Corning Corporation Vapor phase deposition of hydrogen silsesquioxane resin in the presence of nitrous oxide
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20050145177A1 (en) * 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
JP4936790B2 (ja) * 2006-05-22 2012-05-23 株式会社東芝 半導体装置
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
EP2823083B1 (en) * 2012-03-09 2023-10-04 Versum Materials US, LLC Methods for making silicon containing films on thin film transistor devices
EP2875166B1 (en) * 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
CN107002236B (zh) * 2014-09-23 2019-04-05 乔治洛德方法研究和开发液化空气有限公司 用于沉积含Si膜的碳硅烷取代的胺前体以及其方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3751712A1 (en) 2019-06-14 2020-12-16 LG Electronics Inc. Motor assembly and method for manufacturing the same

Also Published As

Publication number Publication date
WO2016191194A1 (en) 2016-12-01
JP6689886B2 (ja) 2020-04-28
US20180076025A1 (en) 2018-03-15
US10157735B2 (en) 2018-12-18
WO2016191194A8 (en) 2017-11-09
KR20220016293A (ko) 2022-02-08
TW201704244A (zh) 2017-02-01
KR20210024208A (ko) 2021-03-04
CN107614749A (zh) 2018-01-19
EP3298177A1 (en) 2018-03-28
JP2018517294A (ja) 2018-06-28
TWI773643B (zh) 2022-08-11

Similar Documents

Publication Publication Date Title
US10157735B2 (en) Pentachlorodisilane
US11142462B2 (en) Trichlorodisilane
TW201739752A (zh) 參(二矽烷基)胺
WO2016191199A1 (en) Diisopropylaminopentachlorodisilane
KR102244755B1 (ko) 클로로다이실라잔
JP6668504B2 (ja) アミノクロロヒドリドジシラン
KR102228807B1 (ko) SiH-무함유 비닐다이실란
JP2022504415A (ja) 異性体エンリッチド高級シランを製造するためのプロセス

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination
N231 Notification of change of applicant
A107 Divisional application of patent