JP2022504415A - 異性体エンリッチド高級シランを製造するためのプロセス - Google Patents

異性体エンリッチド高級シランを製造するためのプロセス Download PDF

Info

Publication number
JP2022504415A
JP2022504415A JP2021518933A JP2021518933A JP2022504415A JP 2022504415 A JP2022504415 A JP 2022504415A JP 2021518933 A JP2021518933 A JP 2021518933A JP 2021518933 A JP2021518933 A JP 2021518933A JP 2022504415 A JP2022504415 A JP 2022504415A
Authority
JP
Japan
Prior art keywords
mol
mixture
reactor
tetrasilane
forming composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021518933A
Other languages
English (en)
Other versions
JP7143514B2 (ja
Inventor
イトフ、ジェナディ
ホウ、チアン
ニキフォロフ、グリゴリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/158,003 external-priority patent/US20200115241A1/en
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of JP2022504415A publication Critical patent/JP2022504415A/ja
Application granted granted Critical
Publication of JP7143514B2 publication Critical patent/JP7143514B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • C01B33/046Purification
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D3/00Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping
    • B01D3/009Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping in combination with chemical reactions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D3/00Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping
    • B01D3/14Fractional distillation or use of a fractionation or rectification column
    • B01D3/143Fractional distillation or use of a fractionation or rectification column by two or more of a fractionation, separation or rectification step
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/80Compositional purity
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/10Process efficiency

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Silicon Compounds (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Figure 2022504415000001
n-テトラシランを選択的に合成する方法が開示される。N-テトラシランは、シラン(SiH)、ジシラン(Si)、トリシラン(Si)又はそれらの混合物の熱分解によって調製される。より詳しくは、開示された合成方法は、n-テトラシラン:i-テトラシラン異性体比を調整し、最適化する。異性体比は、温度、滞留時間及び出発化合物の相対量などのプロセスパラメーターの選択によって最適化され得る。開示された合成方法は、n-テトラシランの容易な調製を可能にする。
【選択図】図1

Description

n-テトラシランを選択的に合成する方法が開示される。N-テトラシランは、シラン(SiH)、ジシラン(Si)、トリシラン(Si)又はその混合物の熱分解によって調製される。より特に、開示された合成方法は、n-テトラシラン:i-テトラシランの異性体比を調整し、且つ最適化する。異性体比は、出発化合物の温度、滞留時間及び相対的な量などのプロセスパラメーターの選択によって最適化され得る。開示された合成方法で、n-テトラシランの容易な調製が可能となる。
ポリシランは種々の産業で使用されている。
ポリシランを使用するケイ素含有膜の蒸着は、中でも、Seiko Epson Corp.への日本特許第3,185,817号公報;Kanoh et al,Japanese Journal of Applied Physics,Part 1:Regular Papers,Short Notes & Review Papers 1993,32(6A),2613-2619;Showa Denko KKへの日本特許第3,484,815号公報;及びShowa Denko KKへの特開2000/031066号公報によって開示されている。
Si、SiGe、SiC、SiN及びSiOなどのエピタキシャルSiを含有する膜は、中でも、Hazbun et al.,Journal of Crystal Growth 2016,444,21-27;Yi-Chiau Huang et alへの米国特許出願公開第2017/018427号明細書;Dube et alへの米国特許出願公開第2016/126093号明細書;及びHart et al.,Thin Solid Films 2016,604,23-27によって開示されるように、ポリシランを使用して成長させる。
ポリシランは、より大きいポリマーを形成するためにも使用されてきた。例えば、Forschungzentrum Juelich Gmbhへの米国特許出願公開第2016/0297997号明細書を参照のこと。
低級シランから高級シランへの変換は、研究のために、そして商業的目的のために広範囲に研究されている。熱分解が研究されている。例えば、Timmsら,J.Chem.Soc.1964 pp.1467-1475;Tebbenら,Inorg.Chem.Vol.8,No.8 August 1969;Vanderwielenら,JACS,v.97(1975)p.993;Martinら,J.of Chem.Kin.,v.22,p.613(1990);Yoshidaら,J.Phys.Chem.A,2006,110,4726-4731;Peter PlichtaへのDE2139155号明細書;Kitsunoらへの米国特許第6027705号明細書;Bourasseauらへの米国特許第7906094号明細書;Hazeltineへの米国特許第8163261号明細書;Wieberらへの米国特許第8969610号明細書;OCI Materials Co.,Ltd.への米国特許第9034292号明細書;SK-Materials Co.,Ltd.への国際公開第2017/018771号パンフレット;並びにSK-Materials Co.,Ltd.への国際公開第2017/018771号パンフレット及び国際公開第2017/018772号パンフレットを参照のこと。
全てのこれらの開示にもかかわらず、ポリシランの商業的使用には困難が残る。
n-テトラシランを選択的に合成する方法が開示される。約5:1~約15:1の範囲の比率を有するn-Si10:i-Si10混合物は、約250℃~約360℃の範囲の温度まで反応器中でSi及び任意選択的にSiを加熱することによって製造される。開示されたプロセスは、以下の態様の1つ又はそれ以上をさらに含み得る:
・方法がHを利用しないこと;
・方法が触媒を利用しないこと;
・方法がガラスウールを利用しないこと;
・方法が真空を利用しないこと;
・方法が、いずれの固体ポリシラン反応生成物も製造しないこと;
・反応器の圧力が、ほぼ大気圧~約30psigの範囲であること;
・反応器の圧力が、ほぼ大気圧~約15psigの範囲であること;
・方法が、Si及び任意選択的にSiを予熱することをさらに含むこと;
・方法が、n-Si10:i-Si10混合物を冷却することをさらに含むこと;
・予熱及び冷却が反応器中で実行されること;
・約5秒~約30秒の範囲の時間、その温度にSi及び任意選択的にSiを維持すること;
・温度が約360℃~約380℃の範囲である場合、約5秒~約10秒の範囲の時間、その温度にSi及び任意選択的にSiを維持すること;
・温度が約280℃~約360℃の範囲である場合、約10秒~約20秒の範囲の時間、その温度にSi及び任意選択的にSiを維持すること;
・温度が約250℃~約280℃の範囲である場合、約20秒~約30秒の範囲の時間、その温度にSi及び任意選択的にSiを維持すること;
・混合物が、約0.1%モル/モル~約25%モル/モルのSi及び約75%モル/モル~99.9%モル/モルのSiを含むこと;
・混合物が、約0.1%モル/モル~約10%モル/モルのSi及び約90%モル/モル~99.9%モル/モルのSiを含むこと;
・混合物が、100%モル/モルのSiを含むこと;
・未反応のSiをリサイクルすること;
・未反応のSi及びSiをリサイクルすること;
・n-Si10:i-Si10の比率が約8:1~約15:1の範囲であること;
・n-Si10:i-Si10混合物を分別蒸留して、約90%w/w~約100%w/wのn-Si10を含むSi含有膜形成組成物を製造すること;
・n-Si10:i-Si10混合物を分別蒸留して、約95%w/w~約100%w/wのn-Si10を含むSi含有膜形成組成物を製造すること;
・n-Si10:i-Si10混合物を分別蒸留して、約97%w/w~約100%w/wのn-Si10を含むSi含有膜形成組成物を製造すること;
・n-Si10:i-Si10混合物を分別蒸留して、約0%w/w~約10%w/wのi-Si10を含むSi含有膜形成組成物を製造すること;
・n-Si10:i-Si10混合物を分別蒸留して、約0%w/w~約5%w/wのi-Si10を含むSi含有膜形成組成物を製造すること;又は
・n-Si10:i-Si10混合物を分別蒸留して、約0%w/w~約3%w/wのi-Si10を含むSi含有膜形成組成物を製造すること。
上記で開示される方法のいずれかによって製造されたSi含有膜形成組成物も開示される。開示された組成物は、以下の態様の1つ又は複数をさらに含み得る:
・Si含有膜形成組成物が、約0ppmw~約100ppmwのハロゲン化物汚染物質を含むこと;
・Si含有膜形成組成物が、約0ppmw~約25ppmwのハロゲン化物汚染物質を含むこと;
・Si含有膜形成組成物が、約0ppmw~約5ppmwのハロゲン化物汚染物質を含むこと;
・Si含有膜形成組成物が、約90%w/w~約100%w/wのn-Si10を含むこと;
・Si含有膜形成組成物が、約95%w/w~約100%w/wのn-Si10を含むこと;
・Si含有膜形成組成物が、約97%w/w~約100%w/wのn-Si10を含むこと;
・Si含有膜形成組成物が、約0%w/w~約10%w/wのi-Si10を含むこと;
・Si含有膜形成組成物が、約0%w/w~約5%w/wのi-Si10を含むこと;
及び/又は
・Si含有膜形成組成物が、約0%w/w~約3%w/wのi-Si10を含むこと。
蒸着プロセスの間にテトラシランの蒸気圧を維持する方法も開示される。蒸着プロセスでは、上記で開示されるSi含有膜形成組成物のいずれかが使用される。Si含有膜形成組成物は、蒸発温度に維持される。開示された方法は、以下の態様の1つ又は複数をさらに含み得る:
・Si含有膜形成組成物が、約90%w/w~約100%w/wのn-Si10を含むこと;
・Si含有膜形成組成物が、約95%w/w~約100%w/wのn-Si10を含むこと;
・Si含有膜形成組成物が、約97%w/w~約100%w/wのn-Si10を含むこと;
・Si含有膜形成組成物が、約0%w/w~約10%w/wのi-Si10を含むこと;
・Si含有膜形成組成物が、約0%w/w~約5%w/wのi-Si10を含むこと;
・Si含有膜形成組成物が、約0%w/w~約3%w/wのi-Si10を含むこと;
・Si含有膜形成組成物が、蒸発温度において初期蒸気圧を有すること;
・蒸発温度が、約0℃~約40℃の範囲であること;
・蒸発温度が、約20℃~約25℃の範囲であること;
・約95%w/wのSi含有膜形成組成物が消費されるまで、蒸発温度においてSi含有膜形成組成物の初期蒸気圧の約80% Pa/Paを維持すること;
・約95%w/wのSi含有膜形成組成物が消費されるまで、蒸発温度においてSi含有膜形成組成物の初期蒸気圧の約90% Pa/Paを維持すること;
及び/又は
・約95%w/wのSi含有膜形成組成物が消費されるまで、蒸発温度においてSi含有膜形成組成物の初期蒸気圧の約95% Pa/Paを維持すること。
重合の間に分枝状ポリシランの形成を減少させる方法も開示される。重合プロセスでは、上記で開示されるSi含有膜形成組成物のいずれかが使用される。開示された方法は、以下の態様の1つ又は複数をさらに含み得る:
・Si含有膜形成組成物が、約90%w/w~約100%w/wのn-Si10を含むこと;
・Si含有膜形成組成物が、約95%w/w~約100%w/wのn-Si10を含むこと;
・Si含有膜形成組成物が、約97%w/w~約100%w/wのn-Si10を含むこと;
・Si含有膜形成組成物が、約0%w/w~約10%w/wのi-Si10を含むこと;
・Si含有膜形成組成物が、約0%w/w~約5%w/wのi-Si10を含むこと;及び/又は
・Si含有膜形成組成物が、約0%w/w~約5%w/wのi-Si10を含むこと。
表記法及び命名法
特定の略語、記号及び用語が次の記載及び請求項全体で使用され、次のものが含まれる。
本明細書で使用される場合、不定冠詞「a」又は「an」は1つ又は複数を意味する。
本明細書で使用される場合、「およそ」又は「約」という用語は、明記された値の±10%を意味する。
本明細書で使用される場合、「含んでなる」という用語は、包括的又は非制限的であり、且つ追加的な引用されていない材料又は方法ステップを排除しない。「から本質的になる」という用語は、明記された材料又はステップ、及び本発明の基本的且つ新規の特徴に本質的に影響を与えない追加的な材料又はステップに請求の範囲を制限する。「からなる」という用語は、請求項に指定されていないいずれの追加的な材料又は方法ステップも排除する。
本明細書で使用される場合、「高級シラン」という用語は、n=4~100であるSi2n+2を意味し、「低級シラン」という用語は、a=1~3であるSi2n+2を意味する。高級シランは線形であっても、又は分岐していてもよい。
本明細書中、元素の周期表からの元素の標準的な略語が使用される。元素がこれらの略語によって示され得ることは理解されるべきである(例えば、Siはケイ素を意味し、Cは炭素を意味し、Hは水素を意味する、など)。
本明細書に列挙されるいずれかの範囲及び全ての範囲は、「包括する」という用語が使用されるかどうかにかかわらず、それらの終点を包括する(すなわち、x=1~4であるか、又はxは1~4の範囲であるということは、x=1、x=4及びx=その間のいずれかの数である)。
本明細書で使用される場合、「無水」という用語は、約0ppmv~約100ppmvの湿分、好ましくは約0ppmv~約10ppmvの湿分を含有することを意味する。
本発明の特性及び目的のさらなる理解のために、添付の図面と関連して以下の詳細な説明が参照されるべきである。
開示された合成方法が実行され得る例示的な装置の概略図である。 開示された合成方法が実行され得る例示的なフロースルー装置の概略図である。 図2の反応器の一実施形態の平面図である。 Si、Si及びSi-Si混合物の熱分解後の、温度(℃)に対するn-Si10/i-Si10比のグラフである。 300℃(ひし形)及び320℃(正方形)におけるSi中のSiの%モル/モルに対するnSi10:iSi10比のグラフである。 実施例4のSi(91.8%モル/モル)及びSi(8.2%モル/モル)混合物の熱分解後にドライアイストラップ中に回収された液体生成物のガスクロマトグラムである。 周囲温度における経時的なn-Si10:i-Si10比のグラフである。 室温(三角形)又は35℃(正方形)における経時的な1.2Lステンレス鋼バブラー中のn-Si10:i-Si10比のグラフである。
n-テトラシランを選択的に合成する方法が開示される。テトラシランの異性体は、沸点の差異を示す。80~90%のn-Si10の沸点は、Gelestからのオンラインカタログによると、107℃である。対照的に、i-Si10の沸点は101.7℃である。Feher et al.,Inorg.Nucl.Chem.Lett.,1973,9,931。
Figure 2022504415000002
異なる沸点を有することに加えて、上記のように、少なくとも異なる立体形状のため、異性体は異なる蒸発挙動及び熱安定性を有し得る。1つの異性体が経時的に豊富になる場合、これらの差異は、いずれかの蒸気プロセスにおいてドリフト(drift)を生じ得る。この影響は、他の種類の異性体によって実証されている(例えば、Mehwash Zia and Muhammad Zia-ul-Haq,Journal of Contemporary Research in Chemistry(2016)1(1):34-41を参照のこと)。
その結果、本質的に1つの異性体からなるか、1つの異性体が豊富になるか、又は固定の異性体比を有するテトラシラン前駆体を提供することは、再現可能な蒸気膜形成プロセスを有するために重要である。
同様に、異なる異性体を使用する重合によって、異なる重合生成物が生じ得る。言い換えると、iso-テトラシランは、n-テトラシランによって生じるものよりも分岐を有するポリマーを生じ得る。
出願人は、テトラシラン異性体比を調整及び最適化する方法を見出した。純粋な異性体又は異性体エンリッチド混合物は、シラン(SiH)、ジシラン(Si)、トリシラン(Si)、又はそれらの混合物の熱分解によって調製される。低級シラン反応物(すなわち、n=1~3であるSi2n+2)は、商業的な利用可能性のために、魅力的な出発材料を提供する。当業者は、これらの反応物及び生成物を用いて作業する場合に安全性プロトコルが必要であることを認識するであろう。
所望の異性体比を生じるために、種々のプロセスパラメーターは調整され得る。例示的なプロセスパラメーターには、出発化合物の相対的な量が含まれる。フロー熱分解プロセスにおける温度及び滞留時間は、異性体収量に影響を与え得る。得られる高級シラン生成物は、特異的異性体含有量及び高純度である。
n-テトラシランは、n=1~3であるSi(2n+2)反応物を加熱することによって合成されてもよい。Si(2n+2)反応物は、SiH、Si、Si又はそれらの組合せであり得る。以下の実施例において、Si(2n+2)反応物は、単独であるか、又はSiと混合されたSiである。単独又はSiと混合されたSiは、最高Si10:Si12比及びn-Si10:i-Si10比を生じる。単独又はSiと混合されたSiの熱分解は、固体ポリシラン副生成物を生じないか、又は低い量を生じ、このことは問題クリーニング及び/又は反応器の目詰まりの問題を防ぐ。これらの反応物は商業的に入手可能である。
反応器は、不活性化されたステンレス鋼から製造される。反応器は、ジャケット付きであっても、又は加熱若しくは冷却浴中に配置されてもよい。反応器は、複数の「注入ポート」、圧力計、隔膜バルブなどを備えていてもよい。反応器は、N又は希ガス(すなわち、He、Ne、Ar、Kr若しくはXe)などの不活性雰囲気下か、或いは(不活性ガスの添加なしで)化合物蒸気圧下で合成を実行するように設計されている。反応器、撹拌機構及び他のいずれの関連装置、例えばSchlenkライン又はグローブボックスも、真空、不活性ガスフロー、オーブン乾燥などの標準乾燥技術を使用して空気及び湿分が除去されるべきである。
反応物及び生成物と接触する反応器及び全ての構成部分は、高純度を有するべきである。高純度反応器は、低級及び高級シラン反応物及び生成物との適合性を有する。高純度反応器は、シランと反応し得るか、又はシランを汚染し得る不純物を含まない。そのような反応器の典型的な例は、低い表面粗さ及びミラー仕上げを有するステンレス鋼容器である。低い表面粗さ及びミラー仕上げは、典型的に機械的研磨によって、そして任意選択的に追加の電解研磨によって得られる。高純度は、典型的に(a)希酸(HF、HNO)を用いたクリーニングステップ;(b)それに続く、酸の痕跡量の完全除去を確実にするための高純度脱イオン水によるすすぎ;(c)それに続く、反応器の乾燥を含む処理によって得られる。脱イオン水(DIW)すすぎは、典型的に、すすぎ水の伝導性が100μS/cm未満、好ましくは25μS/cm未満まで減少するまで実行される。乾燥ステップは、He、N、Ar(好ましくはN又はAr)などの不活性ガスを用いたパージステップ;表面からの脱気を促進するために反応器の圧力を減少する真空ステップ;反応器を加熱すること、或いはそれらのいずれかの組合せを含み得る。
パージングに使用されるガスは、半導体グレードであるべきであり、すなわち、痕跡量の湿分及び酸素などの汚染物質を含まず(1ppm未満、好ましくは10ppb未満)、且つ粒子を含まない(1リットルあたり5粒子未満@0.5μm)。乾燥ステップは、その間にガスの特定の流動が容器を通して流されるパージングの別の連続、及び真空ステップを含み得る。或いは、乾燥ステップは、反応器における低い圧力を維持しながら、パージガスを絶えず流すことによって実行され得る。反応器乾燥の効率及び終点は、反応器から出るガス中の痕跡量HO濃度を測定することによって評価することができる。入口ガスは10ppb未満HOを有するが、出口ガスは、約0ppm~約10ppmの範囲、好ましくは約0ppm~約1ppmの範囲、より好ましくは約0ppb~約200ppbの範囲の湿分含有量を有さなければならない。パージステップ及び真空ステップの間、乾燥時間を促進するために反応器を加熱することが知られている。反応器は、乾燥の間、典型的に約40℃~約150℃の範囲の温度測に維持される。
一旦、クリーンにして、乾燥させたら、高純度反応器などの反応器は、1×10-6 std cm/秒未満、好ましくは1×10-8 std cm/秒未満の全漏れ速度を有するべきである。
反応器は、反応前にシラン又はジシランなどのシリル化剤への曝露によって不活性化されてもよい。
当業者は、開示された方法を実行するために使用されるシステムの装置構成部分のための供給源を認識するであろう。所望の温度範囲、圧力範囲、条例などに基づいて、構成部分のいくつかのレベルのカスタム化が必要とされてもよい。例示的な装置の供給元としては、ステンレス鋼製のParr Instrument Company及び構成部分が含まれる。
反応器は、約250℃~約360℃、好ましくは約280℃~約360℃の範囲の温度まで加熱される。反応器は、約1気圧(101kPa)~約3気圧(303kPa)の範囲の圧力で真空圧より上に維持される。Si(2n+2)反応物は、加熱された反応器に供給される。これが熱分解反応であるため、反応器はいずれのガラスウール又は触媒を含まず、Si(2n+2)反応物のみを含む。反応物は、約0.1秒~約100秒、好ましくは約1秒~約60秒の範囲の期間、反応器に維持される。反応器の含有物は、Si(2n+2)反応物からテトラシラン生成物、及び反応の間に生じるいずれの重質シランを単離するように設計された蒸留ユニットに誘導される。Si(2n+2)反応物は、リサイクルされてよい。テトラシラン生成物は、i-テトラシランからn-テトラシランを単離するように設計された第2の蒸留ユニットに誘導される。
反応は、H、N、Ar又はHeなどの不活性気体の存在下又は不在下において実行され得る。一選択肢において、これらの不活性気体は、不活性雰囲気を維持するために使用され得る。別の選択肢において、これらの不活性気体は、反応混合物を希釈するためにも使用され得る。別の選択肢において、これらの不活性気体は、反応混合物の流動を維持することを補助するためにも使用され得る。もちろん、これらの不活性気体の添加は、反応生成物からのそれらの除去をさらに必要とする。したがって、別の選択肢において、そして以下の実施例で実証されるように、開示されたプロセスにおいて不活性ガスは利用されない。
図1は、開示された方法を実行するために適切な例示的なシステムの図である。空気は、真空及び/又は不活性ガス(例えば、窒素、アルゴンなど)によってシステムの種々の部分(例えば、反応器10、蒸留ユニット15、蒸留ユニット16)から除去されなければならない。不活性気体は、ジシラン供給源11及び任意選択的にトリシラン供給源12に圧力をかけ、反応器10への反応物を運搬することを可能にするためにも役立ち得る。窒素、冷凍エタノール、アセトン/ドライアイス混合物、或いはモノエチレングリコール(MEG)などの熱伝導剤、又はDow Corning Corp.によって商標SYLTHERM(商標)で販売される熱伝導流体を使用して、システムの種々の部分(例えば、蒸留セットアップ15、蒸留セットアップ16)を冷却してもよい。
反応器10は、加熱ジャケット(図示せず)によって所望の温度に維持されてもよい。加熱ジャケットは、熱交換器/冷却装置及び/又は加熱流体の再循環を提供するためのポンプ(図示せず)に連結した、入口及び出口(図示せず)を有していてよい。或いは、反応器10は、加熱テープ又は他の電気加熱機構(図示せず)によって、所望の温度に維持されてもよい。反応器10の含有物の温度を監視するために、温度センサー(図示せず)が使用されてもよい。
反応器10、並びにジシラン11及び任意選択的にトリシラン12反応物並びにいずれかの生成物及び副生成物と接触するいずれか及び全ての構成部分(「接触構成部分」)は、テトラシラン生成物24の意図されない反応及び/又は汚染を防ぐために、クリーンであり、且つ空気及び湿分を含まないべきである。反応器10及び他の接触構成部分は、シランと反応し得るか、又はシランを汚染し得るいずれの不純物も含まないべきである。また反応器10及び他の接触構成部分は、ジシラン11及び任意選択的にトリシラン12反応物、テトラシラン生成物、並びにいずれかの副生成物と相溶性であるべきである。
Si反応物11及び任意選択的なSi反応物12は、それぞれ、ライン21及び22を通して反応器10に添加される。図1に示すように、Si反応物11及び任意選択的なSi反応物12は、反応器10への導入の前にライン23において混合されてもよい。或いはSi反応物11及び任意選択的なSi反応物12は、ライン21と及び22(図示せず)を通して反応器10に直接導入されてもよい。Si反応物11及び任意選択的なSi反応物12は、隔膜ポンプ、蠕動ポンプ又はシリンジポンプなどの液体計量ポンプ(図示せず)を通して反応器10に添加されてもよい。好ましくは、混合は、ほぼ大気圧において、不活性雰囲気下で実行される。
添加終了後、反応の経過は、例えば、ガスクロマトグラフィーを使用して監視されてもよい。反応完成時、混合物20は圧力差によって反応器10から除去される。支配的な反応生成物は、SiH、Si10、Si12などである。
混合物20を容器(図示せず)中に回収して、次のプロセスステップの実行の前に新たな位置に輸送してもよい。或いは混合物20を、反応生成物をいずれの反応物及び反応副生成物からもさらに単離するために、蒸留ユニット15にすぐに誘導してもよい。蒸留ユニット15は、SiH反応副生成物25、n≧5であるSi2n+2反応副生成物26、並びにいずれの未反応Si反応物31及び任意選択的なSi反応物32からSi10生成物24を分離する。
再び、Si10生成物24は、次のプロセスステップの実行の前に新たな位置に輸送されてもよい。或いはSi10生成物24は、i-テトラシラン28からn-テトラシラン27を分離するために分別蒸留ユニット16に向けられてもよい。
当業者は、図1の例示的なシステムが、混合物20の単一バッチを製造するために使用され得るか、又は代わりに、連続的に混合物20を製造するためにフロースルー設計を利用してもよいことを認識するであろう。
図2は、開示された方法を実行するために適切な例示的なフロー反応器120の図である。図面を読みやすくするために、この図中に弁が含まれなかったことに留意されたい。
ライン102を通してフロー反応器120にSi(2n+2)反応物を供給するために、窒素を用いてSi(2n+2)反応物100を加圧する。ライン102は、ゲージ103a及び103b、フローメーター104及びフロー調節器105を含んでよい。フローメーター104は、反応物添加の速度を測定する。本方法で使用するのに適切な例示的なフローメーター104は、Cole-Palmerから入手可能なフローメーターを含む。フロー調節器105は、Si(2n+2)反応物100のフローを制御する。フロー調節器105は、段階的ニードル弁、電子フローメーターなどであってよい。ゲージ103a及び103bは圧力を測定して、したがって、調整するためにフロー調節器105と連通していてもよい。
フロー反応器120は、フロー反応器120中に浸漬された熱電対121を含む。本明細書の教示を逸脱することなく、それより多く又は少ない熱電対が使用されてよい。本明細書の教示において使用するために適切な例示的な熱電対としては、Type K又はType J熱電対が含まれる。
Si(2a+2)反応混合物は、ライン122を通してフロー反応器120を出る。a≧5であるいずれのSi2a+2反応生成物も濾過装置123中に捕捉される。圧力調節器124及びゲージ103cは、フロー反応器120からドライアイス/イソプロパノールトラップ130までSi(2a+2)反応混合物を移動する圧力差をもたらす。ドライアイス/イソプロパノールトラップ130は、約-78℃より高い温度で凝縮する、いずれのSi(2a+2)反応生成物も捕捉する。
ドライアイス/イソプロパノールトラップ中に捕捉されない、いずれの揮発性Si(2a+2)反応混合物も、ライン131を通して液体窒素トラップ140に凝縮される。液体窒素トラップ140は、約-78℃及び約-196℃未満で凝縮するいずれのSi(2a+2)反応生成物も捕捉する。ライン131は、真空ライン110にも接続している。圧力ゲージ103dは、ライン131における圧力を監視する。SiH副生成物は、ライン150を通して排気ガススクラバー(図示せず)に送られる。排気ガススクラバーへの途中でSiH副生成物を希釈するためにN 106が用いられる。チェックバルブ107は、この自然発火性副生成物の逆流を防ぐ。
図3は、図2のフロー反応器120の平面図である。フロー反応器120の平面図には、2つの加熱器、125a及び125b、並びに内部出口127を包囲する2つの外側入口、126a及び126bが含まれる。当業者は、フロー反応器120が円形、四角形又は他の三次元形状である場合、加熱器125a及び125b、並びに外側入口、126a及び126bが連結していてもよく、したがって、1つであり、且つ同一であってよいことを認識するであろう。或いは加熱器125a及び125b、並びに外側入口、126a及び126bは、例示されるように、フロー反応器120の対立側面に位置していてもよい。
入口126a及び126b並びに出口127の壁は、ステンレス鋼から製造されていてもよい。例えば、出口127は、1つの端部で閉鎖し、そして開放端部において入口126a及び126bを形成する、より大きい円筒形ステンレス鋼管によって包囲される中空円筒形ステンレス鋼管から形成されていてもよい。加熱器125a及び125bは、より大きい円筒形管の閉鎖端部周囲を包囲する電気テープであってもよい。或いは両方の円筒形ステンレス鋼管の両端は密閉され得、そして反応物の導入及び生成物の除去を可能にするように、注入ポート、圧力計、隔膜バルブなどを含んでいてもよい。別の選択肢において、出口127は、入口126a及び126b並びに開口部128に開口部を有する中空U型長方形鋼管で包囲された中空長方形ステンレス鋼管から形成されてもよい。
フロー反応器120の設計において、3つの異なるゾーンが生じる:点線入り破線の卵形によって示される予熱ゾーン;破線の卵形によって示される加熱ゾーン;及び点線入り卵形によって示される冷却ゾーン。Si(2n+2)反応物100は、フロー反応器120の入口126a及び126bに導入される。Si(2n+2)反応物100が加熱ゾーンに移行する前に、予熱ゾーンでいくらかの予熱が生じる。Si(2a+2)反応混合物20は、冷却ゾーンを通って移動し、出口127においてフロー反応器120を出る。
以下の実施例に示すように、Si単独の熱分解は、テトラ-、ペンタ-及び高級シランの形成を導き、テトラシラン収量は低い。Siの熱分解から得られるテトラシランは、約3:1の比率によるn-Si10とi-Si10との混合物としても現れる。その結果、2つの異性体を分離するのが難しい。SiがSiで希釈される場合、反応生成物中のテトラシランのフラクションは増加する。SiによるSiの希釈も、より高いn-Si10:i-Si10比を生じ、2つの異性体の分離をより容易にする。例えば、約75%モル/モル~99.9%モル/モルのSi及び約0.1%モル/モル~約25%モル/モルのSiを含む混合物によって、約5:1~約15:1の範囲の比率を有するn-Si10:i-Si10シラン混合物が生じる。約90%モル/モル~99.9%モル/モルのSi及び約0.1%モル/モル~約10%モル/モルのSiHを含む混合物によって、約8:1~約15:1の範囲の比率を有するn-Si10:i-Si10混合物が生じる。
n-Si10:i-Si10混合物の分別蒸留によって、約90%w/w~約100%w/wのn-Si10、好ましくは約95%w/w~約100%w/wのn-Si10、より好ましくは約97%w/w~約100%w/wのn-Si10を含むSi含有膜形成組成物が製造される。Si含有膜形成組成物は、約0%w/w~約10%w/wのi-Si10、好ましくは約0%w/w~約5%w/wのi-Si10;より好ましくは約0%w/w~約3%w/wのi-Si10をさらに含む。例えば、直径1cm及び長さ100cmのスピニングバンド蒸留カラムを使用する、3:1のn-Si10:i-Si10混合物の約192グラムの分別蒸留後、出願人は、約90%w/w~約95%w/wのn-テトラシランを製造することができた。当業者は、より高いn-Si10:i-Si10混合物及び/又はより長い蒸留カラムからより高純度のn-テトラシランが得られることを認識するであろう。
好ましくは、Si含有膜形成組成物は、約97%モル/モル~約100%モル/モル、好ましくは約99%モル/モル~約100%モル/モル、より好ましくは約99.5%モル/モル~約100%モル/モル、なおより好ましくは約99.97%モル/モル~約100%モル/モルの範囲の純度を有する。
Si含有膜形成組成物は、好ましくは、検出限度~100ppbwのそれぞれの電位金属汚染物質(例えば、少なくともAg、Al、Au、Ca、Cr、Cu、Fe、Mg、Mo、Ni、K、Na、Sb、Ti、Znなど)を含む。
Si含有膜形成組成物中のX(X=Cl、Br又はI)の濃度は、約0ppmw~約100ppmw、より好ましくは約0ppmw~約10ppmwの範囲であり得る。
下記の実施例で示すように、精製された生成物は、ガスクロマトグラフィー質量分光測定法(GCMS)によって分析されてよい。生成物の構造は、H及び/又は29Si NMRによって確認されてよい。
上記に詳細されるように、Si含有膜形成組成物は、その純度を維持するためにそれを反応させない、クリーンな乾燥貯蔵容器中に保存されなければならない。
開示された合成方法の利点は以下の通りである:
・費用、汚染及び生成物単離の問題を低減することを補助する、触媒フリープロセス;
・費用、汚染及び生成物単離の問題を低減することを補助する、ハロゲン化物フリープロセス;
・出発材料の多くは、安価且つ容易に入手可能である;
・1つの反応器での1ステップ反応;
・プロセスは溶媒不要である;
・より簡単な精製;
・低い反応発熱又は温度スパイクの欠如によって、プロセス間の正確な温度調節のための要求が排除される;
及び
・廃物の生成が最小限であり、環境に優しい。
蒸着方法のためのSi含有膜形成組成物を使用する方法も開示される。開示された方法は、ケイ素含有膜の堆積のためのSi含有膜形成組成物の使用を提供する。開示された方法は、半導体、光電子、LCD-TFT又はフラットパネル型デバイスの製造において有用であり得る。この方法は、その中に配置された基材を有する反応器中に、Si含有膜形成組成物の蒸気を導入することと、堆積プロセスによって基材上へ、Si含有膜形成組成物の少なくとも一部を堆積させて、Si含有層を形成することとを含む。
元素ケイ素膜などのケイ素含有膜は、当該技術において既知の蒸着方法で、上記のように得られるSi含有膜形成組成物を使用して、基材上で形成され得る。堆積方法の具体的な例としては、限定されないが、化学蒸着(CVD)、原子層堆積(ALD)、プラズマ源強化化学蒸着(PECVD)、プラズマ源は強化原子層堆積(PEALD)、パルス化学蒸着、低圧化学蒸着(LPCVD)、空間ALD(S-ALD)、空間CVD(S-ALD)、亜大気圧化学蒸着及び大気圧化学蒸着(APCVD)が含まれる。これらの中で、熱CVD堆積は、高い堆積レート、優れた膜均一性及び共形膜品質が必要とされるプロセスに関して好まれる。熱ALD堆積は、過酷な条件において高い均一性を有する膜を形成するプロセスに関して好まれる(例えば、トレンチ、正孔又はバイア)。一選択肢において、特に急速な成長、共形性、プロセス配向及び一方向膜が必要とされる場合、PECVD堆積は好ましい。別の選択肢において、特に困難な表面(例えば、トレンチ、正孔及びバイア)上に堆積される膜の優れた共形性が必要とされる場合、PEALD堆積プロセスは好ましい。
Si含有膜形成組成物は、蒸着プロセスを使用して、基材上で二金属含有層を形成するため、より特に、SiMO又はSiMN膜(式中、xが0~4であり得、且つMがTa、Nb、V、Hf、Zr、Ti、Al、B、C、P、As、Ge、ランタニド(Erなど)又はそれらの組合せである)の堆積のために使用されてもよい。
Si含有膜形成組成物は、基材上でケイ素含有層を形成するために使用され得、半導体、光起電力学、LCD-TFT又はフラットパネル型デバイスの製造において有用であり得る。Si含有膜形成組成物は、当該技術分野において既知のいずれかの蒸着方法を使用して、Si含有膜を堆積させ得る。適切な蒸着方法の例としては、化学蒸着(CVD)又は原子層堆積(ALD)が含まれる。例示的なCVD方法としては、熱CVD、プラズマ強化CVD(PECVD)、パルスCVD(PCVD)、低圧CVD(LPCVD)、亜大気圧CVD(SACVD)、又は大気圧CVD(APCVD)、流動性CVD(f-CVD)、金属有機化学蒸着(MOCVD)、熱線CVD(HWCVD、別名cat-CVD、熱線が堆積プロセスのエネルギー源として利用される)、ラジカル組み込みCVD及びそれらの組合せが含まれる。例示的なALD方法としては、熱ALD、プラズマ強化ALD(PEALD)、空間隔離ALD、熱線ALD(HWALD)、ラジカル組み込みALD及びそれらの組合せが含まれる。超臨界流体堆積も使用されてよい。堆積方法は、適切な段差被覆及び膜厚制御を提供するために、好ましくはALD、空間ALD又はPE-ALDである。
Si含有膜形成組成物の蒸気は、基材を含有する反応チェンバー中に導入される。反応チェンバー内の温度及び圧力並びに基材の温度は、基材上へのSi含有膜形成組成物の少なくとも一部の蒸着のために適切な条件に保持される。言い換えると、蒸発させた組成物のチェンバーへの導入後、チェンバー内の条件は、蒸発させた前駆体の少なくとも一部が基材上に堆積し、ケイ素含有膜を形成するようなものである。Si含有層の形成を補助するために共反応物が使用されてもよい。
反応チェンバーは、限定されないが、パラレルプレート型反応器、コールドウォール型反応器、ホットウォール型反応器、シングルウエハ反応器、マルチウエハ反応器又はその他のそのような種類の堆積システムなどの堆積方法が生じるデバイスのいずれかの筐体又はチェンバーであってよい。これらの例示的な反応チェンバーの全ては、ALD反応チェンバーとして有用である。反応チェンバーは、約0.5mTorr~約760Torrの範囲の圧力に維持されてよい。加えて、反応チェンバー内の温度は、約20℃~約700℃の範囲であってよい。当業者は、所望の結果を達成するために簡単な実験を通して温度が最適化され得ることを認識するであろう。
反応器の温度は、基材ホルダーの温度を制御すること及び/又は反応器壁部の温度を制御することのいずれかによって制御されてよい。基材を加熱するために使用されるデバイスは、当該技術分野において既知である。反応器壁部は、十分な成長速度で、そして所望の物理的状態及び組成で所望の膜を得るために十分な温度まで加熱されてよい。反応器壁が加熱され得る非限定的な例示的な温度範囲としては、約20℃~約700℃が含まれる。プラズマ堆積プロセスが利用される場合、堆積温度は約20℃~約550℃の範囲であってよい。或いは熱プロセスが実行される場合、堆積温度は約300℃~約700℃の範囲であってよい。
或いは、基材は、十分な成長速度で、そして所望の物理的状態及び組成で所望のケイ素含有膜を得るために十分な温度まで加熱されてよい。基材が加熱され得る非限定的な例示的な温度範囲としては、150℃~700℃が含まれる。好ましくは、基材の温度は500℃以下にされる。
その上にケイ素含有膜が堆積する基材の種類は、意図される最終使用次第で異なる。基材は、一般に、プロセスが実行される材料として定義される。基材としては、限定されないが、半導体、光電子、フラットパネル又はLCD-TFTデバイス製造において使用されるいずれの適切な基材も含まれる。適切な基材の例としては、ケイ素、シリカ、ガラス、Ge又はGaAsウエハなどのウエハが含まれる。ウエハは、以前の製造ステップから、その上に堆積された異なる材料の1つ又は複数の層を有していてもよい。例えば、ウエハは、ケイ素層(結晶質、非晶質、多孔性など)、酸化ケイ素層、窒化ケイ素層、オキシ窒化ケイ素層、カーボンドープされた酸化ケイ素(SiCOH)層、又はそれらの組合せを含み得る。追加的に、ウエハは、銅層、タングステン層又は金属層(例えば白金、パラジウム、ニッケル、ロジウム若しくは金)を含んでいてもよい。ウエハは、マンガン、酸化マンガン、タンタル、窒化タンタルなどのバリア層を含んでいてもよい。層は平坦であっても、又はパターン化されていてもよい。いくつかの実施形態において、基材は、パターン化されたフォトレジスト膜でコーティングされていてもよい。いくつかの実施形態において、基材は、MIM、DRAM又はFeRam技術で誘電体材料として使用される酸化物(例えば、ZrOベースの材料、HfOベースの材料、TiOベースの材料、希土類元素酸化物ベースの材料、三元酸化物ベースの材料など)の層、又は銅及び低k層の間のエレクトロマイグレーションバリア及び接着層として使用される窒化物ベースの膜(例えば、TaN)を含み得る。開示されたプロセスは、ウエハ上に直接、或いはウエハ上の層の1つ又は1つより多く(パターン化された層が基材を形成する場合)の上にケイ素含有層を直接堆積してもよい。さらに当業者は、本明細書中で使用される「膜」又は「層」という用語は、表面上に配置されたか、又は塗布されたいくつかの材料の厚さを指し、且つ表面がトレンチ又はラインであり得ることを認識するであろう。本明細書及び請求の範囲を通して、ウエハ及びその上の関連する層は、基材と示される。利用される実際の基材は、利用された特定の前駆体の実施形態次第であり得る。しかし多くの例において、利用される好ましい基材は、水素化カーボン、TiN、SRO、Ru及びSi型基材、例えばポリシラン又は結晶質ケイ素基材から選択される。
基材は、高アスペクト比を有するバイア又はトレンチを含むようにパターン化されてもよい。例えば、SiN又はSiOなどの共形Si含有膜は、約20:1~約100:1の範囲のアスペクト比を有するケイ素バイア(TSV)上で、いずれかのALD技術を使用して堆積され得る。
Si含有膜形成組成物は、そのままで供給されてよい。或いはSi含有膜形成組成物は、蒸着における使用に適切な溶媒と混合してもよい。溶媒は、中でも、C~C16飽和又は不飽和炭化水素から選択され得る。
蒸着のために、Si含有膜形成組成物は、チュービング及び/又はフローメーターなどの従来の手段によって蒸気の形態で反応器に導入される。蒸気の形態は、直接液体注入、担体ガス不在下の直接蒸気吸引などの従来の蒸発ステップによって、液体を通しての担体ガスのバブリングによって、又は液体を通してのバブリングを実行しない担体ガスによる蒸気のスウィーピングによって、Si含有膜形成組成物を蒸発させることによって製造され得る。Si含有膜形成組成物は、蒸発器に液体状態で供給されてよい(直接液体注入)。それは反応器に導入される前に、蒸発器中で蒸発され、そして担体ガスと混合される。或いは、組成物を含有する容器中に担体ガスを通過させることによって、又は組成物中に担体ガスをバブリングすることによって、Si含有膜形成組成物を蒸発させてもよい。担体ガスとしては、限定されないが、Ar、He又はN及びそれらの混合物が含まれてよい。次いで、担体ガス及び組成物は蒸気として反応器に導入される。
必要に応じて、Si含有膜形成組成物は、Si含有膜形成組成物が十分な蒸気圧を有することが可能となる温度まで加熱されてもよい。Si含有膜形成組成物は、例えば0~150℃の範囲の温度に維持されてもよい。当業者は、蒸発するSi含有膜形成組成物の量を制御するために既知の様式でSi含有膜形成組成物の温度が調整されてよいことを認識するであろう。
開示された組成物に加えて、反応ガスが反応器に導入されてもよい。反応ガスは、酸化剤、例えば、O;O;HO;H;酸素含有ラジカル、例えば、O・又はOH・;NO;NO;カルボン酸、例えば、ギ酸、酢酸、プロピオン酸;NO、NO又はカルボン酸のラジカル種;パラホルムアルデヒド;及びそれらの混合物であり得る。好ましくは、酸化剤は、O、O、HO、H、それらの酸素含有ラジカル、例えば、O・又はOH・及びそれらの混合物からなる群から選択される。好ましくは、ALDプロセスが実行される場合、共反応物はプラズマ処理された酸素、オゾン又はそれらの組合せである。酸化ガスが使用される場合、得られたケイ素含有膜は酸素も含有する。
或いは、反応ガスは、H、NH、(SiHN、ヒドリドシラン(例えば、SiH、Si、Si、Si10、Si10、Si12)、クロロシラン及びクロロポリシラン(例えば、SiHCl、SiHCl、SiHCl、SiCl、SiHCl、SiCl)、アルキルシラン(例えば、MeSiH、EtSiH、MeSiH、EtSiH)、ヒドラジン(例えば、N、MeHNNH、MeHNNHMe)、有機アミン(例えば、NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNH)、ジアミン、例えば、エチレンジアミン、ジメチルエチレンジアミン、テトラメチルエチレンジアミン、ピラゾリン、ピリジン、B含有分子(例えばB、トリメチルホウ素、トリエチルホウ素、ボラジン、置換ボラジン、ジアルキルアミノボラン)、アルキル金属(例えば、トリメチルアルミニウム、トリエチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛)、それらのラジカル種又はその混合物であり得る。H又は無機Si含有ガスが使用される場合、得られたケイ素含有膜は純粋なSiであり得る。
別の選択肢において、反応ガスは、限定されないが、エチレン、アセチレン、プロピレン、イソプレン、シクロヘキサン、シクロヘキセン、シクロヘキサジエン、ペンテン、ペンチン、シクロペンタン、ブタジエン、シクロブタン、テルピネン、オクタン、オクテン又はそれらの組合せなどの飽和又は不飽和、線形、分枝状又は環式の炭化水素であり得る。
反応ガスをそのラジカル型へと分解するために、反応ガスはプラズマによって処理されてもよい。プラズマで処理される場合、Nは還元剤として利用され得る。例えば、プラズマは、約50W~約500W、好ましくは約100W~約200Wの範囲の電力で発生させてよい。プラズマは発生し得るか、又は反応器自体の範囲内で存在し得る。或いはプラズマは、一般に反応器から取り出される位置、例えば離れて位置するプラズマシステム中に存在してもよい。当業者は、そのようなプラズマ処理のために適切な方法及び装置を認識するであろう。
所望のケイ素含有膜は、例えば、限定されないが、B、P、As、Zr、Hf、Ti、Nb、V、Ta、Al、Si又はGeなどの別の元素を含有する。
Si含有膜形成組成物及び1つ又は複数の共反応物は、同時に(化学蒸着)、順番に(原子層堆積)、又は他の組合せで反応チェンバー中に導入されてよい。例えば、Si含有膜形成組成物の蒸気が1パルスで導入され得、そして2つの追加的な金属供給源が別のパルスで一緒に導入されてよい(変性原子層堆積)。或いは反応チェンバーは、Si含有膜形成組成物の導入の前に、共反応物をすでに含有していてもよい。共反応物は、反応チェンバー内に局在化されたか、又はそれから離れたプラズマシステムに通されて、ラジカルに分解されてよい。或いは、他の前駆体又は反応物が断続的に導入される間、Si含有膜形成組成物は連続的に反応チェンバーに導入されてもよい(パルス化学蒸着)。別の選択肢において、Si含有膜形成組成物及び1つ又は複数の共反応物は、その下でいくつかのウエハを保持しているサセプターが回転されるシャワーヘッドから同時に噴霧されてもよい(空間ALD)。
1つの非限定的な例示的な原子層堆積プロセスでは、Si含有膜形成組成物の蒸気相が反応チェンバーに導入され、そこで、それが適切な基材と接触する。次いで、反応チェンバーをパージ及び/又は真空にすることによって、過剰な組成物は反応チェンバーから除去されてよい。酸素供給源は、自己制御様式で、吸収されたn-Si10とそれが反応する、反応チェンバーに導入される。反応チェンバーをパージ及び/又は真空にすることによって、いずれの過剰量の酸素供給源も反応チェンバーから除去される。所望の膜が酸化ケイ素膜である場合、このツーステッププロセスによって所望の膜の厚さが提供され得るか、又は必要な厚さを有する膜が得られるまで繰り返されてもよい。
或いは所望の膜がケイ素金属/メタロイド酸化物膜(すなわち、xが0~4であり得、且つMがB、Zr、Hf、Ti、Nb、V、Ta、Al、Si、Ga、Ge又はそれらの組合せであるSiMO)である場合、上記のツーステッププロセスの後に、反応チェンバー中に金属又はメタロイド含有前駆体の蒸気の導入が行われてもよい。金属又はメタロイド含有前駆体は、堆積されるケイ素金属/メタロイド酸化物膜の性質に基づいて選択される。反応チェンバーへの導入の後、金属又はメタロイド含有前駆体は基材と接触する。反応チェンバーをパージ及び/又は真空にすることによって、いずれの過剰量の金属又はメタロイド含有前駆体も反応チェンバーから除去される。再び、金属又はメタロイド含有前駆体と反応させるために、酸素供給源を反応チェンバー中に導入させてよい。反応チェンバーをパージ及び/又は真空にすることによって、過剰量の酸素供給源は反応チェンバーから除去される。所望の膜厚が達成されたら、プロセスを終了してよい。しかしながら、より厚い膜が望ましい場合、全4ステップのプロセスを繰り返してもよい。Si含有膜形成組成物、金属又はメタロイド含有前駆体及び酸素供給源の供給を交替することによって、所望の組成及び厚さの膜を堆積することができる。
追加的に、パルス数を変化させることによって、所望の化学量論的M:Si比を有する膜が得られ得る。例えば、それぞれのパルス後に酸素供給源のパルスを続けて、Si含有膜形成組成物の1パルス及び金属又はメタロイド含有前駆体の1パルスを有することによって、SiMO膜を得てもよい。しかしながら、当業者は、所望の膜を得るために必要なパルスの数が、得られた膜の化学量論的比と同一とはなり得ないことを認識するであろう。
上記プロセスから得られるケイ素含有膜は、SiO;SiC;SiN;SiON;SiOC;SiONC;SiBN;SiBCN;SiCN;SiMO、SiMN(式中、Mの酸化状態次第で、MはZr、Hf、Ti、Nb、V、Ta、Al、Geから選択される)を含み得る。当業者は、共反応物の適切な選択によって、所望の膜組成物が得られ得ることを認識するであろう。
所望の膜厚が得られたら、膜に、熱焼きなまし、炉焼きなまし、急速熱焼きなまし、UV又はeビーム硬化及び/又はプラズマガス暴露などのさらなる加工を受けさせてよい。当業者は、これらの追加的な加工ステップを実行するために利用されるシステム及び方法を認識するであろう。例えば、ケイ素含有膜は、不活性雰囲気、H含有雰囲気、N含有雰囲気又はそれらの組合せの下で、約0.1秒~約7200秒の範囲の時間で、約200℃~約1000℃の範囲の温度に暴露されてよい。最も好ましくは、温度は3600秒未満の間、600℃である。より好ましくは、温度は400℃未満である。焼きなましステップは、堆積プロセスが実行される同一反応チェンバーで実行されてよい。或いは基材を反応チェンバーから取り出し、別の装置で焼きなまし/フラッシュ焼きなましプロセスを実行してもよい。いずれもの上記の後処理方法、特にUV硬化は、膜がSiN含有膜である場合、膜の連結性及び架橋結合を強化して、そして膜のH含有量を減少するために有効であることがわかった。典型的に、400℃未満(好ましくは約100℃~300℃)までの熱焼きなまし及びUV硬化の組合せを使用して、最高密度を有する膜が得られる。
本発明の特性及び目的のさらなる理解のために、添付の図面と関連して、以下の詳細な説明が参照されるべきである。
本発明の実施形態をさらに説明するために、次の非制限的な実施例が提供される。しかしながら、実施例は包括的であるように意図されず、そして本明細書に記載される本発明の範囲を制限するように意図されない。
生成物流の一部又は生成物のアリコートを使用するガスクロマトグラフィー(GC)などのいずれかの適切な手段によって、反応生成物を分析し得る。以下の実施例において、Thermal Conductivity Detector(TCD)を備えたAgilent 7890A及びAgilent 6890 Gas Chromatographs上でGC分析を実行した。注入ポートは、不活性(N又はAr)雰囲気下にあった。
例示的なGC方法:カラム:Rtx-1(クロスボンドジメチルポリシロキサン)105m×0.53mm×5μm。検出器T=250℃;参照フロー:20mL/分;メイクアップフロー:5mL/分;担体ガス:5mL/分(ヘリウム);オーブン:35℃、8分、ランプ20℃/分、200℃、13分;インジェクター:200℃;スプリットレスモード;試料サイズ:1.0μL。
実施例1:異なる温度におけるSiの熱分解
外径1/8インチのステンレス鋼管反応器をカートリッジ加熱器の周囲に巻き付け、断熱した。反応器の内部体積は約2mLであった。反応器は、ガスクロマトグラフィー(GC)試料ループとGC入口との間に配置された。1mLガス試料ループにSi(純度99.99%w/w)を充填し、そしてこれをHe担体フロー(7.5cc/分、14.5psig)によって反応器を通してGCカラム中に直接移した。熱分解反応器中の推定滞留時間は、15~17秒の範囲であった。
異なる熱分解温度からの結果を下記の表1にまとめる:
Figure 2022504415000003
構造:
Figure 2022504415000004
これらの結果は、n-Si10に対するSiの熱分解の高い選択性を実証する。n-Si10:i-Si10は、320℃での40.3:1から360℃での8.5:1まで選択的に減少する。しかしながら、固体生成物が形成されない温度(すなわち、300~350℃)でのn-Si10の絶対収量は小さい。その結果、好ましくない固体副生成物を形成することなく、テトラシランのより高い収量を達成するために、Si及びSiの混合物は以下の実施例において低温で熱分解された。
実施例2:Si/Si混合物の熱分解
92%モル/モルのSi中の8%モル/モルのSiの混合物を使用して、実施例1を繰り返した。結果を表2に示す。
Figure 2022504415000005
実施例2は、異なる温度でn-Si10:i-Si10比が同等であり続けたことを示す。より詳しくは、比は300℃で7.5:1、320℃で7.8:1及び340℃で7.5:1である(図4)。実施例1に示すように、この比率は、ジシランの熱分解のための温度を増加させると減少する。その結果、この傾向は期待されない。この実施例は、混合物からの熱分解が純粋なジシランからの熱分解よりも多くのテトラシランを与えることを実証する。その結果、n-Si10に対する最高選択性と一緒に、テトラシランの最高収量を生じるプロセス条件の調整を実証するために、以下の実施例では種々のSi/Si混合物が研究された。
実施例3:Si/Si混合物の熱分解
種々のSi/Si混合物並びにSi単独で実施例2を繰り返した。表3に300℃における結果、そして図5に300℃及び320℃における結果を示す。
Figure 2022504415000006
図5は、300℃(ひし形)及び320℃(正方形)におけるSi中のSiの%モル/モルに対するnSi10:iSi10の比率のグラフである。見られるように、低量のSiによって、より高い量のn-Si10が生じる。比較において、国際公開第2017/018772号パンフレットの図5は、300℃及び320℃におけるSiの熱分解から非常に少量のテトラシランが生じることを実証し、そして図7は、375℃における50モル%のジシラン及び50モル%のトリシランから最高収量のテトラシランが製造されることを実証する。その結果、国際公開第2017/018772号パンフレットで収量が非常に低いことが実証されているため、当業者は、Si10を製造するために、主にSi反応物を熱分解することに積極的ではない。しかしながら、国際公開第2017/018772号パンフレットの教示に反して、より低比率のSi:Siから製造されるn-テトラシランのより高い量は、蒸着及び重合プロセスで使用するために適切なn-テトラシランのより簡単な単離及び精製をもたらす。
実施例1~3において、小規模熱分解反応を実行した。実施例4は、このプロセスが工業的体積まで規模変更され得ることを実証する。
実施例4:Si-Siの熱分解
100cmの加熱内部体積(及び154.44cmの全内部体積)を有する外径2.5cm×長さ30.48cmのステンレス鋼管フロー反応器をフレキシブルな加熱器で包囲し、断熱する。フロー反応器の温度は、ステンレス鋼管内に位置する熱電対及び温度制御装置によって制御される。フロー反応器中の圧力は、反応器の後の背圧調節器によって制御される。91.8%ww.のジシラン(73.3g、1.18モル、99.99%w/w純度)及び8.2%w/wのトリシラン(6.54g、0.071モル、99.98%w/w純度)を含有する79.8gの混合物を、340℃、1.7psigの圧力及び247sccmのフローレートにおいて、約13秒の滞留時間で、49Lのボトルからフロー反応器中に通過させる。熱分解生成物は、ドライアイス(70.1g回収)及び液体窒素(9.3g回収)によって冷却された2つの連続トラップ中で回収された。回収された生成物は、TCD検出器を備えたGCによって分析された。図6は、ドライアイストラップ中に回収された液体生成物のGCスペクトルである。ドライアイストラップ及び液体窒素トラップからのGC結果を下記の表4に示す。本実験では、固体形成は観察されなかった。
Figure 2022504415000007
これらの結果は、実施例2において得られる結果と類似しており、そして実験が工業的に拡大縮小可能であることを実証する。
実施例5:スピニングバンド蒸留によるn-テトラシラン分離
24.6%のiso-及び74.8%のn-テトラシランを含有する192gの出発材料を、ポット、蒸留ヘッド及び1m×1cmのスピニングバンド蒸留カラムからなるシステムに装填した。システムの圧力を50Tまで低下させ、そしてポットを加温した。30~32℃のヘッド温度で軽質フラクション(56g)を除去した。それは、それぞれ50.0%及び48.9%のiso-及びn-テトラシランを含有した。35℃のヘッド温度まで生成物フラクション(128g)を回収した。それは、それぞれ9.1%及び90.8%のiso-及びn-テトラシランを含有した。
実施例6:テトラシラン貯蔵寿命研究
2つのテトラシラン異性体の固定比が、室温及び35℃において安定なままであることを確認するために、貯蔵寿命研究が実行された。熱分解プロセスから得られるテトラシランを複数の容器中で室温において貯蔵した。別の容器は、35℃で貯蔵された。液体含有物を経時的にGCによって測定した。
6Lのアルミニウムシリンダーを52℃及び4.4x10-6Torrで真空ベークした。50mLのステンレス鋼容器を約200℃及び50mTorrで真空ベークした。1.2Lのステンレス鋼飲バブラーを約150℃及び85mTorrで真空ベークした。研究した容器のリスト及びそれらの中のテトラシランの量を表5に示す。
Figure 2022504415000008
図7は、周囲温度における経時的なn-Si10:i-Si10比のグラフである。
図8は、室温(三角形)又は35℃(正方形)における経時的な1.2Lステンレス鋼バブラー中のn-Si10:i-Si10比のグラフである。
異性体の比は、400日以内では全ての実験において同様である。偏差は2%未満である。n-Si10:i-Si10比における最高偏差は、50ccの未コーティング容器に関する1.9%である。これらの結果は、蒸着に関する経時的な組成物の安定性を実証する。
試験された組成物は、70~79%w/wのn-Si10及び21~29%のi-Si10を含有した。報告された組成による差異が10~20%のn-Si10であるため、90~95%w/wのn-Si10を有する組成物が同様の傾向を有することが予想される。
比較例1:
Peter Plichtaへの独国特許出願DE-2139155号明細書は、420℃においてSi、410℃においてn-Si10及び360℃においてn-Si12のガラスウールシリカゲル-白金接触を使用する、高真空における熱分解から得られるSi10、Si12、Si14、Si16、Si18及びSi20の異性体の相対量を開示する。異性体は、220℃においてガスクロマトグラフィーを使用して単離される。トリシランの熱分解に関するn-Si10:i-Si10の比=2.8:1は、統計的分布3:1の付近である。ジシランの熱分解に関しても同様のことが予想されるが、上記実施例1に示されるように、340℃における比率n-Si10:i-Si10=18.1:1は完全に予想外である。
比較例2:
Hazeltineへの米国特許第8,163,261号明細書の実施例1において、350℃でのジシランの熱分解によって0.15%のテトラシランが生じた。直径3/8インチの30フィートの予熱器及び50Lの第1の反応器中でのジシラン滞留時間は42秒であることが算出された。対照的に、実施例1の340℃での16秒のジシラン滞留時間によって、8.01%のジシランが変換されて、全0.61%のテトラシランが生じた。実施例1の360℃での16秒の滞留時間によって、26.451%のジシランが変換されて、全3.27%のテトラシランが生じ、これはHazeltineのテトラシラン収量の20倍増加である。実施例1のより低い滞留時間が両方ともより多くのジシランを変換し、そしてより多くのテトラシランを生じるため、実施例1の結果は、第3カラム、第1~5行のHazeltineの教示と直接相反する。
比較例3:
シラン、ジシラン、トリシラン及びその混合物の熱分解は、両方ともSK Materials Co Ltd.(「SK」)への国際公開第2017/018771号パンフレット及び国際公開第2017/018772号パンフレットに開示される。図4は、両出願において同一であり、ジシランの%w/w変換は、約350℃及び360℃において約4~5%であることを示す。図面は、1/2インチの外径、1.1cmの内径及び50cmの長さを有するステンレス鋼管における反応から作成された。空間速度は120/時間に設定された。これは30秒の滞留時間と一致する。しかしながら、上記の実施例1に示すように、340℃における16秒のジシラン滞留時間では8.01%のジシランが変換され、360℃では26.451%のジシランが変換された。これはSKのジシラン変換の約5倍増加である。比較例2のHazeltineと同様に、SKは、気体空間速度を増加させることが、発生する固体粒子の量を減少する利点を有すると記載しているが、それは未反応の原材料ガスの量を非常に増加させるため、反応器の体積が増加し、操作コストは増加する。実施例1のより低い滞留時間がより多くのジシランを変換したため、実施例1の結果はSKの教示と直接相反する。
国際公開第2017/018772号パンフレットの図12において、SKは、ジシラン及びトリシランの50/50混合物の375℃における熱分解によって最も多くのテトラシラン(すなわち、11.5重量%)が生じることを開示する。国際公開第2017/018722号パンフレットの図13は、ジシラン及びトリシランの25/75混合物に関して、テトラシランの量が減少することを示す(8.7重量%)。国際公開第2017/018772号パンフレットの図11は、ジシラン及びトリシランの50/50混合物は、20%w/wの固体粉末ポリシラン副生成物も生じることを開示する。図11は、固体反応副生成物が350℃より高い温度で生じることをさらに実証する。
対照的に、上記の実施例3に示すように、より多くのn-テトラシランは、Si中低体積のSiで生じる。国際公開第2017/018772号パンフレットの図12及び13は、50/50ジシラン/トリシラン混合物よりも75/25ジシラン/トリシラン混合物からのほうがより少ないトリシランが製造されることを明らかに開示しているため、それらより前のSKの刊行物を有する当業者は、ジシラン中のトリシランの量を低くすることに積極的ではなかった。しかしながら、国際公開第2017/018772号パンフレットの教示に反して、より低い比率のSi:Siから製造されたより高い量のn-テトラシランは、蒸着及び重合プロセスで使用するために適切なn-テトラシランのより簡単な隔離及び精製をもたらす。
比較例4:商業的に入手可能なn-テトラシランのハロゲン化物濃度
確立された市販のn-テトラシランベンダーのカタログからの商業的に入手可能なn-テトラシランをGCによって分析した。商業的に入手可能な製品は、34.3%w/wのi-Si10及び64.1%w/wのn-Si10を含有し、Si10の全量は98.4%w/wであり、そしてそれは0.1%w/wのSi、0.5%w/wのSi、0.6%w/wのSi12、0.3%w/wのSi14、0.1%w/wのSi16を含有する。比率n-Si10:i-Si10=1.9:1。低級及び高級シランと一緒のi-Si10/n-Si10のそのような組成物は、i-Si10及びn-Si10(低級及び高級シラン)の異なる沸点のため、経時的に蒸気圧ドリフトを生じ得る。これは再現不可能なサイクルあたりの成長速度をもたらし得る。これは蒸着プロセスに関して許容できるものではない。
本発明の実施形態が示され、説明されたが、本発明の精神又は教示から逸脱することなく、当業者はそれらの修正を実行することができる。本明細書に記載される実施形態は例示のみを目的とし、制限するものではない。組成物及び方法の多くの変形及び修正が可能であり、且つ本発明の範囲内である。したがって、保護の範囲は、本明細書に記載される実施形態に制限されず、特許請求の範囲によってのみ制限され、その範囲は、特許請求の範囲の対象の全ての等価物を含むであろう。
開示された合成方法が実行され得る例示的な装置の概略図である。 開示された合成方法が実行され得る例示的なフロースルー装置の概略図であ る。 図2の反応器の一実施形態の平面図である。 Si、Si及びSi-Si混合物の熱分解後の、 温度(℃)に対するn-Si10/i-Si10比のグラフである。 300℃(ひし形)及び320℃(正方形)におけるSi中のSiの%モル/モルに対するnSi10:iSi10比のグラフである。 実施例4のSi(91.8%モル/モル)及びSi (8.2% モル/モル)混合物の熱分解後にドライアイストラップ中に回収された液体生成物の ガスクロマトグラムである。 周囲温度における経時的なn-Si10:i-Si10比のグラフ である。 室温(三角形)又は35℃(ひし形)における経時的な1.2Lステンレス 鋼バブラー中のn-Si10:i-Si10比のグラフである。
Si (2n+2) 反応混合物は、ライン122を通してフロー反応器120を出る。a≧5であるいずれのSi (2n+2) 反応生成物も濾過装置123中に捕捉される。圧力調節器124及びゲージ103cは、フロー反応器120からドライアイス/イソプロパノールトラップ130までSi (2n+2) 反応混合物を移動する圧力差をもたらす。ドライアイス/イソプロパノールトラップ130は、約-78℃より高い温度で凝縮する、いずれのSi (2n+2) 反応生成物も捕捉する。
ドライアイス/イソプロパノールトラップ中に捕捉されない、いずれの揮発性Si (2n+2) 反応混合物も、ライン131を通して液体窒素トラップ140に凝縮される。液体窒素トラップ140は、約-78℃から約-196℃未満で凝縮するいずれの (2n+2) 反応生成物も捕捉する。ライン131は、真空ライン110にも接続している。圧力ゲージ103dは、ライン131における圧力を監視する。SiH副生成物は、ライン150を通して排気ガススクラバー(図示せず)に送られる。排気ガススクラバーへの途中でSiH副生成物を希釈するためにN 106が用いられる。チェックバルブ107は、この自然発火性副生成物の逆流を防ぐ。
フロー反応器120の設計において、3つの異なるゾーンが生じる:点線入り破線の卵形によって示される予熱ゾーン;破線の卵形によって示される加熱ゾーン;及び点線入り卵形によって示される冷却ゾーン。Si(2n+2)反応物100は、フロー反応器120の入口126a及び126bに導入される。Si(2n+2)反応物100が加熱ゾーンに移行する前に、予熱ゾーンでいくらかの予熱が生じる。Si (2n+ 2) 反応混合物20は、冷却ゾーンを通って移動し、出口127においてフロー反応器120を出る。
以下の実施例に示すように、Si3H8単独の熱分解は、テトラ-、ペンタ-及び高級シランの形成を導き、テトラシラン収量は低い。Siの熱分解から得られるテトラシランは、約3:1の比率によるn-Si10とi-Si10との混合物としても現れる。その結果、2つの異性体を分離するのが難しい。SiがSiで希釈される場合、反応生成物中のテトラシランのフラクションは増加する。SiによるSiの希釈も、より高いn-Si10:i-Si10比を生じ、2つの異性体の分離をより容易にする。例えば、約75%モル/モル~99.9%モル/モルのSi及び約0.1%モル/モル~約25%モル/モルのSiを含む混合物によって、約5:1~約15:1の範囲の比率を有するn-Si10:i-Si10シラン混合物が生じる。約90%モル/モル~99.9%モル/モルのSi及び約0.1%モル/モル~約10%モル/モルのSi を含む混合物によって、約8:1~約15:1の範囲の比率を有するn-Si10:i-Si10混合物が生じる。
n-Si10:i-Si10混合物の分別蒸留によって、約90%w/w~約100%w/wのn-Si10、好ましくは約95%w/w~約100%w/wのn-Si10、より好ましくは約97%w/w~約100%w/wのn-Si を含むSi含有膜形成組成物が製造される。Si含有膜形成組成物は、約0%w/w~約10%w/wのi-Si10、好ましくは約0%w/w~約5%w/wのi-Si10;より好ましくは約0%w/w~約3%w/wのi-Si10をさらに含む。例えば、直径1cm及び長さ100cmのスピニングバンド蒸留カラムを使用する、3:1のn-Si10:i-Si10混合物の約192グラムの分別蒸留後、出願人は、約90%w/w~約95%w/wのn-テトラシランを製造することができた。当業者は、より高いn-Si10:i-Si10 比を有する混合物及び/又はより長い蒸留カラムからより高純度のn-テトラシランが得られることを認識するであろう。
実施例4:Si-Siの熱分解
100cmの加熱内部体積(及び154.44cmの全内部体積)を有する外径2.5cm×長さ30.48cmのステンレス鋼管フロー反応器をフレキシブルな加熱器で包囲し、断熱する。フロー反応器の温度は、ステンレス鋼管内に位置する熱電対及び温度制御装置によって制御される。フロー反応器中の圧力は、反応器の後の背圧調節器によって制御される。91.8%ww.のジシラン(73.3g、1.18モル、99.99%w/w純度)及び8.2%w/wのトリシラン(6.54g、0.071モル、99.98%w/w純度)を含有する79.8gの混合物を、340℃、1.7psigの圧力及び247sccmのフローレートにおいて、約13秒の滞留時間で、49Lのボトルからフロー反応器中に通過させる。熱分解生成物は、ドライアイス(70.1g回収)及び液体窒素(9.3g回収)によって冷却された2つの連続トラップ中で回収された。回収された生成物は、TCD検出器を備えたGCによって分析された。図6は、ドライアイストラップ中に回収された液体生成物のGCスペクトルである。ドライアイストラップ及び液体窒素トラップからのGC結果を下記の表4に示す。本実験では、固体形成は観察されなかった。
試験された組成物は、70~79%w/wのn-Si10及び21~29%w/ のi-Si10を含有した。報告された組成による差異が10~20%のn-Si10であるため、90~95%w/wのn-Si10を有する組成物が同様の傾向を有することが予想される。
国際公開第2017/018772号パンフレットの図12において、SKは、ジシラン及びトリシランの50/50混合物の375℃における熱分解によって最も多くのテトラシラン(すなわち、11.5重量%)が生じることを開示する。国際公開第2017/01872号パンフレットの図13は、ジシラン及びトリシランの25/75混合物に関して、テトラシランの量が減少することを示す(8.7重量%)。国際公開第2017/018772号パンフレットの図11は、ジシラン及びトリシランの50/50混合物は、20%w/wの固体粉末ポリシラン副生成物も生じることを開示する。図11は、固体反応副生成物が350℃より高い温度で生じることをさらに実証する。

Claims (20)

  1. n-テトラシランを選択的に合成する方法であって、
    約250℃~約360℃の範囲の温度までSi及びSiの混合物を加熱することによって約5:1~約15:1の範囲の比率を有するn-Si10:i-Si10混合物を製造すること
    を含む、方法。
  2. 約5秒~約30秒の範囲の時間、前記温度に前記混合物を維持することをさらに含む、請求項1に記載の方法。
  3. 前記混合物が、約0.1%モル/モル~約25%モル/モルのSi及び約75%モル/モル~99.9%モル/モルのSiを含む、請求項1に記載の方法。
  4. 前記混合物が、約0.1%モル/モル~約10%モル/モルのSi及び約90%モル/モル~99.9%モル/モルのSiを含む、請求項3に記載の方法。
  5. n-Si10:i-Si10の比率が約8:1~約15:1の範囲である、請求項4に記載の方法。
  6. 前記混合物を予熱することをさらに含む、請求項1に記載の方法。
  7. 前記n-Si10:i-Si10混合物を冷却することをさらに含む、請求項6に記載の方法。
  8. 前記予熱及び冷却を反応器中で実行する、請求項7に記載の方法。
  9. n-Si10:i-Si10シラン混合物を分別蒸留して、約90%w/w~約100%w/wのn-Si10を含むSi含有膜形成組成物を製造することをさらに含む、請求項1に記載の方法。
  10. n-テトラシランを選択的に合成する方法であって、
    約5:1~約15:1の範囲の比率を有するn-Si10:i-Si10混合物を製造するために、約250℃~約360℃の範囲の温度まで反応器中でSi及び任意選択的にSiの混合物を加熱すること
    を含む、方法。
  11. 約5秒~約30秒の範囲の時間、前記温度に前記混合物を維持することをさらに含む、請求項10に記載の方法。
  12. 前記混合物が、約0.1%モル/モル~約25%モル/モルのSi及び約75%モル/モル~99.9%モル/モルのSiを含む、請求項10に記載の方法。
  13. 前記混合物が、約0.1%モル/モル~約10%モル/モルのSi及び約90%モル/モル~99.9%モル/モルのSiを含む、請求項12に記載の方法。
  14. n-Si10:i-Si10の比率が約8:1~約15:1の範囲である、請求項13に記載の方法。
  15. 前記混合物を予熱することをさらに含む、請求項1に記載の方法。
  16. 前記n-Si10:i-Si10混合物を冷却することをさらに含む、請求項15に記載の方法。
  17. 前記予熱及び冷却を反応器中で実行する、請求項16に記載の方法。
  18. n-Si10:i-Si10シラン混合物を分別蒸留して、約90%w/w~約100%w/wのn-Si10を含むSi含有膜形成組成物を製造することをさらに含む、請求項10に記載の方法。
  19. 約95%w/w~約100%w/wのn-Si10を含む、Si含有膜形成組成物。
  20. 約0ppmw~約100ppmwのハロゲン化物汚染物質をさらに含む、請求項19に記載のSi含有膜形成組成物。
JP2021518933A 2018-10-11 2019-10-11 異性体エンリッチド高級シランを製造するためのプロセス Active JP7143514B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US16/158,003 US20200115241A1 (en) 2018-10-11 2018-10-11 Process for producing isomer enriched higher silanes
US16/158,003 2018-10-11
US16/409,133 2019-05-10
US16/409,133 US11230474B2 (en) 2018-10-11 2019-05-10 Process for producing isomer enriched higher silanes
PCT/US2019/055786 WO2020077177A1 (en) 2018-10-11 2019-10-11 Process for producing isomer enriched higher silanes

Publications (2)

Publication Number Publication Date
JP2022504415A true JP2022504415A (ja) 2022-01-13
JP7143514B2 JP7143514B2 (ja) 2022-09-28

Family

ID=70159856

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021518933A Active JP7143514B2 (ja) 2018-10-11 2019-10-11 異性体エンリッチド高級シランを製造するためのプロセス

Country Status (6)

Country Link
US (1) US11230474B2 (ja)
EP (1) EP3863968A4 (ja)
JP (1) JP7143514B2 (ja)
KR (1) KR102603850B1 (ja)
CN (1) CN112805240A (ja)
WO (1) WO2020077177A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11649168B2 (en) * 2020-05-08 2023-05-16 Taiwan Speciality Chemicals Corporation Purification method of high-purity n-tetrasilane

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61220324A (ja) * 1985-03-26 1986-09-30 Canon Inc 堆積膜形成法
JP2008536784A (ja) * 2005-04-05 2008-09-11 ボルテツクス・インコーポレイテツド Si2h6およびより高次のシランを製造するためのシステムおよび方法
JP2016522140A (ja) * 2013-04-24 2016-07-28 エボニック デグサ ゲーエムベーハーEvonik Degussa GmbH ポリシランの製造方法および製造装置
KR20170013076A (ko) * 2015-07-27 2017-02-06 에스케이머티리얼즈 주식회사 고차실란의 선택도 조절방법 및 이를 이용한 고차실란의 생성방법

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03185817A (ja) 1989-12-15 1991-08-13 Seiko Epson Corp 半導体膜の形成方法
JP3185817B2 (ja) 1992-06-16 2001-07-11 東洋紡績株式会社 感熱記録用空洞含有ポリエステル系フィルム
JP3484815B2 (ja) 1994-05-09 2004-01-06 昭和電工株式会社 薄膜トランジスタの製造方法
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
JP2000031066A (ja) 1998-07-10 2000-01-28 Sharp Corp シリコン膜の形成方法及び太陽電池の製造方法
US7906094B2 (en) 2007-01-18 2011-03-15 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for producing a high purity trisilane product from the pyrolysis of disilane
JP4305513B2 (ja) 2007-01-18 2009-07-29 セイコーエプソン株式会社 高次シラン組成物、膜付基板の製造方法、電気光学装置および電子デバイス
DE102009048087A1 (de) * 2009-10-02 2011-04-07 Evonik Degussa Gmbh Verfahren zur Herstellung höherer Hydridosilane
DE102010002405A1 (de) 2010-02-26 2011-09-01 Evonik Degussa Gmbh Verfahren zur Oligomerisierung von Hydridosilanen, die mit dem Verfahren herstellbaren Oligomerisate und ihre Verwendung
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
JP2012004542A (ja) * 2010-05-20 2012-01-05 Tokyo Electron Ltd シリコン膜の形成方法およびその形成装置
WO2012002994A1 (en) 2010-07-02 2012-01-05 Matheson Tri-Gas, Inc. Selective epitaxy of si-containing materials and substitutionally doped crystalline si-containing materials
DE102010041842A1 (de) 2010-10-01 2012-04-05 Evonik Degussa Gmbh Verfahren zur Herstellung höherer Hydridosilanverbindungen
JP2012138500A (ja) 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
KR101231370B1 (ko) 2012-06-13 2013-02-07 오씨아이머티리얼즈 주식회사 모노실란의 열분해에 의한 디실란의 제조방법 및 제조장치
US20140120678A1 (en) 2012-10-29 2014-05-01 Matheson Tri-Gas Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
JP5925673B2 (ja) * 2012-12-27 2016-05-25 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
DE102013020518A1 (de) 2013-12-11 2015-06-11 Forschungszentrum Jülich GmbH Fachbereich Patente Verfahren und Vorrichtung zur Polymerisation einer Zusammensetzung enthaltend Hydridosilane und anschließenden Verwendung der Polymerisate zur Herstellung von siliziumhaltigen Schichten
WO2016069180A1 (en) 2014-10-30 2016-05-06 Applied Materials, Inc. Method to grow thin epitaxial films at low temperature
US20170018427A1 (en) 2015-07-15 2017-01-19 Applied Materials, Inc. Method of selective epitaxy
KR101758113B1 (ko) 2015-07-27 2017-07-26 에스케이머티리얼즈 주식회사 테트라실란 및 펜타실란의 제조방법
WO2017213155A1 (ja) * 2016-06-10 2017-12-14 昭和電工株式会社 オリゴシランの製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61220324A (ja) * 1985-03-26 1986-09-30 Canon Inc 堆積膜形成法
JP2008536784A (ja) * 2005-04-05 2008-09-11 ボルテツクス・インコーポレイテツド Si2h6およびより高次のシランを製造するためのシステムおよび方法
JP2016522140A (ja) * 2013-04-24 2016-07-28 エボニック デグサ ゲーエムベーハーEvonik Degussa GmbH ポリシランの製造方法および製造装置
KR20170013076A (ko) * 2015-07-27 2017-02-06 에스케이머티리얼즈 주식회사 고차실란의 선택도 조절방법 및 이를 이용한 고차실란의 생성방법

Also Published As

Publication number Publication date
JP7143514B2 (ja) 2022-09-28
WO2020077177A1 (en) 2020-04-16
US20200115242A1 (en) 2020-04-16
KR20210057822A (ko) 2021-05-21
US11230474B2 (en) 2022-01-25
CN112805240A (zh) 2021-05-14
EP3863968A1 (en) 2021-08-18
EP3863968A4 (en) 2022-07-06
KR102603850B1 (ko) 2023-11-17

Similar Documents

Publication Publication Date Title
TW201739752A (zh) 參(二矽烷基)胺
TWI773643B (zh) 五氯二矽烷
JP6934045B2 (ja) トリクロロジシラン
JP7117461B2 (ja) 異性体富化高級シランの製造方法
JP7143514B2 (ja) 異性体エンリッチド高級シランを製造するためのプロセス
JP7203232B2 (ja) 液体ポリシラン及び異性体エンリッチド高級シランを製造するためのプロセス
US20200115238A1 (en) Process for producing isomer enriched higher silanes
US20200115241A1 (en) Process for producing isomer enriched higher silanes
JP7113136B2 (ja) 液体ポリシラン及び異性体エンリッチド高級シランを製造するためのプロセス

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210406

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210406

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20210420

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20210422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210519

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220419

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220421

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220729

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220830

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220914

R150 Certificate of patent or registration of utility model

Ref document number: 7143514

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150