KR20180099716A - 펜타-치환된 디실란을 사용한 규소-함유 막의 증기 증착 - Google Patents

펜타-치환된 디실란을 사용한 규소-함유 막의 증기 증착 Download PDF

Info

Publication number
KR20180099716A
KR20180099716A KR1020187019584A KR20187019584A KR20180099716A KR 20180099716 A KR20180099716 A KR 20180099716A KR 1020187019584 A KR1020187019584 A KR 1020187019584A KR 20187019584 A KR20187019584 A KR 20187019584A KR 20180099716 A KR20180099716 A KR 20180099716A
Authority
KR
South Korea
Prior art keywords
silicon
film
substrate
forming composition
range
Prior art date
Application number
KR1020187019584A
Other languages
English (en)
Other versions
KR102676392B1 (ko
Inventor
진-마크 지랄드
창히 코
이반 오슈체프코브
카주타카 야나기타
신고 오쿠보
나오토 노다
줄리엔 가티뉴
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드, 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20180099716A publication Critical patent/KR20180099716A/ko
Application granted granted Critical
Publication of KR102676392B1 publication Critical patent/KR102676392B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Acyclic And Carbocyclic Compounds In Medicinal Compositions (AREA)

Abstract

펜타-치환된 디실란, 예를 들어, 펜타할로디실란 또는 펜타키스(디메틸아미노)디실란을 사용한 증기 증착 공정을 통해 기판 상에 규소-함유 막을 증착시키는 방법이 개시된다.

Description

펜타-치환된 디실란을 사용한 규소-함유 막의 증기 증착
관련 출원에 대한 상호 참조문헌
본 출원은 2015년 12월 28일에 출원된 미국특허출원번호 제14/979,816호의 이익을 주장하며, 이러한 문헌은 전문이 모든 목적을 위하여 본원에 참고로 포함된다.
기술 분야
펜타-치환된 디실란, 예를 들어, 펜타클로로디실란 또는 펜타키스(디메틸아미노)디실란을 사용한 증기 증착 공정을 통해 기판 상에 규소-함유 막을 증착시키는 방법이 개시된다.
규소-함유 막은 반도체 소자에서 가장 중요한 구성요소들 중 하나이며, 이의 다양한 제조 공정의 개발이 고도로 연구되고 있다. 산화규소(SiO2) 막은 커패시터(capacitor) 및 절연층으로서 사용될 수 있다(D. Tahir 등, "Electronic and optical properties of Al2O3/SiO2 films grown on Si substrate", J. Phys. D: Appl. Phys. 43, 255301 (2010)). 질화규소(SiN), 탄화규소(SiC) 및 탄질화규소(SiCN) 막은 하드 마스크(hard mask), 확산 배리어(diffusion barrier) 또는 트렌치 에칭-정지층(trench etch-stop layer)으로서 사용될 수 있다(Si-Yi Li 등, "Selectivity Control in Plasma Etching for Dual Damascene with OSG films", Abstract #242, 199th ECS Meeting (2001); Ping Xu 등, "A Breakthrough in Low-k Barrier/Etch Stop Films for Copper Damascene Applications", Semiconductor FABTECH, 11, 239 (2000); Y.L. Wang 등, "Integration of SiCN as a Low k Etch Stop and Cu Passivation in a High Performance Cu/Low k Interconnect", Materials 5, 377-384 (2012)).
증기 증착 전구체로서 펜타클로로디실란(PCDS 또는 Si2HCl5) 및 펜타키스(디메틸아미노)디실란(Si2H(NMe2)5)의 사용은 당해 분야에 기술되어 있다(예를 들어, US2004/224089호(Singh 등), WO2007/112780호(Dussarrat), 및 US2008/026149호(Tomasini 등) 참조).
그러나, 규소-함유 막의 상업적 증착에 적합한 규소-함유 전구체를 발견하는 것은 여전히 어려운 과제이다.
기판 상에 규소-함유 막을 증착하기 위한 증기 증착 공정이 개시된다. Si-함유 막 형성 전구체의 증기는 기판을 포함한 반응기에 도입된다. Si-함유 막 형성 조성물은 펜타-치환된 디실란 전구체를 포함한다. 펜타-치환된 디실란 막 형성 전구체의 적어도 일부는 규소-함유 막을 형성하기 위해 기판 상에 증착된다. 개시된 공정은 하기 양태들 중 하나 이상을 추가로 포함할 수 있다:
● 펜타-치환된 디실란 전구체는 펜타클로로디실란(PCDS 또는 Si2HCl5)임;
● 펜타-치환된 디실란 전구체는 펜타요오도디실란(Si2HI5)임;
● 펜타-치환된 디실란 전구체는 펜타브로모디실란(Si2HBr5)임;
● 펜타-치환된 디실란 전구체는 펜타플루오로디실란(Si2HF5)임;
● 펜타-치환된 디실란 전구체는 펜타키스(디메틸아미노)디실란[Si2H(NMe2)5]임;
● Si-함유 막 형성 조성물은 대략 99% w/w 내지 대략 100% w/w의 펜타-치환된 디실란 전구체를 포함함;
● Si-함유 막 형성 조성물은 대략 95% w/w 내지 대략 100% w/w의 펜타-치환된 디실란 전구체를 포함함;
● Si-함유 막 형성 조성물은 대략 0% w/w 내지 대략 5% w/w 불순물을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w 불순물을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w 불순물을 포함함;
● 불순물은 화학식 Si2HxXy(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기이며, x는 0, 2, 또는 3이며, x+y는 6임)를 갖는 헥사-, 테트라-, 및 트리스-치환된 디실란; 화학식 SiX4(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기임)를 갖는 테트라-치환된 실란; 화학식 SiHX3(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기임)을 갖는 트리스-치환된 실란; 화학식 Si3HxXy(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기이며, x+y는 8이며, x는 1 내지 6임)를 갖는 부분적으로 치환된 트리실란; 화학식 SiHX2-O-SiX3(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기임)을 갖는 실록산; 화학식 HxXySi-O-SiHxXy(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기이며, x는 0, 2, 또는 3이며, x+y는 3임)를 갖는 실록산; 화학식 SiHxXy-O-SiHxXy(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기 수소이며, x+y는 3이며, x는 0 또는 1임)를 갖는 실록산; 화학식 HxXySi-O-(Si2HaXb)(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기이며, x+y는 3이며, a+b는 6이며, x는 1 내지 3이며, a는 0, 2, 또는 3임)를 갖는 실록산; 화학식 HxXySi-O-SiHaXb-O-SiHxXy(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기이며, x+y는 3이며, a+b는 2이며, x는 1 내지 3이며, a는 0 내지 2임)를 갖는 실록산; 요오드; 브롬; 염소; 디알킬아민, 예를 들어, 디메틸아민 또는 에틸아민; THF; 에테르; 펜탄; 헥산; 시클로헥산; 헵탄; 벤젠; 톨루엔; 또는 이들의 조합을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 화학식 Si2X6(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기임)을 갖는 헥사-치환된 디실란을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 화학식 Si2H2X4(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기임)를 갖는 테트라-치환된 디실란을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 화학식 Si2H3X3(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기임)을 갖는 트리스-치환된 디실란을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 화학식 SiX4(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기임)를 갖는 테트라-치환된 실란을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 화학식 SiHX3(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기임)을 갖는 트리스-치환된 실란을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 화학식 Si3HxXy(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기이며, x+y는 8이며, x는 1 내지 6임)를 갖는 부분적으로 치환된 트리실란을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 화학식 SiHX2-O-SiX3(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기임)을 갖는 실록산을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 화학식 HxXySi-O-SiHxXy(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기이며, x는 0, 2, 또는 3이며, x+y는 3임)를 갖는 실록산을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 화학식 SiHxXy-O-SiHxXy(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기 수소이며, x+y는 3이며, x는 0 또는 1임)를 갖는 실록산을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 화학식 HxXySi-O-(Si2HaXb)(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기이며, x+y는 3이며, a+b는 5이며, x는 1 내지 3이며, a는 0, 2, 또는 3임)를 갖는 실록산을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 화학식 HxXySi-O-SiHaXb-O-SiHxXy(여기서, X는 Cl, Br, I, 또는 NR2이며, R은 H 또는 C1-C4 알킬 기이며, x+y는 3이며, a+b는 2이며, x는 1 내지 3이며, a는 0 내지 2임)를 갖는 실록산을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 디알킬아민, 예를 들어, 디메틸아민 또는 에틸아민을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 THF를 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 에테르를 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 펜탄을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 헥산을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 시클로헥산을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 헵탄을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 벤젠을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 톨루엔을 포함함;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 1 ppmw의 금속 불순물을 포함함;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 금속 불순물을 포함함;
● 증기 증착 공정은 원자층 증착(ALD) 공정임;
● 증기 증착 공정은 화학적 증기 증착(CVD) 공정임;
● 반응기에 산소-함유 반응물을 도입하는 것을 추가로 포함함;
● 산소-함유 반응물은 O2, O3, H2O, H2O2, NO, N2O, NO2, 카르복실산, 포름산, 아세트산, 프로피온산, 이들의 산소 함유 라디칼, 또는 이들의 혼합물임;
● 산소-함유 반응물은 O2, O3, H2O, 이들의 산소 함유 라디칼, 또는 이들의 혼합물임;
● 산소-함유 반응물은 O2, O3, 이들의 산소 함유 라디칼, 또는 이들의 혼합물임;
● 산소-함유 반응물은 O3과 O2의 혼합물임;
● 혼합물은 적어도 5% v/v O3을 포함함;
● 산소-함유 반응물은 7.2% v/v의, O2 중 O3의 혼합물임;
● 규소-함유 막은 산화규소임;
● 산화규소 막은 묽은 HF 용액(0.5 내지 1% HF)을 사용하여 열적 증착에 의해 증착된 산화규소의 습식 에칭률의 10배 이하의 습식 에칭률을 가짐;
● 산화규소 막은 대략 450℃ 내지 대략 800℃ 범위의 온도에서 증착됨;
● 산화규소 막은 대략 500℃ 내지 대략 800℃ 범위의 온도에서 증착됨;
● 산화규소 막은 대략 500℃ 내지 대략 700℃ 범위의 온도에서 증착됨;
● 산화규소 막은 플라즈마를 사용하지 않고 증착됨;
● 열적 ALD 공정은 대략 550℃ 내지 대략 600℃ 범위의 온도에서 산화규소 막을 증착시킴;
● 열적 ALD 공정은 대략 100℃ 내지 대략 400℃ 범위의 온도에서 산화규소 막을 증착시킴;
● 산화규소 막은 대략 0.75 Torr(100 Pa) 내지 대략 1.25 Torr(167 Pa) 범위의 압력에서 증착됨;
● 플라즈마 ALD 공정은 대략 실온 내지 대략 400℃ 범위의 온도에서 산화규소 막을 증착시킴;
● 산화규소 막은 대략 0 원자% 내지 대략 5 원자%의 탄소를 함유함;
● 산화규소 막은 대략 0 원자% 내지 대략 2.5 원자%의 탄소를 함유함;
● 산화규소 막은 대략 0 원자% 내지 대략 1 원자%의 탄소를 함유함;
● 산화규소 막은 대략 0 원자% 내지 대략 1 원자%의 질소를 함유함;
● 산화규소 막은 대략 0 원자% 내지 대략 1 원자%의 염소를 함유함;
● 산화규소 막은 1:7의 종횡비의 경우에 대략 70% 내지 대략 100%의 단차 피복을 나타냄;
● 산화규소 막은 1:20의 종횡비의 경우에 대략 70% 내지 대략 100%의 단차 피복을 나타냄;
● 산화규소 막은 1:7의 종횡비의 경우에 대략 90% 내지 대략 100%의 단차 피복을 나타냄;
● 산화규소 막은 1:20의 종횡비의 경우에 대략 90% 내지 대략 100%의 단차 피복을 나타냄;
● 기판은 대략 10:1 내지 대략 100:1 범위의 종횡비를 갖는 홀 또는 트렌치를 포함함;
● 기판은 대략 10:1 내지 대략 40:1 범위의 종횡비를 갖는 홀 또는 트렌치를 포함함;
● 산화규소 막은 홀 또는 트렌치의 대략 80% 내지 대략 100% 범위의 단차 피복을 얻음;
● 산화규소 막은 대략 0.75 Å(0.075 nm) 내지 대략 1 Å(0.1 nm) 범위의 사이클(cycle) 당 성장을 가짐;
● 반응기에 질소-함유 반응물을 도입하는 것을 추가로 포함함;
● 질소-함유 반응물은 NH3, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 이들의 질소-함유 라디칼 종, 및 이들의 혼합물임;
● 질소-함유 반응물은 NH3임;
● 규소-함유 막은 질화규소임;
● 질화규소 막은 대략 450℃ 내지 대략 650℃ 범위의 온도에서 증착됨;
● 질화규소 막은 대략 0.1 Torr(13 Pa) 내지 대략 100 Torr(13,332 Pa) 범위의 압력에서 증착됨;
● 질화규소 막은 대략 4.75 Torr(633 Pa) 내지 대략 5.25 Torr(700 Pa) 범위의 압력에서 증착됨;
● 플라즈마를 사용하지 않고 질화규소 막을 증착함;
● 질화규소 막은 대략 0.3 Å(0.03 nm) 내지 대략 2 Å(0.2 nm) 범위의 사이클 당 성장을 가짐;
● 산화규소 막은 대략 1 Å(0.1 nm) 내지 대략 2 Å(0.2 nm) 범위의 사이클 당 성장을 가짐;
● 질화규소 막은 대략 1.7 내지 대략 2.2 범위인 굴절률을 가짐;
● 질화규소 막은 대략 1.8 내지 대략 2.1 범위인 굴절률을 가짐;
● 질화규소 막은 대략 2.0 내지 대략 2.1 범위인 굴절률을 가짐;
● 반응기에 아민, 알킬아미노실란, 또는 디실라잔을 도입하는 것을 추가로 포함함;
● 아민은 암모니아임;
● 알킬아미노실란은 비스(디에틸아미노)실란임;
● 알킬아미노실란은 트리스(디메틸아미노)실란임;
● 디실라잔은 헥사메틸디실라잔임;
● 규소 함유 막은 탄소 도핑된 질화규소 막임;
● 탄소 도핑된 질화규소 막은 대략 5 원자% 내지 대략 40 원자% 범위의 탄소 농도를 가짐;
● 탄소 도핑된 질화규소 막은 대략 20 원자% 내지 대략 60 원자% 범위의 질소 농도를 가짐;
● 탄소 도핑된 질화규소 막은 대략 0 원자% 내지 대략 5 원자% 범위의 산소 농도를 가짐;
● 공정은 플라즈마 강화 원자층 증착(PEALD) 공정임;
● 반응기에 질소-함유 반응물을 도입하는 것을 추가로 포함함;
● 질소-함유 반응물은 N2, NH3, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, N2와 H2의 혼합물, 이들의 질소-함유 라디칼 종, 및 이들의 혼합물임;
● 질소-함유 반응물은 NH3, N2, N2와 H2의 혼합물, 및 이들의 혼합물임;
● N2와 H2의 혼합물은 대략 0% v/v 내지 대략 70% v/v의 H2를 함유함;
● N2와 H2의 혼합물은 대략 50% v/v의 H2를 함유함;
● 규소 함유 막은 탄소 도핑된 질화규소 막임;
● PEALD 공정은 대략 100℃ 내지 대략 350℃ 범위의 온도에서 탄소 도핑된 질화규소 막을 증착시킴;
● 탄소 도핑된 질화규소 막은 대략 1.6 내지 대략 2.1 범위의 굴절률을 가짐;
● 탄소 도핑된 질화규소 막은 대략 1 원자% 내지 대략 15 원자% 범위의 탄소 농도를 가짐;\
● 규소-함유 막은 비정질 또는 다결정질 규소 막임;
● 비정질 또는 다결정질 규소 막은 대략 550℃ 내지 대략 800℃ 범위의 온도에서 증착됨;
● 비정질 또는 다결정질 규소 막은 대략 0.1 Torr(13 Pa) 내지 대략 100 Torr(13,332 Pa) 범위의 압력에서 증착됨;
● 반응기에 불활성 가스를 도입하는 것을 추가로 포함함;
● 반응기에 환원 가스를 도입하는 것을 추가로 포함함;
● 규소 막은 대략 0 원자% 내지 대략 5 원자%의 탄소를 함유함;
● 규소 막은 대략 0 원자% 내지 대략 1 원자%의 질소를 함유함;
● 규소 막은 대략 0 원자% 내지 대략 1 원자%의 염소를 함유함;
● 규소 막은 비정질 규소 막임;
● 규소 막은 폴리규소 막임;
● 기판은 대략 1:10 내지 대략 1:100 범위의 종횡비를 갖는 홀 또는 트렌치를 포함함;
● 기판은 대략 1:10 내지 대략 1:40 범위의 종횡비를 갖는 홀 또는 트렌치를 포함함; 또는
● 규소 막은 홀 또는 트렌치의 대략 80% 내지 대략 100% 범위의 단차 피복을 얻음.
또한, 기판 상에 탄화규소 막을 증기 증착 공정이 개시된다. 규소-함유 막 형성 조성물의 증기는 기판(들)을 포함한 반응기에 도입된다. 포함하는 규소-함유 막 형성 조성물은 규소 할라이드를 포함한다. 규소 할라이드의 적어도 일부는 탄화규소 막을 형성하기 위해 기판(들) 상에 증착된다. 알킬 치환된 금속 또는 메탈로이드는 반응기에 도입된다. 알킬 치환된 금속 또는 메탈로이드의 적어도 일부는 탄화규소 막을 형성하기 위해 기판 상에 증착된다. 개시된 공정은 하기 양태들 중 하나 이상을 추가로 포함할 수 있다:
● Si-함유 막 형성 조성물은 대략 99% w/w 내지 대략 100% w/w의 규소 할라이드를 포함함;
● Si-함유 막 형성 조성물은 대략 95% w/w 내지 대략 100% w/w의 규소 할라이드를 포함함;
● Si-함유 막 형성 조성물은 대략 0% w/w 내지 대략 5% w/w의 불순물을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 2.0% w/w의 불순물을 포함함;
● Si-함유 막 형성 조성물은 대략 0.0% w/w 내지 대략 1.0% w/w의 불순물을 포함함;
● 불순물은 헥사-치환된 디실란; 알킬아민; 디알킬아민; 알킬이민; 요오도실란; 아미노실란; 리튬, 소듐, 또는 포타슘 요오다이드; 요오드; THF; 에테르; 펜탄; 시클로헥산; 헵탄; 벤젠; 톨루엔; 할로겐화된 금속 화합물을 포함함;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 1 ppmw의 금속 불순물을 포함함;
● Si-함유 막 형성 조성물은 대략 0 ppbw 내지 대략 500 ppbw의 금속 불순물을 포함함;
● 규소 할라이드는 적어도 하나의 할로겐 원자를 함유함;
● 규소 할라이드는 적어도 하나의 규소-할로겐 결합을 함유함;
● 규소 할라이드는 화학식 SiX4(여기서, 각 X는 독립적으로, Cl, Br, I, H, 또는 R이며, R은 지방족 기임)를 가짐;
● 규소 할라이드는 SiCl3H임;
● 규소 할라이드는 SiH2Cl2임;
● 규소 할라이드는 SiH3Cl임;
● 규소 할라이드는 SiI2H2임;
● 규소 할라이드는 SiHMeI2임;
● 규소 할라이드는 SiMe2I2임;
● 규소 할라이드는 SiHxCl3-x(CH2Cl)(여기서, x는 0 내지 3임)임;
● 규소 할라이드는 SiH3(CH2Cl)임;
● 규소 할라이드는 SiH2Cl(CH2Cl)임;
● 규소 할라이드는 SiHCl2(CH2Cl)임;
● 규소 할라이드는 SiCl3(CH2Cl)임;
● 규소 할라이드는 화학식 Si2X6(여기서, 각 X는 독립적으로, Cl, Br, I, 또는 H임)을 가짐;
● 규소 할라이드는 펜타클로로디실란임;
● 규소 할라이드는 펜타브로모디실란임;
● 규소 할라이드는 펜타요오도디실란임;
● 규소 할라이드는 펜타플루오로디실란임;
● 규소 할라이드는 헥사클로로디실란임;
● 규소 할라이드는 헥사요오도디실란임;
● 규소 할라이드는 모노클로로디실란임;
● 규소 할라이드는 모노브로모디실란임;
● 규소 할라이드는 모노요오도디실란임;
● 규소 할라이드는 1,1-디클로로디실란[Cl2HSi-SiH3]임;
● 규소 할라이드는 디브로모디실란[H2BrSi-SiBrH2]임;
● 규소 할라이드는 디요오도디실란[H2ISi-SiIH2]임;
● 규소 할라이드는 디클로로디실란[H3Si-SiHCl2]임;
● 규소 할라이드는 디브로모디실란[H3Si-SiHBr2]임;
● 규소 할라이드는 디요오도디실란[H3Si-SiHI2]임;
● 규소 할라이드는 화학식 X3Si-CH2-SiX3(여기서, 각 X는 독립적으로, Cl, Br, I, 또는 H임)을 가짐;
● 규소 할라이드는 비스(디클로로실릴)메탄[(SiClH2)2CH2]임;
● 규소 할라이드는 모노클로로트리실라펜탄[H3Si-CH2-SiH2Cl]임;
● 규소 할라이드는 화학식 X3Si-CH2-CH2-SiX3(여기서, 각 X는 독립적으로, Cl, Br, I, 또는 H임)을 가짐;
● 규소 할라이드는 화학식 X3Si-CH2-SiX2-CH2-SiX3(여기서, 각 X는 독립적으로, Cl 또는 H이며, 단, 적어도 하나의 말단 X는 Cl임)을 가짐;
● 규소 할라이드는 화학식 Cl3Si-CH2-SiCl2-CH2-SiCl3을 가짐;
● 규소 할라이드는 화학식 H3Si-CH2-SiH2-CH2-SiClH2를 가짐;
● 규소 할라이드는 화학식 (-SiX2-CH2-)3(여기서, 각 X는 독립적으로, Cl, Br, 또는 I임)을 가짐;
● 규소 할라이드는 화학식 (-SiHX-CH2-)3(여기서, 각 X는 독립적으로, Cl, Br, 또는 I임)을 가짐;
● 규소 할라이드는 옥타클로로트리실란(OCTS)임;
● 규소 할라이드는 데카클로로테트라실란(Si4Cl10)임;
● 규소 할라이드는 도데카클로로펜타실란(DCPS 또는 Si5Cl12)임;
● 알킬 치환된 금속 또는 메탈로이드는 화학식 AlR3(여기서, 각 R은 독립적으로, H, Me, Et, nPr, iPr, nBu, iBu, 또는 NR2이며, 단, R3이 H3일 때, 분자에는 아민 또는 보로하이드라이드가 부가될 수 있음)을 가짐;
● 알킬 치환된 금속 또는 메탈로이드는 트리에틸 알루미늄임;
● 알킬 치환된 금속 또는 메탈로이드는 트리메틸 알루미늄임;
● 알킬 치환된 금속 또는 메탈로이드는 AlH3·NH3임;
● 알킬 치환된 금속 또는 메탈로이드는 화학식 BR3(여기서, 각 R은 독립적으로, 알킬 또는 알릴 기임)을 가짐;
● 알킬 치환된 금속 또는 메탈로이드는 트리에틸 보론임;
● 알킬 치환된 금속 또는 메탈로이드는 트리메틸 보론임;
● 알킬 치환된 금속 또는 메탈로이드는 화학식 AlClR2(여기서, 각 R은 독립적으로, H, Me, Et, nPr, iPr, nBu, 또는 iBu, 또는 NR2임)를 가짐;
● 알킬 치환된 금속 또는 메탈로이드는 화학식 BXR2(여기서, X는 Cl, Br, 또는 I이며, 각 R은 독립적으로, 알킬 또는 알릴 기임)를 가짐;
● 알킬 치환된 금속 또는 메탈로이드는 화학식 ZnR2(여기서, 각 R은 독립적으로, Me, Et, nPr, iPr, nBu, 또는 iBu임)를 가짐;
● 알킬 치환된 금속 또는 메탈로이드는 화학식 GaR3(여기서, 각 R은 독립적으로, Me, Et, nPr, iPr, nBu, iBu, 또는 NR2임)을 가짐;
● 알킬 치환된 금속 또는 메탈로이드는 트리메틸 갈륨임; 및
● 알킬 치환된 금속 또는 메탈로이드는 화학식 InR3(여기서, 각 R은 독립적으로, Me, Et, nPr, iPr, nBu, iBu, 또는 NR2임)을 가짐.
또한, 유입구 도관 및 유출구 도관을 갖는 캐니스터를 포함하고 상술된 임의의 Si-함유 막 형성 조성물을 함유하는 Si-함유 막 형성 조성물 전달 장치가 개시된다. 개시된 장치는 하기 양태들 중 하나 이상을 포함할 수 있다:
● Si-함유 막 형성 조성물은 10 ppmw 미만의 총 농도의 금속 오염물을 가짐;
● 유입구 도관 단부의 단부는 Si-함유 막 형성 조성물의 표면 위에 위치되며, 유출구 도관의 단부는 Si-함유 막 형성 조성물의 표면 아래에 위치됨;
● 유입구 도관 단부의 단부는 Si-함유 막 형성 조성물의 표면 아래에 위치되며, 유출구 도관의 단부는 Si-함유 막 형성 조성물의 표면 위에 위치됨;
● 유입구 및 유출구 상에 다이아프램 밸브를 추가로 포함함;
● 캐니스터의 내부 표면 상에 하나 이상의 배리어 층을 추가로 포함함;
● 캐니스터의 내부 표면 상에 1개 내지 4개의 배리어 층을 추가로 포함함;
● 캐니스터의 내부 표면 상에 1개 또는 2개의 배리어 층을 추가로 포함함;
● 각 배리어 층은 산화규소 층, 질화규소 층, 산질화규소 층, 탄질화규소, 산탄질화규소 층, 또는 이들의 조합을 포함함;
● 여기서, 각 배리어 층은 5 내지 1000 nm 두께임;
● 여기서, 각 배리어 층은 50 내지 500 nm 두께임;
● Si-함유 막 형성 조성물은 펜타클로로디실란(PCDS 또는 Si2HCl5)을 포함함;
● Si-함유 막 형성 조성물은 펜타요오도디실란(Si2HI5)을 포함함;
● Si-함유 막 형성 조성물은 펜타브로모디실란(Si2HBr5)을 포함함;
● Si-함유 막 형성 조성물은 펜타플루오로디실란(Si2HF5)을 포함함;
● Si-함유 막 형성 조성물은 펜타키스(디메틸아미노)디실란[Si2H(NMe2)5]을 포함함;
● Si-함유 막 형성 조성물은 SiCl3H를 포함함;
● Si-함유 막 형성 조성물은 SiH2Cl2를 포함함;
● Si-함유 막 형성 조성물은 SiH3Cl을 포함함;
● Si-함유 막 형성 조성물은 SiI2H2를 포함함;
● Si-함유 막 형성 조성물은 SiHMeI2를 포함함;
● Si-함유 막 형성 조성물은 SiMe2I2를 포함함;
● Si-함유 막 형성 조성물은 SiHxCl3 -x(CH2Cl)(여기서, x는 0 내지 3임)을 포함함;
● Si-함유 막 형성 조성물은 SiH3(CH2Cl)을 포함함;
● Si-함유 막 형성 조성물은 SiH2Cl(CH2Cl)을 포함함;
● Si-함유 막 형성 조성물은 SiHCl2(CH2Cl)을 포함함;
● Si-함유 막 형성 조성물은 SiCl3(CH2Cl)을 포함함;
● Si-함유 막 형성 조성물은 화학식 Si2X6(여기서, 각 X는 독립적으로, Cl, Br, I, 또는 H임)을 갖는 규소 할라이드를 포함함;
● Si-함유 막 형성 조성물은 펜타클로로디실란을 포함함;
● Si-함유 막 형성 조성물은 펜타브로모디실란을 포함함;
● Si-함유 막 형성 조성물은 펜타요오도디실란을 포함함;
● Si-함유 막 형성 조성물은 펜타플루오로디실란을 포함함;
● Si-함유 막 형성 조성물은 헥사클로로디실란을 포함함;
● Si-함유 막 형성 조성물은 헥사요오도디실란을 포함함;
● Si-함유 막 형성 조성물은 모노클로로디실란을 포함함;
● Si-함유 막 형성 조성물은 모노브로모디실란을 포함함;
● Si-함유 막 형성 조성물은 모노요오도디실란을 포함함;
● Si-함유 막 형성 조성물은 1,1-디클로로디실란[Cl2HSi-SiH3]을 포함함;
● Si-함유 막 형성 조성물은 디브로모디실란[H2BrSi-SiBrH2]을 포함함;
● Si-함유 막 형성 조성물은 디요오도디실란[H2ISi-SiIH2]을 포함함;
● Si-함유 막 형성 조성물은 디클로로디실란[H3Si-SiHCl2]을 포함함;
● Si-함유 막 형성 조성물은 디브로모디실란[H3Si-SiHBr2]을 포함함;
● Si-함유 막 형성 조성물은 디요오도디실란[H3Si-SiHI2]을 포함함;
● Si-함유 막 형성 조성물은 화학식 X3Si-CH2-SiX3(여기서, 각 X는 독립적으로, Cl, Br, I, 또는 H임)을 갖는 규소 할라이드를 포함함;
● Si-함유 막 형성 조성물은 비스(디클로로실릴)메탄[(SiClH2)2CH2]를 포함함;
● Si-함유 막 형성 조성물은 모노클로로트리실라펜탄[H3Si-CH2-SiH2Cl]을 포함함;
● Si-함유 막 형성 조성물은 화학식 X3Si-CH2-CH2-SiX3(여기서, 각 X는 독립적으로, Cl, Br, I, 또는 H임)을 갖는 할라이드를 포함함;
● Si-함유 막 형성 조성물은 화학식 X3Si-CH2-SiX2-CH2-SiX3(여기서, 각 X는 독립적으로, Cl 또는 H이며, 단, 적어도 하나의 말단 X는 Cl임)을 갖는 규소 할라이드를 포함함;
● Si-함유 막 형성 조성물은 화학식 Cl3Si-CH2-SiCl2-CH2-SiCl3을 갖는 규소 할라이드를 포함함;
● Si-함유 막 형성 조성물은 화학식 H3Si-CH2-SiH2-CH2-SiClH2를 갖는 규소 할라이드를 포함함;
● Si-함유 막 형성 조성물은 화학식 (-SiX2-CH2-)3(여기서, 각 X는 독립적으로, Cl, Br, 또는 I임)을 갖는 규소 할라이드를 포함함;
● Si-함유 막 형성 조성물은 화학식 (-SiHX-CH2-)3(여기서, 각 X는 독립적으로, Cl, Br, 또는 I임)을 갖는 규소 할라이드를 포함함;
● Si-함유 막 형성 조성물은 옥타클로로트리실란(OCTS)을 포함함;
● Si-함유 막 형성 조성물은 데카클로로테트라실란(Si4Cl10)을 포함함; 및
● Si-함유 막 형성 조성물은 도데카클로로펜타실란(DCPS 또는 Si5Cl12)을 포함함
표기법 및 명칭
특정 약어, 기호, 및 용어는 하기 설명 및 청구범위 전반에 걸쳐 사용되고, 하기를 포함한다.
본원에서 사용되는 부정 관사("a" 또는 "an")는 하나 이상을 의미한다.
본원에서 사용되는 용어 "대략" 또는 "약"은 기술된 값의 ±10%를 의미한다.
본원에서 사용되는 용어 "독립적으로"는, R 기를 기술하는 문맥에서 사용될 때, 대상 R 기가 동일하거나 상이한 아래첨자 또는 위첨자를 갖는 다른 R 기에 대해 독립적으로 선택될뿐만 아니라 그러한 동일한 R 기의 임의의 추가적인 종에 대해 독립적으로 선택됨을 나타내는 것으로 이해되어야 한다. 예를 들어, 화학식 MR1 x (NR2R3)(4-x)(여기서, x는 2 또는 3임)에서, 2개 또는 3개의 R1 기는 서로 또는 R2 또는 R3과 동일할 수 있지만, 반드시 그럴 필요는 없다. 또한, 달리 상세하게 기술하지 않는 한, R 기의 수치가 상이한 화학식에서 사용될 때 서로 독립적인 것으로 이해되어야 한다.
본원에서 사용되는 용어 "알킬 기"는 오로지 탄소 원자 및 수소 원자를 함유한 포화된 작용기를 지칭한다. 또한, 용어 "알킬 기"는 선형, 분지형, 또는 환형 알킬 기를 지칭한다. 선형 알킬 기의 예는 비제한적으로, 메틸 기, 에틸 기, 프로필 기, 부틸 기, 등을 포함한다. 분지형 알킬 기의 예는 비제한적으로, t-부틸을 포함한다. 환형 알킬 기의 예는 비제한적으로, 시클로프로필 기, 시클로펜틸 기, 시클로헥실 기, 등을 포함한다.
본원에서 사용되는 약어 "Me"는 메틸 기를 지칭하며, 약어 "Et"는 에틸 기를 지칭하며, 약어 "Pr"은 프로필 기를 지칭하며, 약어 "iPr"은 이소프로필 기를 지칭하며, 약어 "Bu"는 부틸을 지칭하며, 약어 "tBu"는 3차-부틸을 지칭하며, 약어 "sBu"는 2차-부틸을 지칭한다.
증착된 막 또는 층, 예를 들어, 산화규소 또는 질화규소가 명세서 및 청구범위 전반에 걸쳐 이의 적절한 화학량론(즉, SiO2, SiO3, Si3N4)에 대한 언급 없이 나열될 수 있다는 것을 유의한다. 층은 순수한(Si) 층, 카바이드(SioCp) 층, 니트라이드(SikNl) 층, 옥사이드(SinOm) 층, 또는 이들의 혼합물을 포함할 수 있으며, 여기서, k, l, m, n, o, 및 p는 포괄적으로, 1 내지 6의 범위이다. 예를 들어, 산화규소는 SinOm이며, 여기서, n은 0.5 내지 1.5의 범위이며, m은 1.5 내지 3.5의 범위이다. 더욱 바람직하게, 산화규소 층은 SiO2 또는 SiO3이다. 산화규소 층은 산화규소 기반 유전 물질, 예를 들어, 유기 기반, 또는 산화규소 기반 저-k 유전 물질, 예를 들어, Applied Materials, Inc.에 의한 Black Diamond II 또는 III 물질일 수 있다. 대안적으로, 임의의 언급된 규소-함유 층은 순수한 규소일 수 있다. 임의의 규소-함유 층은 또한, 도펀트, 예를 들어, B, C, P, As 및/또는 Ge를 포함할 수 있다.
용어 "포괄적으로"가 사용되는 지의 여부와는 무관하게, 본원에 기술된 임의의 범위 및 모든 범위는 이의 종결점을 포함한다(즉, x=1 내지 4, 또는 x는 1 내지 4의 범위이다는 x=1, x=4, 및 x=이들 사이의 임의의 수를 포함한다).
원소의 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소가 이러한 약어에 의해 언급될 수 있는 것으로 이해되어야 한다(예를 들어, Mn은 망간을 지칭하며, Si는 규소를 지칭하며, C는 탄소를 지칭한다. 등).
본 발명의 본질 및 목적의 추가 이해를 위하여, 첨부된 도면과 함께 하기 상세한 설명이 참조될 것이다.
도 1은 본원에 기술된 Si-함유 막 형성 조성물 전달 장치의 일 구현예의 측면도이다.
도 2는 본원에 기술된 Si-함유 막 형성 조성물 전달 장치의 제2 구현예의 측면도이다.
도 3은 실시예 10을 제외한 모든 실시예에서 시험하기 위해 사용된 증기 증착 장비의 개략도이다.
도 4(a)는 대략 400℃에서 PCDS의 열 분해로부터 얻어진 Si 막의 X-선 광전자 분광법(XPS) 깊이 프로파일(depth profile)이다.
도 4(b)는 대략 500℃에서 PCDS의 열 분해로부터 얻어진 Si 막의 XPS 깊이 프로파일이다.
도 4(c)는 대략 600℃에서 PCDS의 열 분해로부터 얻어진 Si 막의 XPS 깊이 프로파일이다.
도 4(d)는 대략 700℃에서 PCDS의 열 분해로부터 얻어진 Si 막의 XPS 깊이 프로파일이다.
도 5(a)는 대략 400℃에서 HCDS의 열 분해로부터 얻어진 Si 막의 XPS 깊이 프로파일이다.
도 5(b)는 대략 500℃에서 HCDS의 열 분해로부터 얻어진 Si 막의 XPS 깊이 프로파일이다.
도 5(c)는 대략 600℃에서 HCDS의 열 분해로부터 얻어진 Si 막의 XPS 깊이 프로파일이다.
도 5(d)는 대략 700℃에서 HCDS의 열 분해로부터 얻어진 Si 막의 XPS 깊이 프로파일이다.
도 6은 대략 500℃ 내지 대략 700℃ 범위의 온도에 대한 PCDS(원형) 또는 HCDS(삼각형) 및 오존을 사용하여 증착된 SiO2 막의 옹스트롱/사이클 단위의 평균 ALD 증착률의 그래프이다.
도 7은 초 단위의 PCDS 펄스 시간에 대한, 대략 600℃(사각형) 또는 대략 550℃(다이아몬드)에서 PCDS 및 오존을 사용한 SiO2 막의 옹스트롱/사이클 단위의 평균 ALD 성장률의 그래프이다.
도 8(a)는 대략 500℃에서 Si 기판 상에 PCDS 및 오존을 사용한 ALD 증착으로부터 얻어진 SiO2 막의 XPS 깊이 프로파일이다.
도 8(b)는 대략 600℃에서 Si 기판 상에 PCDS 및 오존을 사용한 ALD 증착으로부터 얻어진 SiO2 막의 XPS 깊이 프로파일이다.
도 8(c)는 대략 650℃에서 Si 기판 상에 PCDS 및 오존을 사용한 ALD 증착으로부터 얻어진 SiO2 막의 XPS 깊이 프로파일이다.
도 8(d)는 대략 700℃에서 Si 기판 상에 PCDS 및 오존을 사용한 ALD 증착으로부터 얻어진 SiO2 막의 XPS 깊이 프로파일이다.
도 9는 대략 600℃에서 PCDS 및 오존을 사용하여 350회 ALD 사이클에 의해 40:1의 종횡비를 갖는 깊은 홀 패턴 웨이퍼 상에 증착된 SiO2 막의 단차 피복을 나타낸 주사 전자 현미경(SEM) 사진이다.
도 9(a)는 도 9의 구조의 상부의 SiO2 단차 피복을 나타낸 확대된 SEM 사진이다.
도 9(b)는 도 9의 구조의 중앙의 SiO2 단차 피복을 나타낸 확대된 SEM 사진이다.
도 9(c)는 도 9의 구조의 하부의 SiO2 단차 피복을 나타낸 확대된 SEM 사진이다.
도 10은 대략 50℃ 내지 대략 100℃ 범위의 온도에 대한 PCDS, 물, 및 트리에틸아민(TEA)을 사용하여 증착된 SiO2 막의 옹스트롱/사이클 단위의 평균 ALD 증착률(다이아몬드) 및 굴절률(사각형)의 그래프이다.
도 11은 PCDS, 물, 및 TEA를 사용하여 ALD 증착으로부터 얻어진 산화규소 막의 XPS 깊이 프로파일이다.
도 12는 대략 450℃ 내지 대략 600℃ 범위의 온도에 대한 PCDS(원형) 및 HCDS(사각형) 및 NH3을 사용하여 증착된 SiN 막의 옹스트롱/분 단위의 평균 CVD 증착률(빈 도형(hollow)) 및 굴절률(채워진 도형(filled))의 그래프이다.
도 13은 대략 350℃ 내지 대략 600℃ 범위의 온도에 대한 PCDS(원형), HCDS(사각형), 및 옥타클로로트리실란(삼각형) 및 NH3을 사용하여 증착된 SiN 막의 옹스트롱/사이클 단위의 평균 ALD 증착률(빈 도형) 및 굴절률(채워진 도형)의 그래프이다.
도 14는 600℃에서 PCDS 및 NH3으로 증착된 SiN 막에 대한 XPS 깊이 프로파일이다.
도 15는 400℃에서 PCDS 및 트리메틸알루미늄을 사용하여 ALD 증착으로부터 얻어진 SiC 막의 XPS 깊이 프로파일이다.
도 16은 Si 공급원으로서 PCDS(사각형) 또는 HCDS(삼각형) 및 탄소 공급원으로서 트리메틸알루미늄(TMA)을 사용하여 ALD를 통해 증착된 SiC 막의 측정 위치에 대한 막 두께 및 굴절률을 나타낸 그래프이다.
도 17은 실시예 10에서 사용되는 플라즈마 증기 증착 장비의 개략도이다.
도 18은 275℃에서 펜타키스(디메틸아미노)디실란 및 N2 플라즈마를 사용하여 ALD 증착으로부터 얻어진 Si(C)N 막의 XPS 깊이 프로파일이다.
도 19는 초 단위의 노출 시간에 대한 펜타키스(디메틸아미노)디실란 및 N2 플라즈마를 사용하여 증착된 Si(C)N 막의 옹스트롱/사이클 단위의 평균 ALD 증착률(사각형) 및 굴절률(원형)의 그래프이다.
기판 상에 규소-함유 막을 증착하기 위한 공정이 개시된다. 규소-함유 막 형성 조성물의 증기는 그 안에 배치된 기판을 갖는 반응기내로 도입된다. 규소-함유 막 형성 조성물은 펜타-치환된 디실란 전구체를 포함한다. 펜타-치환된 디실란 전구체의 적어도 일부는 규소-함유 막을 형성하기 위해 기판 상에 증착된다.
펜타-치환된 디실란 전구체는 펜타클로로디실란(PCDS 또는 Si2HCl5), 펜타플루오로디실란(Si2HF5), 펜타브로모디실란(Si2HBr5), 또는 펜타요오도디실란(Si2HI5)일 수 있다.
펜타클로로디실란은 현재 상업적으로 입수 가능하지 않다. 그러나, 펜타클로로디실란을 생성하는 방법은 당해 분야에 공지되어 있다. 하나의 바람직한 방법은 2014년 9월 25일에 출원된 일본특허출원번호 제2014-194723호에 개시되어 있으며, 이러한 문헌의 내용은 전문이 본원에 참고로 포함된다. 보다 구체적으로, PCDS는 트리클로로실란의 합성에서 생성된 클로로실란 부산물로부터 증류에 의해 단리될 수 있다. 상응하는 펜타할로디실란은 동일한 방식으로 합성될 수 있다. 펜타할로디실란은 연속 또는 분별 배치 증류에 의해 대략 95% w/w 내지 대략 100% w/w 범위, 바람직하게, 대략 99% w/w 내지 대략 100% w/w 범위의 순도까지 정제될 수 있다. 당업자는 펜타할로디실란을 이의 할로디실란 유사체로부터 정제하기 위해 증류 컬럼에 다수의 이론단수가 요구될 것이라는 것을 인지할 것이다.
정제된 펜타할로디실란에서 헥사할로디실란의 농도는 대략 0% w/w 내지 대략 5% w/w, 바람직하게, 대략 0% w/w 내지 대략 1% w/w의 범위일 수 있다. 정제된 펜타할로디실란에서 O2의 농도는 대략 0 ppmw 내지 대략 50 ppmw, 바람직하게, 대략 0 ppmw 내지 대략 4 ppmw, 및 더욱 바람직하게, 대략 0 ppmw 내지 대략 1 ppmw의 범위일 수 있다. 정제된 펜타할로디실란은 물을 함유하지 않아야 하며(0 ppmw), 그렇지 않으면 두 개가 반응할 것이다. 정제된 펜타할로디실란에서 Cr의 농도는 대략 0 ppmw 내지 대략 25 ppmw의 범위일 수 있다. 정제된 펜타할로디실란에서 Fe의 농도는 대략 0 ppmw 내지 대략 100 ppmw의 범위일 수 있다. 정제된 펜타할로디실란에서 Na의 농도는 대략 0 ppmw 내지 대략 50 ppmw의 범위일 수 있다. 정제된 펜타할로디실란에서 Ni의 농도는 대략 0 ppmw 내지 및 대략 25 ppmw의 범위일 수 있다.
대안적으로, 펜타-치환된 디실란 전구체는 펜타키스(디메틸아미노)디실란[PDMADS - Si2H(NMe2)5]일 수 있다. PDMADS는 또한 현재 상업적으로 입수 가능하지 않다. 그러나, 이를 합성하는 방법은 예를 들어, WO2007/112780호(Dussarrat)에 개시되어 있으며, 이러한 문헌의 내용은 전문이 본원에 참고로 포함된다. 보다 구체적으로, 펜타키스(디메틸아미노)클로로디실란은 -30℃ 내지 50℃의 온도에서 유기 용매 중에서 헥사클로로디실란을 5배 mol 초과의 디메틸아민(Me2NH)과 반응시킴으로써 생성된다. PDMADS는 리튬 알루미늄 히드라이드 또는 소듐 보론 히드라이드를 사용한 펜타키스(디메틸아미노)클로로디실란의 환원에 의해 형성된다.
PDMADS는 연속 또는 분별 배치 증류에 의해 대략 95% w/w 내지 대략 100% w/w의 범위, 바람직하게, 대략 99% w/w 내지 대략 100% w/w의 범위의 순도까지 정제될 수 있다. 당업자는 PDMADS를 이의 아미노실란 유사체로부터 정제하기 위해 증류 컬럼에 다수의 이론단수가 요구될 것이라는 것을 인지할 것이다.
정제된 PDMADS에서 헥사(디메틸아미노)디실란의 농도는 대략 0% w/w 내지 대략 5% w/w, 바람직하게, 대략 0% w/w 내지 대략 1% w/w의 범위일 수 있다. 정제된 PDMADS에서 O2의 농도는 대략 0 ppmw 내지 대략 50 ppmw, 바람직하게, 대략 0 ppmw 내지 대략 4 ppmw, 및 더욱 바람직하게, 대략 0 ppmw 내지 대략 1 ppmw의 범위일 수 있다. PDMADS 내에 물이 함유될 수 없으며(0 ppmw), 그렇지 않으면 두 개는 반응할 것이다. 정제된 PDMADS에서 Cr의 농도는 대략 0 ppmw 내지 대략 25 ppmw의 범위일 수 있다. 정제된 PDMADS에서 Fe의 농도는 대략 0 ppmw 내지 대략 100 ppmw의 범위일 수 있다. 정제된 PDMADS에서 Na의 농도는 대략 0 ppmw 내지 대략 50 ppmw의 범위일 수 있다. 정제된 PDMADS에서 Ni의 농도는 대략 0 ppmw 내지 및 대략 25 ppmw의 범위일 수 있다.
하기 실시예에 예시되는 바와 같이, 출원인은 놀랍게도, 규소-함유 막 증착의 공정을 위해 펜타-치환된 디실란 막 형성 전구체를 사용하는 것이, 유사한 헥사치환된 디실란, 예를 들어, HCDS 또는 Si2(NHMe)6에 의해 증착된 막과 비교할 때 고순도로 사용 가능한 막이 증착되는, 보다 빠른 ALD 성장률 및 적당한 ALD 온도를 제공한다는 것을 발견하였다. 출원인은 모든 리간드가 동일한, 헥사치환된 디실란의 하나의 리간드의 H로의 치환이 더욱 반응성의 분자를 생성시키는 것으로 여긴다(즉, Si2Cl6 → Si2HCl5 또는 Si2(NMe2)6 → Si2H(NMe2)5). 펜타-치환된 디실란 막 형성 전구체의 증가된 반응성은 HCDS 및 Si2(NMe2)6의 경우에, 각각 염소 또는 디메틸아미노 리간드의 보다 큰 원자 크기와 비교하여 수소 리간드의 보다 작은 원자 크기로 인한 것일 수 있다. 보다 작은 크기의 수소 리간드는 보다 적은 입체 장애를 제공할 수 있고, 이에 따라, 기판 상에 또는 이전에 형성된 층 상에 물리흡착 또는 화학흡착의 보다 높은 가능성을 제공할 수 있어, 보다 빠른 성장 거동을 야기시킬 수 있다. 수소 리간드는 또한, 펜타-치환된 디실란 막 형성 전구체를 HCDS 및 Si2(NMe2)6에 비해 더욱 산성으로 만들어서 보다 높은 반응성을 야기시킬 수 있다. 증가된 반응성은 또한, 헥사치환된 디실란의 하나의 리간드의 H로의 치환으로, 대칭 분자에서 비대칭 분자로의 변화로 인해 Si-Si 결합을 약간 분극화시킨 것에 기인한 것일 수 있다.
증기 증착 공정을 이용하여 기판 상에 규소-함유 층을 형성하는 개시된 공정은 반도체, 광전지, LCD-TFT, 또는 평판 타입 소자의 제작에서 유용할 수 있다. 개시된 Si-함유 막 형성 조성물은 당업자에게 공지된 임의의 증착 방법을 이용하여 규소-함유 막을 증착시키기 위해 사용될 수 있다. 적합한 증착 방법의 예는 화학적 증기 증착(CVD) 또는 원자층 증착(ALD)을 포함한다. 예시적인 CVD 방법은 열적 CVD, 플라즈마 강화 CVD(PECVD), 펄스 CVD(PCVD), 저압 CVD(LPCVD), 부기압 CVD(SACVD) 또는 대기압 CVD(APCVD), 고온-와이어 CVD(HWCVD, 또한, cat-CVD로서 공지됨, 여기서, 고온 와이어는 증착 공정을 위한 에너지원으로서 역할을 함), 라디칼 도입 증착, 초임계 유체 증착, 및 이들의 조합을 포함한다. 예시적인 ALD 방법은 열적 ALD, 플라즈마 강화 ALD(PEALD), 공간 격리 ALD, 고온-와이어 ALD(HWALD), 및 이들의 조합을 포함한다. 증착 방법은 적합한 단차 피복 및 막 두께 조절을 제공하기 위해, 바람직하게, ALD, PE-ALD, 또는 공간 격리 ALD이다.
개시된 Si-함유 막 형성 조성물은 단지 펜타-치환된 디실란 전구체를 포함할 수 있다. 대안적으로, Si-함유 막 형성 조성물은 톨루엔, 자일렌, 메시틸렌, 데칸, 도데칸, 또는 다른 적합한 탄화수소와 같은 용매를 추가로 포함할 수 있다. 개시된 전구체는 용매 중에 다양한 농도로 존재할 수 있다.
개시된 Si-함유 막 형성 조성물은 배관 및/또는 유량계와 같은 통상적인 수단에 의해 증기 형태로 반응기내로 도입된다. 조성물의 증기 형태는 직접 증기화(direct vaporization), 증류와 같은 통상적인 증기화 단계를 통해, 또는 버블링(bubbling)에 의해 생성될 수 있다. 조성물은 기화기에 액체 상태로 공급될 수 있으며(직접 액체 주입 또는 "DLI"), 여기서, 이러한 것이 반응기내로 도입되기 전에 증기화된다. 대안적으로, 조성물은 조성물을 함유한 용기로 운반 가스를 진행시킴으로써 또는 조성물로 운반 가스에 거품을 형성함으로써 증기화될 수 있다. 운반 가스는 Ar, He, N2, 및 이들의 혼합물을 포함할 수 있지만, 이로 제한되지 않는다. 운반 가스로의 버블링은 또한, 조성물에 존재하는 임의의 용해 산소를 제거할 수 있다. 운반 가스 및 조성물은 이후에, 증기로서 반응기내로 도입된다.
필요한 경우에, 개시된 Si-함유 막 형성 조성물의 용기는 조성물이 충분한 증기압을 갖게 하는 온도까지 가열될 수 있다. 용기는 예를 들어, 대략 0℃ 내지 대략 150℃ 범위의 온도에서 유지될 수 있다. 당업자는 용기의 온도가 증기화되는 조성물의 양을 조절하기 위한 공지된 방식으로 조정될 수 있다는 것을 인식한다.
예를 들어, Si-함유 막 형성 조성물은 개시된 Si-함유 막 형성 조성물 전달 장치를 이용하여 반응기내로 도입될 수 있다. 도 1 및 도 2는 개시된 전달 장치(1)의 두 가지 구현예를 도시한 것이다.
도 1은 Si-함유 막 형성 조성물 전달 장치(1)의 일 구현예의 측면도이다. 도 1에서, 개시된 Si-함유 막 형성 조성물(10)은 두 개의 도관, 즉, 유입구 도관(30) 및 유출구 도관(40)을 갖는 용기(20) 내에 담긴다. 전구체 분야에서의 당업자는 용기(20), 유입구 도관(30), 및 유출구 도관(40)이 심지어 상승된 온도 및 압력에서도, 가스 형태의 Si-함유 막 형성 조성물(10)이 빠져나가는 것을 방지하도록 제작된다는 것을 인식할 것이다.
전달 장치는 누설 방지(leak tight)일 수 있어야 하고, 여기에는 물질이 빠져나가는 것을 허용하지 않는 밸브가 장착되어야 한다. 적합한 밸브는 스프링-로딩되거나 연결된 다이아프램 밸브를 포함한다. 밸브는 제한적 흐름 오리피스(restrictive flow orifice, RFO)를 추가로 포함할 수 있다. 전달 장치는 가스 매니폴드에 또는 인클로져 안에 연결될 수 있다. 가스 매니폴드는, 임의의 잔류량의 물질이 반응하지 않도록, 전달 장치가 교체될 때 공기에 노출될 수 있는 배관의 안전한 배기 및 퍼징을 가능하게 하여야 한다. 인클로져는 물질 누출의 경우에 화재를 제어하기 위해 센서 및 화재 제어 기능을 갖출 수 있다. 가스 매니폴드는 또한, 격리 밸브, 진공 발생기를 갖춰야 하고, 퍼지 가스의 도입을 최소한으로 허용하여야 한다.
전달 장치는 밸브(35) 및 밸브(45)를 통해, 상기에 개시된 가스 캐비넷과 같은, 반도체 가공 툴의 다른 부품에 유동적으로 연결된다. 바람직하게, 전달 장치(20), 유입구 도관(30), 밸브(35), 유출구 도관(40), 및 밸브(45)는 316L EP 또는 304 스테인레스강으로 제조된다. 그러나, 당업자는 다른 비-반응성 물질이 또한, 본원의 교시에서 사용될 수 있으며 임의의 부식성 Si-함유 막 형성 조성물(10)이 Hastelloy 또는 Inconel과 같은, 보다 내부식성이 높은 물질의 사용을 필요로 할 수 있다는 것을 인식할 것이다.
도 1에서, 유입구 도관(30)의 단부(31)는 Si-함유 막 형성 조성물(10)의 표면 위에 위치되며, 유출구 도관(40)의 단부(41)는 Si-함유 막 형성 조성물(10)의 표면 아래에 위치된다. 이러한 구현예에서, Si-함유 막 형성 조성물(10)은 바람직하게, 액체 형태이다. 질소, 아르곤, 헬륨, 및 이들의 혼합물을 포함하지만 이로 제한되지 않는, 불활성 가스는 유입구 도관(30)으로 도입될 수 있다. 액체 Si-함유 막 형성 조성물(10)이 유출구 도관(40)을 통해 그리고 반도체 가공 툴(미도시됨)에서의 부품에 밀려 들어가도록 불활성 가스는 전달 장치(20)를 가압한다. 반도체 가공 툴은 복구될 웨이퍼가 위치되고 처리가 증기 상에서 일어나는 경우에 챔버에 증기를 전달하기 위해, 헬륨, 아르곤, 질소 또는 이들의 혼합물과 같은 운반 가스의 사용과 함께, 또는 이의 사용 없이, 액체 Si-함유 막 형성 조성물(10)을 증기로 변형시키는 기화기를 포함할 수 있다. 대안적으로, 액체 Si-함유 막 형성 조성물(10)은 제트 또는 에어로졸로서 웨이퍼 표면에 직접적으로 전달될 수 있다.
도 2는 Si-함유 막 형성 조성물 전달 장치(1)의 제2 구현예의 측면도이다. 도 2에서, 유입구 도관(30)의 단부(31)는 Si-함유 막 형성 조성물(10)의 표면 아래에 위치되며, 유출구 도관(40)의 단부(41)는 Si-함유 막 형성 조성물(10)의 표면 위에 위치된다. 도 2는 또한, 선택적 가열 부재(25)를 포함하며, 이는 Si-함유 막 형성 조성물(10)의 온도를 증가시킬 수 있다. 이러한 구현예에서, Si-함유 막 형성 조성물(10)은 고체 또는 액체 형태일 수 있다. 질소, 아르곤, 헬륨, 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는, 불활성 가스는 유입구 도관(30)으로 도입된다. 불활성 가스는 Si-함유 막 형성 조성물(10)에 기포를 형성하고, 불활성 가스와 증기화된 Si-함유 막 형성 조성물(10)의 혼합물을 유출구 도관(40)으로 및 반도체 가공 툴에서의 부품으로 운반한다.
도 1 및 도 2 둘 모두는 밸브(35) 및 밸브(45)를 포함한다. 당업자는, 밸브(35) 및 밸브(45)가 도관(30) 및 도관(40) 각각을 통해 흐를 수 있도록 개방 위치 또는 폐쇄 위치에 배치될 수 있다는 것을 인식할 것이다. 도 1 또는 도 2에서의 전달 장치(1), 또는 존재하는 임의의 고체 또는 액체의 표면 위에서 종결하는 단일 도관을 갖는 보다 단순한 전달 장치 중 어느 하나는, Si-함유 막 형성 조성물(10)이 증기 형태인 경우 또는 충분한 증기압이 고체/액체 상 위에 존재하는 경우에 사용될 수 있다. 이러한 경우에, Si-함유 막 형성 조성물(10)은 간단하게, 도 1에서 밸브(35) 또는 도 2에서 밸브(45) 각각을 개방함으로써 도관(30) 또는 도관(40)을 통해 증기 형태로 전달된다. 전달 장치(1)는 예를 들어, 선택적 가열 부재(25)의 사용에 의해, Si-함유 막 형성 조성물(10)을 증기 형태로 전달하기 위한 충분한 증기압을 제공하기 위해 적합한 온도에서 유지될 수 있다.
도 1 및 도 2가 Si-함유 막 형성 조성물 전달 장치(1)의 두 가지의 구현예를 개시하지만, 당업자는 유입구 도관(30) 및 유출구 도관(40) 둘 모두가 본원의 개시내용으로부터 벗어나지 않으면서 Si-함유 막 형성 조성물(10)의 표면 위 또는 아래에 위치될 수 있다는 것을 인식할 것이다. 또한, 유입구 도관(30)은 충전 포트일 수 있다. 마지막으로, 당업자는, 개시된 Si-함유 막 형성 조성물이 본원의 교시로부터 벗어나지 않으면서, WO 2006/059187호(Jurcik 등)에 개시된 앰플과 같은, 다른 전달 장치를 이용하여 반도체 가공 툴에 전달될 수 있다는 것을 인식할 것이다.
개시된 Si-함유 막 형성 조성물의 증기는 반도체, 광전지, LCD-TFT, 평판 타입 소자, 내화물, 또는 항공기 공구(aeronautics tool)의 반응기에 전달된다. 반응기는 디바이스의 임의의 인클로져 또는 챔버일 수 있으며, 여기서, 증기 증착 방법은 예를 들어, 비제한적으로, 평행판 타입 반응기, 냉각벽 타입 반응기, 고온벽 타입 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼(즉, 배치) 반응기, 또는 전구체를 반응시키고 층을 형성시키기에 적합한 다른 타입의 증착 시스템에서 일어난다. 모든 이러한 예시적인 반응기는 ALD 반응기 및/또는 CVD 반응기로서 역할을 할 수 있다.
일반적으로, 반응기는 그 위에 막이 증착될 하나 이상의 기판을 포함한다. 기판은 일반적으로 그 위에서 공정이 수행되는 물질로서 규정된다. 기판은 반도체, 광전지, 평판, 또는 LCD-TFT 디바이스 제작에서 사용되는 임의의 적합한 기판일 수 있다. 적합한 기판의 예는 웨이퍼, 예를 들어, 규소, 실리카, 유리, 또는 GaAs 웨이퍼를 포함한다. 웨이퍼는 이전 제작 단계로부터 그 위에 증착된 상이한 물질의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 질화규소 층, 산질화규소 층, 탄소 도핑된 산화규소(SiCOH) 층, 또는 이들의 조합을 포함할 수 있다. 추가적으로, 웨이퍼는 구리 층, 텅스텐 층, 또는 귀금속 층(예를 들어, 백금, 팔라듐, 로듐, 또는 금)을 포함할 수 있다. 플라스틱 층, 예를 들어, 폴리(3,4-에틸렌디옥시티오펜)폴리(스티렌설포네이트)[PEDOT:PSS]가 또한 사용될 수 있다. 층은 평평하거나 패터닝 될 수 있다. 층은 그 안에 홀 또는 트렌치를 형성시키는 가공으로 처리될 수 있다. 홀 및 트렌치는 10:1 내지 100:1 범위의 종횡비를 가질 수 있다. 개시된 공정은 웨이퍼 상에 직접적으로, 웨이퍼의 상부 상의 층들 중의 하나 또는 하나 초과의 층 상에 직접적으로(패터닝 된 층이 기판을 형성할 때), 및/또는 홀 및 트렌치 안에 규소-함유 층을 증착할 수 있다. 명세서 및 청구범위 전반에 걸쳐, 웨이퍼 및 그 위의 임의의 관련된 층은 기판으로서 지칭된다. 예를 들어, Cu 막은 SiC 층 상에 증착될 수 있다. 후속 가공에서, SiC 층은 Cu 층 상에 증착되어, 후방배선공정(back end of the line; BEOL)에서 다마신 구조(damascene structure)에서 사용되는 SiC/Cu/SiC 스택(stack)을 형성할 수 있다.
반응기 내에서의 온도 및 압력은 증기 증착을 위해 적합한 조건에서 유지된다. 다시 말해서, 챔버로 증기화된 조성물이 도입된 후에, 챔버 내에서의 조건은, 펜타-치환된 디실란 전구체의 적어도 일부가 규소-함유 막을 형성하기 위해 기판 상에 증착되게 한다. 예를 들어, 반응기에서의 압력은 증착 파라미터에 따라 요망되는 경우에, 약 1 Pa 내지 약 105 Pa, 더욱 바람직하게, 약 25 Pa 내지 약 103 Pa에서 유지될 수 있다. 마찬가지로, 반응기에서의 온도는 약 100℃ 내지 약 800℃에서 유지될 수 있다. 당업자는, "펜타-치환된 디실란 전구체의 적어도 일부가 증착된다"는 것이 전구체 중 일부 또는 모두가 기판과 반응하거나 기판에 접착한다는 것을 의미함을 인식할 것이다.
기판 홀더의 온도를 조절하거나 반응기 벽의 온도를 조절함으로써 반응기의 온도를 조절할 수 있다. 기판을 가열하기 위해 사용되는 디바이스는 당해 분야에 공지되어 있다. 반응기 벽은 충분한 성장률에서 그리고 요망되는 물리적 상태 및 조성을 갖는 요망되는 막을 얻기 위해 충분한 온도까지 가열된다. 반응기 벽이 가열될 수 있는 비제한적인 예시적인 온도 범위는 대략 실온(20℃) 내지 대략 800℃를 포함한다. 플라즈마 증착 공정이 사용될 때, 증착 온도는 대략 실온(20℃) 내지 대략 400℃의 범위일 수 있다. 대안적으로, 오존이 반응물로서 사용될 때, 증착 온도는 대략 100℃ 내지 대략 400℃의 범위일 수 있다. 다른 대안으로, 열적 공정이 수행될 때, 증착 온도는 대략 450℃ 내지 대략 800℃의 범위일 수 있다.
개시된 Si-함유 막 형성 조성물 이외에, 반응물은 또한 반응기내로 도입될 수 있다. 반응물은 산소-함유 가스, 예를 들어, O2, O3, H2O, H2O2, NO, N2O, NO2, 산소 함유 라디칼, 예를 들어, O· 또는 OH·, NO, NO2, 카르복실산, 포름산, 아세트산, 프로피온산, 및 이들의 혼합물일 수 있다. 통상적으로, 산소-함유 가스는 O2, O3, H2O, H2O2, 이들의 산소 함유 라디칼, 예를 들어, O· 또는 OH·, 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 더욱 통상적으로, 산소-함유 가스는 O2, O3, O2 플라즈마, 또는 이들의 조합이다. 바람직하게, 산소-함유 가스는 산소와 오존의 혼합물이다. 혼합물은 바람직하게, 적어도 5% v/v 오존, 및 더욱 바람직하게, 7.2% v/v 오존을 함유한다.
반응물을 이의 산소 함유 라디칼 형태로 분해시키기 위해 반응물은 플라즈마에 의해 처리될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게, 약 100 W 내지 약 200 W 범위의 전력으로 발생될 수 있다. 플라즈마는 반응기 자체 내에서 발생되거나 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로 반응기로부터 제거된 위치에서, 예를 들어, 원거리에 위치된 플라즈마 시스템에서 발생할 수 있다. 당업자는 이러한 플라즈마 처리에 적합한 방법 및 장비를 인식할 것이다.
예를 들어, 반응 챔버에서 플라즈마-처리된 반응물을 생성하기 위해, 반응 챔버에서 플라즈마를 발생시키는 직접 플라즈마 반응기내로 반응물을 도입할 수 있다. 예시적인 직접 플라즈마 반응기는 Trion Technologies에 의해 생산된 Titan™ PECVD System을 포함한다. 반응물은 플라즈마 가공 전에 반응 챔버에 도입되고 유지될 수 있다. 대안적으로, 플라즈마 가공은 반응물의 도입과 동시에 일어날 수 있다. 인-시튜 플라즈마(in-situ plasma)는 통상적으로, 샤워 헤드와 기판 홀더 사이에서 발생되는 13.56 MHz RF 유도 결합 플라즈마이다. 기판 또는 샤워 헤드는 양이온 충격이 일어나는지의 여부에 따라 전력 공급된 전극(powered electrode)일 수 있다. 인-시튜 플라즈마 발생기에서 통상적인 가해진 전력은 대략 30 W 내지 대략 1000 W이다. 바람직하게, 대략 30 W 내지 대략 600 W의 전력이 개시된 방법에서 사용된다. 더욱 바람직하게, 전력은 대략 100 W 내지 대략 500 W의 범위이다. 인-시튜 플라즈마를 사용한 반응물의 해리는 통상적으로, 동일한 전력 입력을 위해 원격 플라즈마 공급원을 이용하여 달성된 것보다 더 낮고, 이에 따라, 반응물 해리에서 플라즈마에 의해 쉽게 손상된 기판 상에 Si-함유 막의 증착을 위해 유익할 수 있는 원격 플라즈마 시스템만큼 효율적이지 않다.
대안적으로, 플라즈마-처리된 반응물은 반응 챔버 외측에서 생성될 수 있다. MKS Instruments의 ASTRONi® 반응성 가스 발생기는 반응 챔버로의 통과 전에 반응물을 처리하기 위해 사용될 수 있다. 2.45 GHz, 7kW 플라즈마 전력, 및 대략 3 Torr 내지 대략 10 Torr 범위의 압력에서 작동하는 경우에, 반응물 O2는 두 개의 O 라디칼로 분해될 수 있다. 바람직하게, 원격 플라즈마는 약 1 kW 내지 약 10 kW, 더욱 바람직하게, 약 2.5 kW 내지 약 7.5 kW 범위의 전력으로 발생될 수 있다.
얻어진 산화규소 막은 커패시터 및 절연층으로서 사용될 수 있다.
산화규소는 ALD 또는 CVD 공정에서 펜타키스(디메틸아미노)디실란 및 산소-함유 가스를 사용하여 증착될 수 있다. 본 공정은 오존 반응물을 사용할 때 대략 100℃ 내지 대략 400℃ 범위의 온도에서의 열적 ALD 공정일 수 있다. 대안적으로, 본 공정은 대략 실온 내지 400℃ 범위의 온도에서의 플라즈마 강화 ALD 공정일 수 있다. 펜타키스(디메틸아미노)디실란 전구체를 사용한 산화규소 막의 ALD 증착은 대략 1 옹스트롱/사이클 정도의 증착률을 생성시킬 것으로 기대된다. 대부분의 알킬 아미노 모노실란은 보다 낮은 증착률, 통상적으로, 대략 0.5 옹스트롱/사이클의 증착률을 나타낸다.
실시예에서 예시되는 바와 같이, 산화규소 막은 또한 ALD 또는 CVD 공정에서 펜타클로로디실란 및 산소-함유 가스를 사용하여 고온에서 증착될 수 있다. 압력은 대략 0.75 Torr 내지 대략 1.25 Torr의 범위일 수 있다. 온도는 대략 500℃ 내지 대략 800℃, 바람직하게, 대략 500℃ 내지 대략 600℃의 범위일 수 있다. 고온 산화규소 막 증착은 고밀도, 낮은 습식 에칭률, 및 낮은 오염물 수준, 예를 들어, 109 내지 1017개 원자/㎤ 범위의 금속 불순물을 갖는 오염물 수준을 갖는 막을 생성시키는 데 중요하다. 펜타클로로디실란 전구체 및 오존/산소 혼합물을 사용한 산화규소 막의 열적 ALD 증착은 대략 0.4 옹스트롱/사이클 내지 대략 1.5 옹스트롱/사이클 범위의 증착률을 생성시킬 것으로 기대된다. 실제로, 실시예 2 내지 실시예 5에 나타낸 바와 같이, 펜타클로로디실란 및 대략 7.2% v/v 오존/산소 혼합물을 사용한 ALD 증착은 대략 0.75 옹스트롱/사이클 내지 대략 1 옹스트롱/사이클 범위의 증착률에서, 대략 550℃ 내지 대략 650℃ 범위의 온도에서 자가-제한된 성장을 나타내었다. 얻어진 막은 질소 또는 염소 오염이 거의 없거나 전혀 없다. 얻어진 산화규소 막은 바람직하게, 대략 0 원자% 내지 대략 1 원자%의 질소를 함유한다. 얻어진 산화규소 막은 또한 대략 0 원자% 내지 대략 1 원자%의 염소를 함유한다. 출원인은 공정 조건의 최적화가 대략 10:1 내지 대략 100:1 범위의 종횡비를 갖는 홀 또는 트렌치를 갖는 기판 상에 대략 80% 내지 대략 100%의 단차 피복을 갖는 산화규소 막의 증착을 생성시킬 것으로 여긴다.
대안적으로, 반응물은 환원 가스, 예를 들어, H2, H2CO, NH3, SiH4, Si2H6, Si3H8, (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3, (C2H5)SiH3, 페닐 실란, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 페닐 히드라진, N-함유 분자, B2H6, 9-보라비시클로[3,3,1]노난, 디히드로벤조푸란, 피라졸린, 트리메틸알루미늄, 디메틸아연, 디에틸아연, 이들의 라디칼 종, 및 이들의 혼합물 중 하나일 수 있다. 바람직하게, 환원 가스는 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이들의 수소 라디칼, 또는 이들의 혼합물이다. 당업자는 환원 가스의 사용이 원소 규소 막, 예를 들어, 비정질 규소 또는 폴리규소(또한 다결정질 규소로서 알려짐)의 증착을 위해 선호된다는 것을 인식할 것이다.
예를 들어, 실시예 1에 예시된 바와 같이, 규소 막은 대략 550℃ 내지 대략 800℃ 범위의 온도 및 대략 0.1 Torr 내지 대략 100 Torr 범위의 압력으로 설정된 반응기내로 Si2Cl5H의 증기를 도입함으로써 기판 상에 증착될 수 있다. 불활성 가스, 예를 들어, Ar, Ne, He 또한 반응기내로 도입될 수 있다. 상기에 개시된 임의의 환원 가스, 바람직하게 H2 또한 반응기내로 도입될 수 있다. 얻어진 Si 막은 비정질 규소 또는 폴리규소일 수 있다. 출원인은, 얻어진 Si 막이 대략 0 원자% 내지 5 원자% C; 대략 0 원자% 내지 1 원자% N; 및 대략 0 원자% 내지 1 원자% Cl을 함유할 것으로 여겨진다. 출원인은 또한, 공정 조건의 최적화가 대략 10:1 내지 대략 100:1 범위의 종횡비를 갖는 홀 또는 트렌치를 갖는 기판 상에 대략 80% 내지 대략 100% 단차 피복을 갖는 규소 막의 증착을 생성시킬 것으로 여겨진다.
다른 대안으로, 반응물은 질소-함유 반응물, 예를 들어, NH3, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 이들의 질소-함유 라디칼 종, 및 이들의 혼합물 중 하나일 수 있다. 당업자는 질소-함유 가스의 사용이 질화규소 또는 탄질화규소 막의 증착을 위해 선호된다는 것을 인식할 것이다.
산화 가스 반응물과 관련하여 상기에 개시된 바와 같이, 환원 가스 또는 질소 함유 반응물은 또한, 반응물을 이의 라디칼 형태로 분해하기 위해 플라즈마에 의해 처리될 수 있다. N2는 또한, 플라즈마로 처리될 때 환원 가스로서 사용될 수 있으며, N2와 H2의 블렌드는 원격 플라즈마 공정에서 사용될 수 있다. 플라즈마는 상기에서 더 상세히 기술된 바와 같이, 인 시튜로 또는 원격으로 발생될 수 있다.
예를 들어, 실시예에서 예시되는 바와 같이, 질화규소 막은 ALD 또는 CVD 공정에서 펜타클로로디실란 및 N-함유 가스를 사용하여 중간 범위 온도에서 증착될 수 있다. 압력은 대략 0.1 Torr 내지 대략 100 Torr, 바람직하게, 대략 4.75 Torr 내지 대략 5.25 Torr의 범위일 수 있다. 온도는 대략 350℃ 내지 대략 650℃, 바람직하게, 대략 450℃ 내지 대략 650℃, 및 더욱 바람직하게, 대략 550℃ 내지 대략 600℃의 범위일 수 있다. 하부 기판이 손상을 입지 않으면서 보다 높은 공정 온도를 견딜 수 없을 수도 있기 때문에, 질화규소 증착 공정에서 이러한 중간 범위 온도는 중요하다. 실제로, 실시예 8에 나타낸 바와 같이, 펜타클로로디실란 및 암모니아 반응물을 사용한 열적 ALD 증착은 대략 0.3 옹스트롱/사이클 내지 대략 2 옹스트롱/사이클, 바람직하게, 대략 1 옹스트롱/사이클 내지 대략 2 옹스트롱/사이클 범위의 성장률에서, 대략 1.7 내지 대략 2.2, 바람직하게, 대략 1.8 내지 대략 2.1, 및 더욱 바람직하게, 대략 2.0 내지 대략 2.1 범위의 굴절률을 갖는 질화규소 막을 생성하였다. 순수한 질화규소의 굴절률은 2.0이다. 산소의 도입 또는 다공성 막의 증착은 굴절률을 낮출 것이며, 과량의 규소는 굴절률을 증가시킬 것이다. 실시예 8 및 도 14에 나타낸 바와 같이, 얻어진 막은 탄소 및 미량의 산소 및 염소 오염이 거의 없거나 전혀 없다. 질화규소 막은 대략 0 원자% 내지 대략 5 원자% 탄소, 바람직하게, 대략 0 원자% 내지 대략 2.5 원자% 탄소; 및 더욱 바람직하게, 대략 0 원자% 내지 대략 1 원자% 탄소를 함유할 수 있다. 질화규소 막은 또한 대략 0.1 원자% 내지 대략 1 원자% 산소를 함유할 수 있다. 마지막으로, 질화규소 막은 대략 0.1 원자% 내지 대략 1 원자% 염소를 함유할 수 있다. 출원인은 또한, 공정 조건의 최적화가 대략 10:1 내지 대략 100:1 범위의 종횡비를 갖는 홀 또는 트렌치를 갖는 기판 상에 대략 80% 내지 대략 100% 단차 피복을 갖는 질화규소 막의 증착을 생성시킬 것으로 여긴다.
개시된 펜타-치환된 디실란 및 질소-함유 반응물을 사용하여 생성된 질화규소 막은 핀 이중 패터닝 공정(fin double patterning process)을 위한 스페이서(spacer)로서, 이중 Epi 측벽을 위한 스페이서로서, 접촉 에칭 정지 층(contact etch stop layer)으로서, 또는 FinFET에서 자가 정렬 콘택트(self aligned contact)를 위한 캡핑 층(capping layer)으로서 사용될 수 있다. 핀 이중 패터닝 공정을 위한 스페이서로서 사용될 때, 질화규소 성장률은 패턴 로딩 효과(pattern loading effect)(성장률은 기판의 평평한 구역과 비교하여 조밀한 피쳐(feature) 상에서 낮아짐)를 나타내지 않는다. 이중 Epi 측벽을 위한 스페이서로서 또는 접촉 에칭 정지 층으로서 사용될 때, 질화규소 막은 낮은 유전 상수(대략 3 내지 대략 6, 바람직하게, 대략 4.5 내지 대략 5.5), 및 낮은 HF 습식 에칭률(실온에서 1% HF에 대하여 0 Ang/초 내지 10 Ang/초)을 갖는다.
개시된 펜타-치환된 디실란 및 질소-함유 반응물을 사용하여 생성된 질화규소 막은 또한 DRAM 제작에서 사용될 수 있다. 보다 구체적으로, 질화규소 층은 DRAM 스페이서, 매립형 워드 라인(Buried Word Line, BWL) 니트라이드 캡, 비트 라인 스페이서(bit line spacer), 또는 커패시터를 위한 저-k 에칭 정지 층(ESL)으로서 역할을 할 수 있다.
개시된 펜타-치환된 디실란 및 질소-함유 반응물을 사용하여 생성된 질화규소 층은 또한 3D NAND 제작에서 니트라이드 트랩 층(nitride trap layer)으로서 사용될 수 있다. 니트라이드 트랩 층은 시임(seam)을 가지지 않고 낮은 유전 상수(대략 3 내지 대략 6, 바람직하게, 대략 4.5 내지 대략 5.5)를 가져야 한다.
개시된 펜타-치환된 디실란 및 질소-함유 반응물을 사용하여 생성된 질화규소 층은 또한 MRAM 제작에서 캡슐화(encapsulation)를 위해 사용될 수 있다. 막은 저온(대략 200℃ 내지 대략 500℃, 바람직하게, 대략 275℃ 내지 대략 350℃)에서 증착될 필요가 있고, 할라이드가 없어야 한다(대략 0.1 원자% 내지 대략 1 원자% 할라이드를 함유함). PEALD는 이러한 적용에 특히 적합하다.
개시된 펜타-치환된 디실란 및 질소-함유 반응물을 사용하여 생성된 질화규소 층은 또한 발전된 인터커넥트(advanced interconnect) 제작에서 에어 갭 라인(air gap line)으로서 사용될 수 있다. 에어 갭 라인은 저온(대략 200℃ 내지 대략 500℃, 바람직하게, 대략 275℃ 내지 대략 350℃)에서 증착되고, 낮은 유전 상수(대략 3 내지 대략 6, 바람직하게, 대략 4.5 내지 대략 5.5)를 가지고, 낮은 습식 에칭률(실온에서 1% HF에 대해 0 Ang/초 내지 10 Ang/초)을 가지고, 할라이드를 포함하지 않을(대략 0.1 원자% 내지 대략 1 원자% 할라이드를 함유함) 필요가 있다.
실시예 10에 나타낸 바와 같이, 탄소 도핑된 질화규소는 또한, 저온 플라즈마 강화 ALD 공정에서 펜타-치환된 디실란, 예를 들어, 펜타키스(디메틸아미노)디실란, 및 질소 함유 반응물을 사용하여 증착될 수 있다. 바람직하게, 질소 함유 반응물은 NH3, N2, 또는 N2와 H2의 조합이다. N2와 H2의 조합에서 H2 농도는 대략 0% vol/vol 내지 대략 70% vol/vol의 범위일 수 있다. 예를 들어, N2:H2 비는 1:1일 수 있다. 공정 온도는 대략 100℃ 내지 대략 350℃의 범위일 수 있다. 탄소 도핑된 질화규소 막에서 탄소 농도는 대략 1 원자% 내지 대략 15 원자%의 범위일 수 있다.
다른 대안으로, 탄소 도핑된 질화규소 막을 생성하기 위해, 반응물은 아민, 알킬아미노실란, 또는 디실라잔일 수 있다. 예시적인 아민은 암모니아를 포함한다. 예시적인 알킬아미노실란은 비스(디에틸아미노)실란 또는 트리스(디메틸아미노)실란을 포함한다. 예시적인 디실라잔은 헥사메틸디실라잔을 포함한다. 탄소 도핑된 질화규소 막은 바람직하게, 대략 2 원자% 내지 대략 15 원자% 범위의 탄소 농도, 대략 10 원자% 내지 대략 50 원자% 범위의 질소 농도, 및 대략 0 원자% 내지 대략 5 원자% 범위의 산소 농도를 갖는다.
다른 대안으로, 반응물은 알킬 치환된 금속 또는 메탈로이드일 수 있다. 알킬 치환된 금속 또는 메탈로이드는 화학식 AlR3을 가질 수 있으며, 여기서, 각 R은 독립적으로, H, Me, Et, nPr, iPr, nBu, iBu, 또는 NR2이며, 단, R3이 H3일 때, 분자에는 아민 또는 보로하이드라이드가 부가될 수 있다. 예를 들어, 알킬 치환된 금속 또는 메탈로이드는 트리에틸 알루미늄, 트리메틸 알루미늄, 또는 AlH3·NH3이다. 대안적으로, 알킬 치환된 금속 또는 메탈로이드는 화학식 BR3을 가질 수 있으며, 여기서, 각 R은 독립적으로, 알킬 또는 알릴 기이다. 예를 들어, 알킬 치환된 금속 또는 메탈로이드는 트리에틸 보론 또는 트리메틸 보론일 수 있다. 대안적으로, 알킬 치환된 금속 또는 메탈로이드는 화학식 AlClR2를 가질 수 있으며, 여기서, 각 R은 독립적으로, H, Me, Et, nPr, iPr, nBu, 또는 iBu, 또는 NR2이다. 다른 대안으로, 알킬 치환된 금속 또는 메탈로이드는 화학식 BXR2를 가질 수 있으며, 여기서, X는 Cl, Br, 또는 I이며, 각 R은 독립적으로, 알킬 또는 알릴 기이다. 또 다른 대안으로, 알킬 치환된 금속 또는 메탈로이드는 화학식 ZnR2를 가지며, 여기서, 각 R은 독립적으로, Me, Et, nPr, iPr, nBu, 또는 iBu이다. 다른 대안으로, 알킬 치환된 금속 또는 메탈로이드는 화학식 GaR3을 가지며, 여기서, 각 R은 독립적으로, Me, Et, nPr, iPr, nBu, iBu, 또는 NR2이다. 예를 들어, 알킬 치환된 금속 또는 메탈로이드는 트리메틸 갈륨이다. 또 다른 대안으로, 알킬 치환된 금속 또는 메탈로이드는 화학식 InR3을 가지며, 여기서, 각 R은 독립적으로, Me, Et, nPr, iPr, nBu, iBu, 또는 NR2이다.
실시예 9에 나타낸 바와 같이, 펜타-치환된 디실란 및 알킬 치환된 금속 또는 메탈로이드는 ALD 공정을 이용하여 탄화규소 막을 증착시키기 위해 사용될 수 있다. 그러나, 개시된 펜타-치환된 디실란에 추가하여, 본 출원인은 임의의 규소 할라이드 전구체가 이러한 공정에서 유용할 수 있으며, 단, 할라이드가 금속 또는 메탈로이드와 반응하여 휘발성 할라이드를 형성하기 때문에, 전구체는 적어도 하나의 할라이드를 함유할 것으로 여긴다.
탄화규소 막의 ALD 증착에서 사용하기에 적합할 수 있는 추가적인 규소 할라이드는 화학식 SiX4를 갖는 규소 할라이드를 포함하며, 각 X는 독립적으로 Cl, Br, I, H, 또는 R이며, R은 지방족 기이다. 예시적인 규소 할라이드는 SiCl3H, SiH2Cl2, SiH3Cl, SiI2H2, SiHMeI2, 또는 SiMe2I2를 포함한다. 다른 대안으로, 규소 할라이드는 화학식 Si2X6을 가질 수 있으며, 여기서, 각 X는 독립적으로, Cl, Br, I, 또는 H이다. 예시적인 규소 할라이드는 펜타클로로디실란, 헥사클로로디실란, 헥사요오도디실란, 모노클로로디실란, 모노브로모디실란, 모노요오도디실란, 디클로로디실란[H2ClSi-SiClH2], 디브로모디실란[H2BrSi-SiBrH2], 디요오도디실란[H2ISi-SiIH2], 디클로로디실란[H3Si-SiHCl2], 디브로모디실란[H3Si-SiHBr2], 또는 디요오도디실란[H3Si-SiHI2]을 포함한다. 다른 대안으로, 규소 할라이드는 화학식 X3Si-CH2-SiX3(여기서, 각 X는 독립적으로, Cl, Br, I, 또는 H임), 예를 들어, 비스(트리클로로실릴)메탄[Cl3Si-CH2-SiCl3] 또는 비스(디클로로실릴)메탄[(SiClH2)2CH2]을 가질 수 있다. 다른 대안으로, 규소 할라이드는 화학식 X3Si-CH2-CH2-SiX3(여기서, 각 X는 독립적으로, Cl, Br, I, 또는 H임), 예를 들어, Cl3Si-CH2-CH2-SiCl3을 가질 수 있다. 다른 대안으로, 규소 할라이드는 화학식 X3Si-CH2-SiX2-CH2-SiX3을 가질 수 있으며, 여기서, 각 X는 독립적으로, Cl 또는 H이며, 단, 적어도 하나의 말단 X는 Cl이다. 예시적인 규소 할라이드는 Cl3Si-CH2-SiCl2-CH2-SiCl3 또는 H3Si-CH2-SiH2-CH2-SiClH2를 포함한다. 또 다른 대안으로, 규소 할라이드는 환형 화학식 (-SiX2-CH2-)3(여기서, 각 X는 독립적으로, Cl, Br, 또는 I임), 예를 들어, (-SiCl2-CH2-)3을 가질 수 있다. 또 다른 대안으로, 규소 할라이드는 환형 화학식 (-SiHX-CH2-)3(여기서, 각 X는 독립적으로, Cl, Br, 또는 I임), 예를 들어, (-SiHCl-CH2-)3을 가질 수 있다. 규소 할라이드는 또한 옥타클로로트리실란(OCTS 또는 Si3Cl8), 데카클로로테트라실란(Si4Cl10) 또는 도데카클로로펜타실란(DCPS 또는 Si5Cl12)일 수 있다. 이러한 규소 할라이드는 상업적으로 입수 가능하거나, 당해 분야에 공지된 방법에 의해 합성될 수 있다.
챔버 내의 증기 증착 조건은 개시된 전구체 및 반응물을 반응시키고 기판 상에 규소-함유 막을 형성하게 한다. 일부 구현예에서, 본 출원인은, 반응물을 플라즈마-처리하는 것이 개시된 전구체와 반응하기 위해 요구되는 에너지를 반응물에 제공할 수 있는 것으로 여긴다.
어떠한 타입의 막이 증착되기를 원하는지에 따라, 추가적인 전구체 화합물이 반응기내로 도입될 수 있다. 전구체는 규소-함유 막에 추가적인 원소를 제공하기 위해 사용될 수 있다. 추가적인 원소는 란탄족 원소(이터븀, 에르븀, 디스프로슘, 가돌리늄, 프라세오디뮴, 세륨, 란탄, 이트륨), 게르마늄, 규소, 티탄, 망간, 루테늄, 비스무트, 납, 마그네슘, 알루미늄, 또는 이들의 혼합물을 포함할 수 있다. 추가적인 전구체 화합물이 사용될 때, 기판 상에 증착된 얻어진 막은 적어도 하나의 추가적인 원소와 함께 규소를 함유한다.
Si-함유 막 형성 조성물 및 반응물은 반응기내로 동시에(화학적 증기 증착), 순차적으로(원자층 증착) 또는 이의 상이한 조합으로 도입될 수 있다. 반응기는 조성물의 도입과 반응물의 도입 사이에 불활성 가스로 퍼징될 수 있다. 대안적으로, 반응물 및 조성물은 반응물/조성물 혼합물을 형성하기 위해 함께 혼합될 수 있고, 이후에 반응기에 혼합물 형태로 도입될 수 있다. 다른 예는 반응물을 연속적으로 도입하고 펄스 식으로 Si-함유 막 형성 조성물을 도입하는 것이다(펄스 화학적 증기 증착).
증기화된 Si-함유 막 형성 조성물 및 반응물은 반응기내로 순차적으로 또는 동시(예를 들어, 펄스 CVD)에 펄스화될 수 있다. 조성물의 각 펄스는 약 0.01초 내지 약 10초, 대안적으로, 약 0.3초 내지 약 3초, 대안적으로, 약 0.5초 내지 약 2초 범위의 시간 동안 지속할 수 있다. 다른 구현예에서, 반응물은 또한, 반응기내로 펄스화될 수 있다. 이러한 구현예에서, 각 가스의 펄스는 약 0.01초 내지 약 10초, 대안적으로, 약 0.3초 내지 약 3초, 대안적으로, 약 0.5초 내지 약 2초 범위의 시간 동안 지속할 수 있다. 다른 대안으로, 증기화된 조성물 및 하나 이상의 반응물은 수 개의 웨이퍼를 보유하는 서셉터가 회전되는 샤워 헤드로부터 동시에 분무될 수 있다(공간적 ALD).
특정 공정 파라미터에 따라, 증착은 다양한 시간 길이 동안 일어날 수 있다. 일반적으로, 증착은 필요한 성질을 갖는 막을 생성시키기 위해 요망되거나 필요한 만큼 길게 지속할 수 있다. 통상적인 막 두께는 특정 증착 공정에 따라, 수 옹스트롱 내지 수백 마이크론으로 다양할 수 있다. 증착 공정은 또한, 요망되는 막을 얻기 위해 필요한 만큼 여러 번 수행될 수 있다.
하나의 비-제한적인 예시적인 CVD 타입 공정에서, 개시된 Si-함유 막 형성 조성물의 증기 상 및 반응물은 반응기내로 동시에 도입된다. 이러한 두 물질은 반응하여 얻어진 규소-함유 막을 형성한다. 이러한 예시적인 CVD 공정에서 반응물이 플라즈마로 처리될 때, 예시적인 CVD 공정은 예시적인 PECVD 공정이 된다. 반응물은 챔버로 도입 전 또는 후에 플라즈마로 처리될 수 있다.
하나의 비-제한적인 예시적인 ALD 타입 공정에서, 개시된 Si-함유 막 형성 조성물의 증기 상은 반응기내로 도입되며, 여기서, 이는 적합한 기판과 접촉된다. 과량의 조성물은 이후에, 반응기를 퍼징하고/거나 배기시킴으로써 반응기로부터 제거될 수 있다. 요망되는 가스(예를 들어, H2)가 반응기내로 도입되며, 여기서, 이는 자기-제한 방식으로 화학흡착된 또는 물리흡착된 전구체와 반응한다. 임의의 과량의 환원 가스는 반응기를 퍼징하고/거나 배기시킴으로써 반응기로부터 제거된다. 요망되는 막이 Si 막인 경우에, 이러한 2-단계 공정은 요망되는 막 두께를 제공할 수 있거나, 필요한 두께를 갖는 막이 얻어질 때까지 반복될 수 있다.
대안적으로, 요망되는 막이 규소 및 제2 원소를 함유하는 경우에, 상기 2-단계 공정 이후에, 반응기내로 추가적인 전구체 화합물의 증기의 도입이 이어질 수 있다. 추가적인 전구체 화합물은 증착되는 규소-함유 막의 성질을 기초로 하여 선택될 것이다. 반응기내로 도입 후에, 추가적인 전구체 화합물은 기판과 접촉된다. 임의의 과량의 전구체 화합물은 반응기를 퍼징하고/거나 배기시킴으로써 반응기로부터 제거된다. 다시 한번, 요망되는 가스는 물리흡착된 또는 화학흡착된 전구체 화합물과 반응하기 위해 반응기내로 도입될 수 있다. 과량의 가스는 반응기를 퍼징하고/거나 배기시킴으로써 반응기로부터 제거된다. 요망되는 막 두께가 달성된 경우에, 본 공정이 종결될 수 있다. 그러나, 보다 두꺼운 막이 요망되는 경우에, 전체 4-단계 공정이 반복될 수 있다. Si-함유 막 형성 조성물, 추가적인 전구체 화합물, 및 반응물의 제공을 교번함으로써, 요망되는 조성 및 두께의 막이 증착될 수 있다.
이러한 예시적인 ALD 공정에서 반응물이 플라즈마로 처리될 때, 예시적인 ALD 공정은 예시적인 PEALD 공정이 된다. 반응물은 챔버로 도입 전 또는 후에 플라즈마로 처리될 수 있다.
상기 논의된 공정으로부터 얻어진 규소-함유 막은 규소, 산화규소, 질화규소, 탄화규소, 및 탄소 도핑된 질화규소 막을 포함할 수 있다. 당업자는 적절한 Si-함유 막 형성 조성물, 선택적 전구체 화합물, 및 반응물 종의 공평한 선택에 의해, 요망되는 막 조성물이 얻어질 수 있다는 것을 인식할 것이다.
요망되는 막 두께를 얻을 시에, 막은 열적 어닐링, 로-어닐링, 고속 열적 어닐링, UV 또는 e-빔 경화, 및/또는 플라즈마 가스 노출과 같은 추가 가공으로 처리될 수 있다. 당업자는 이러한 추가적인 가공 단계를 수행하기 위해 사용되는 시스템 및 방법을 인식한다. 예를 들어, 규소-함유 막은 불활성 대기, H-함유 대기, N-함유 대기, O-함유 대기, 또는 이들의 조합 하에서 대략 0.1초 내지 대략 7200초 범위의 시간 동안 대략 200℃ 내지 및 대략 1000℃ 범위의 온도에 노출될 수 있다. 가장 바람직하게, 온도는 H-함유 대기 또는 O-함유 대기 하에서 3600초 동안 400℃이다. 대안적으로, 어닐링은 Si-함유 대기 하에서 수행될 수 있으며, 단, 본 공정은 규소-함유 화합물의 분해 온도 미만의 온도에서 수행된다. 규소-함유 대기를 형성하기 위해 사용될 수 있는 예시적인 규소-함유 분자는 SiH4, Si2H6, MeSiH3, Me2SiH2, 아미노실란, 이소시아네이토 실란(R-Si-NCO), 예를 들어, 테트라(이소시아네이토)실란(TICS)을 포함한다. 얻어진 막은 보다 적은 불순물을 함유할 수 있고, 이에 따라, 개선된 밀도를 가져서 누설 전류를 개선시킬 수 있다. 어닐링 단계는 증착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 반응 챔버로부터 제거될 수 있으며, 어닐링/플래시 어닐링 공정이 별도의 장비에서 수행된다. 임의의 상기 후-처리 방법, 특히 열적 어닐링은 막을 조밀화하고 습식 에칭률을 감소시키는 데 효과적인 것임을 발견하였다. 이는 결국 막의 저항률을 개선시키는 경향이 있다.
실시예
하기 실시예는 본원의 개시내용과 함께 수행되는 실험을 예시한다. 실시예는 모든 것을 포함하고자 하는 것은 아니고, 본원에 기술된 본 발명의 범위를 제한하고자 하는 것은 아니다.
실시예 1: 펜타클로로디실란[PCDS 또는 Si2HCl5]의 열분해 시험
도 3은 하기 시험을 위해 사용되는 증착 장비의 개략도이다. 장비는 기판 쿠폰(105)을 포함한 고온벽 튜브 반응기(100)를 포함한다. 펌프(110)는 고온벽 튜브 반응기(100)로부터 함유물을 제거한다.
개시된 Si-함유 막 형성 조성물의 증기는 라인(201)을 통해 전달 장치(200)로부터 고온벽 튜브 반응기(100)에 도입된다. 불활성 가스(205), 예를 들어, N2는 라인(206)을 통해 전달 장치(200)에 전달된다. 불활성 가스(205)는 또한, 라인(207)을 통해 반응기(100)에 전달될 수 있다.
산화 가스는 라인(301)을 통해 전달 장치(300)로부터 고온벽 튜브 반응기(100)에 도입될 수 있다. 산화 가스가 오존일 때, 라인(301)은 오존 발생기(303) 및 오존 모니터(304)를 포함할 수 있다. 산화 가스는 또한, 배기관(311)으로 전달될 수 있다.
질소 함유 가스는 라인(401)을 통해 전달 장치(400)로부터 고온벽 튜브 반응기(100)에 도입될 수 있다.
당업자는 라인(201, 206, 207, 301, 및 401)이 여러 압력 게이지, 체크 밸브, 밸브, 및 압력 조절기를 포함할 수 있으며 압력 조절 또는 우회 흐름을 위한 추가적인 라인이 도면을 단순하게 하기 위해 포함되지 않는다는 것을 인식할 것이다.
PCDS의 열 분해 거동을 시험하기 위해, 열분해 시험을 도 3의 증착 장비에서 SiO2 기판 또는 쿠폰 상에서 4개의 상이한 온도(400, 500, 600 및 700℃)에서 30분 동안 수행하였다. 고온벽 튜브 반응기를, 운반 가스로서 50 sccm의 N2를 사용하여 반응 챔버로 4 sccm의 PCDS의 연속 흐름과 함께, 1 Torr에서 유지시켰다. 열 분해는 반응물 없이 화학적 증기 증착을 모방하고, 전구체가 자가 분해하는 온도를 예시한다.
도 4(a), 도 4(b), 도 4(c), 및 도 4(d)는 얻어진 Si 막의 X-선 광전자 분광법(XPS) 깊이 프로파일이다. 도 4(a) 및 도 4(b)에 도시된 바와 같이, 규소 층이 형성되지 않기 때문에, PCDS의 열 분해가 일어나지 않았으며, 이러한 시험은 본래 SiO2 기판을 나타낸다. 도 4(c)는 600℃에서 Si-풍부 SiO2 층을 야기시키는 PCDS의 부분 분해를 나타낸다. 도 4(d)에 도시된 바와 같이, O, Cl 또는 C가 거의 포함되지 않거나 전혀 포함되지 않은 거의 100% Si 층을 600 내지 700℃에서 PCDS 단독의 분해로부터 SiO2 기판 상에 형성하였다. 이러한 막은 비정질 막 또는 다결정질 막 중 어느 하나이다. 당업자는 기판이 어떤 형태의 규소가 증착되었는지를 결정할 것이라는 점을 인식할 것이다.
비교예 1
헥사클로로디실란(HCDS 또는 Si2Cl6)의 비교 열분해 시험을 도 3의 증착 장비에서 SiO2 기판 상에서 4개의 상이한 온도(400, 500, 600 및 700℃)에서 30분 동안 수행하였다. 고온벽 튜브 반응기를, 운반 가스로서 50 sccm의 N2를 사용하여 반응 챔버로 4 sccm의 HCDS의 연속 흐름과 함께, 1 Torr에서 유지시켰다.
도 5(a), 도 5(b), 도 5(c), 및 도 5(d)는 얻어진 Si-함유 막의 X-선 광전자 분광법(XPS) 깊이 프로파일을 도시한 것이다. 알 수 있는 바와 같이, 임의의 온도에서 HCDS 분해에 의해 순수한 Si 층이 형성되지 않았다.
실시예 2 PCDS 또는 HCDS 및 O3/O2를 사용한 SiO2 ALD
SiO2 ALD를 Si 기판 상에서 500 내지 700℃의 온도 범위에서 산화제로서 O3과 함께 PCDS를 사용하여 수행하였다. 도 3의 반응로(reaction furnace)를 1 Torr에서 조절하고, 50 sccm의 N2를 연속적으로 흘려 보내었다. ALD 공정은 하기 단계를 포함하였다: 1) 10초 동안 반응 챔버에 1 펄스의 4 sccm의 PCDS를 공급하는 단계, 2) 90초 동안 50 sccm의 N2에 의해 과량의 전구체를 퍼징하는 단계, 3) 10초 동안 챔버에 약 7.2%의 O3/O2(O2: 100 sccm)를 공급하는 단계, 4) 30초 동안 50 sccm의 N2에 의해 과량의 O3/O2를 퍼징하는 단계. 1)에서 4)까지의 순서를, 증착된 층이 막 특징분석을 위한 적합한 두께를 달성할 때까지(즉, 100 Å 이상), 200회 사이클 동안 반복하였다. 본 방법은 PCDS와 동일한 ALD 공정 조건으로 PCDS를 HCDS로 대체하여 반복되었다. PCDS(원형)를 사용하여 4개의 별도의 쿠폰 기판 위치 및 HCDS(삼각형)를 사용하여 4개의 별도의 쿠폰 위치로부터의 평균 증착률은 도 6에 도시되어 있다.
PCDS의 증착률은 500℃에서 600℃로 증가하였고, 600℃ 내지 650℃에서 안정기(plateau)를 나타내었고, 이후에, 다시 최대 700℃까지 증가하였다. ALD 공정에서 PCDS의 증착률의 이러한 온도 의존성으로부터, ALD 윈도우는 600℃ 내지 650℃에서 관찰될 수 있다. 그러나, 동일한 도면에서, HCDS의 증착률은 500℃ 내지 700℃의 온도 범위에서 연속적으로 증가하였다. 결과적으로, 고려 가능한 ALD 윈도우가 HCDS에 대해 관찰되지 않았다. 본 출원인은 PCDS로부터 얻어진 SiO2 ALD 윈도우(즉, 600 내지 650℃)가 모노클로로실란 또는 헥사클로로디실란과 같은, 클로로실란 기반 Si 전구체를 사용하여 가장 높은 온도 ALD 윈도우를 제공할 것으로 여긴다. 당업자는 온도 및 압력이 이러한 ALD 증착 윈도우를 변경하도록 조작될 수 있다는 것을 인식할 것이다.
실시예 3 PCDS 및 O3의 SiO2 ALD 포화 거동
실시예 2로부터의 결과를 기초로 하여, SiO2 ALD 성장 거동의 PCDS 펄스 시간 의존성은 두 개의 온도, 550℃ 및 600℃에서 시험되며, 결과는 도 7에 제공된다. 실시예 2에서 온도 의존성 시험을 위해 사용되는 동일한 공정 조건을, PCDS의 펄스 시간이 550℃(다이아몬드) 및 600℃(사각형) 둘 모두에서 달라지는 것을 제외하고, 이러한 평가에 적용하였다. 두 온도 모두에서, 안정한 평균 증착률은 쿠폰 상의 3 내지 5개의 위치로부터 10 내지 15초의 PCDS의 펄스 시간에서 관찰된다: 600℃에서 0.91 내지 0.94 Å/사이클, 및 550℃에서 0.50 내지 0.52 Å/사이클. 일정한 증착률은 ALD 포화의 특성으로 간주된다.
실시예 4 XPS에 의한 SiO2 ALD 막 조성
XPS 분석을 막 조성을 시험하기 위해 550, 600, 650, 및 700℃에서 Si 기판 상에 PCDS로부터 실시예 2에서 증착된 SiO2 ALD 막에 대해 수행하였다. 도 8(a) 내지 도 8(d)는 5개의 원소, 즉, Si, Cl, C, N 및 O의 XPS 깊이 프로파일의 결과를 도시한 것이다. Cl, C 및 N이 막에서 검출되지 않았다. XPS 스퍼터 에너지를 550℃ 및 600℃에서 증착된 필름보다, 650℃ 및 700℃에서 증착된 막에 대해 더욱 높게 설정하였다. 결과적으로, 650℃ 및 700℃에서 증착된 막의 에칭 시간에 대한 분석 결과는 550℃ 및 600℃에서 증착된 막의 등가 에칭 시간보다 더욱 깊게 막으로 침투한다.
실시예 5 PCDS를 사용한 SiO2 ALD 막의 단차 피복
ALD에 의해 증착된 SiO2 막의 단차 피복을 600℃에서 8 마이크로미터 깊이를 갖는 종횡비(AR)=40을 갖는 홀을 갖는 패턴 웨이퍼 상에서 시험하였다. 도 3의 반응로를 1 Torr에서 조절하였고, 50 sccm의 N2를 연속적으로 흘려 보내었다. ALD 공정은 하기 단계를 포함하였다: 1) 10초 동안 반응 챔버에 1 펄스의 4 sccm의 PCDS를 공급하는 단계, 2) 90초 동안 50 sccm의 N2에 의해 과량의 전구체를 퍼징하는 단계, 3) 10초 동안 챔버에 약 7.2%의 O3/O2(O2: 100 sccm)를 공급하는 단계, 4) 10초 동안 50 sccm의 N2에 의해 과량의 O3/O2를 퍼징하는 단계. 1)에서 4)까지의 순서를 350회 사이클 동안 반복하였다. 도 9 및 도 9(a) 내지 도 9(c)는 전체 홀(도 9) 및 도 9 내의 3개의 상이한 위치에서의 얻어진 단차 피복을 나타낸 주사 전자 현미경 사진이다: (도 9(a)) 상부 단차 피복 = 93%, (도 9(b)) 중간(상부로부터 대략 2 마이크로미터 깊이) 단차 피복 = 77% 및 (도 9(c)) 하부 단차 피복 = 73%.
실시예 6 촉매적 저온 SiO2 ALD
SiO2 막을 ALD를 이용하여 PCDS, 산화제로서 H2O, 및 촉매로서 트리에틸아민(TEA)으로 증착하였다. 도 3의 반응로를 50 내지 100℃의 온도 범위에서, 5 Torr에서 조절하고, 200 sccm의 Ar을 연속적으로 흘려 보내었다. 증착 공정은 하기 단계를 포함하였다: 1) 10초 동안 반응로에 1 펄스의 1 sccm의 PCDS 및 50 sccm의 TEA를 도입하는 단계, 2) 10초 동안 1 slm의 Ar에 의해 반응로로부터 과량의 PCDS 및 TEA를 퍼징하는 단계, 3) 20초 동안 로에 15 sccm의 H2O 및 50 sccm의 TEA 및 1 slm의 Ar을 도입하는 단계, 4) 20초 동안 1 slm의 Ar에 의해 과량의 H2O 및 TEA를 퍼징하는 단계. 1)에서 4)까지의 순서를 300회 사이클 동안 반복하였다.
도 10은 증착률(빈 다이아몬드) 및 굴절률(채워진 사각형)의 공정 온도 의존성을 나타낸 그래프이다. 약 1.4 Å/사이클의 증착률 및 약 1.39의 굴절률은 70℃에서 얻어졌는데, 이는 희생적 사용을 위해 적합할 수 있는 저밀도 막을 나타낸다. 도 11은 70℃에서 증착된 SiO2 막의 XPS 깊이 프로파일을 나타낸 그래프이며, 이는 얻어진 막이 불순물을 함유하지 않는 것을 나타낸다.
실시예 7 SiN의 저온 CVD
SiN 막을 CVD를 통해 Si 공급원으로서 PCDS(원형) 또는 HCDS(사각형), 및 니트라이드화 공급원으로서 암모니아(NH3)를 사용하여 증착하였다. 도 3의 반응로를 1 Torr에서 조절하였고, 온도를 450℃ 내지 600℃에서 변경하였고, 100 sccm의 Ar을 연속적으로 흘려 보내었다. 1 sccm의 Si 공급원 및 25 sccm의 NH3의 혼합된 가스 흐름을, 증착된 층이 적합한 두께를 달성할 때까지, 60 내지 120분 동안 반응로에 공급하였다. 도 12는 HCDS + NH3에 의한 것보다 PCDS + NH3에 의한 증착률(빈 도형) 및 굴절률(채워진 도형)을 예시한 그래프이다.
실시예 8 SiN의 중간 온도 ALD
SiN 막을 ALD를 통해 Si 공급원으로서 PCDS(원형), HCDS(사각형), 또는 OCTS(옥타클로로트리실란)(삼각형) 및 니트라이드화 공급원으로서 암모니아(NH3)를 사용하여 증착하였다. 도 3의 반응로에서의 압력은 5 Torr에서 조절되며, 온도는 350℃ 내지 600℃에서 변경되었으며, 100 sccm의 Ar을 연속적으로 흘려 보내었다. 증착 공정은 하기 단계를 포함한다: 1) 10초 동안 반응로에 1 펄스의 1 sccm의 Si 공급원을 도입하는 단계, 2) 10초 동안 1 slm의 Ar에 의해 반응로로부터 과량의 Si 공급원을 퍼징하는 단계, 3) 반응로에 50 sccm의 NH3을 도입하는 단계, 및 4) 20초 동안 1 slm의 Ar에 의해 반응로로부터 과량의 NH3을 퍼징하는 단계. 1)에서 4)까지의 순서를, 증착된 층이 100Å 이상의 적합한 두께를 달성할 때까지, 200 내지 400회 사이클 동안 반복하였다.
도 13은 본 공정으로부터의 PCDS (원형), HCDS(사각형), 및 OCTS(삼각형) 증착률(빈 도형) 및 굴절률(채워진 도형)의 온도 의존성을 나타낸 그래프이다.
PCDS는 HCDS의 굴절률 값이 400℃ 및 550℃에서 더욱 높은 것을 제외하고, HCDS 또는 OCTS와 비교하여 더 높은 증착률 및 굴절률을 나타내었다. PCDS 및 HCDS의 증착률은 550℃ 내지 600℃에서 안정기를 나타내는데, 이는 자가-제한 ALD 거동을 나타내는 것이며, OCTS로부터 안정기가 관찰되지 않는다.
도 14는 600℃에서 PCDS 및 NH3으로 증착된 SiN 막의 XPS 깊이 프로파일을 나타낸 그래프이며, 이는 54%의 Si, 45%의 N, 및 미량의 O, C, 및 Cl 불순물을 포함한다.
도 13에 도시된 바와 같이, 반도체 소자의 제작에서 사용하기 위해 허용 가능할 수 있는 굴절률을 갖는 질화규소 막은 450℃ 내지 600℃ 범위의 기판 온도에서 PCDS를 사용하여, 그리고 500℃ 내지 600℃ 범위의 기판 온도에서 HCDS를 사용하여 증착된다. 단지 OCTS로부터 증착된 질화규소 막의 굴절률은 600℃에서 상업적으로 실행 가능하게 된다. 또한, PCDS로부터의 질화규소 막의 증착률은 이러한 온도 범위 내에서 HCDS로부터의 것보다 실질적으로 더 높다(즉, 40% 내지 100% 더 높음). 이에 따라, PCDS를 사용하는 것은 보다 낮은 온도에서 그리고 놀랍게도 높은 증착률로 고품질의 질화규소 막의 형성을 가능하게 한다. HCDS와 비교하여 PCDS를 위한 순수한 질화규소의 굴절률에 근접한 굴절률을 생성하는 온도 범위 확장은 또한, 반도체 소자를 생산하는 데 있어서 놀랍고 유익한 것이다. 요망되는 질화규소 막은 산업 표준 물질 HCDS를 사용하여 가능한 것보다 50℃ 더 낮은 기판 온도에서 얻어질 수 있다. 보다 낮은 온도는 가공에서 보다 낮은 열처리 경비(thermal budget)를 허용하는데, 이는 후속 가공 단계를 위한 더욱 큰 유연성 및 선택을 허용한다.
실시예 9 SiC의 저온 ALD
SiC 막을 ALD를 통해 Si 공급원으로서 PCDS 또는 HCDS, 및 탄소 공급원으로서 트리메틸알루미늄(TMA)을 사용하여 증착하였다. 도 3의 반응로에서의 압력을 8 Torr에서 조절하였으며, 온도를 350℃ 내지 400℃에서 변경시켰으며, 10 sccm의 N2를 연속적으로 흘려 보내었다. 증착 공정은 하기 단계를 포함한다: 1) 15초 동안 반응로에 1 펄스의 0.8 sccm의 Si 공급원을 도입하는 단계, 2) 30초 동안 25 sccm의 N2에 의해 반응로로부터 과량의 Si 공급원을 퍼징하는 단계, 3) 반응로에 1.8 sccm의 TMA를 도입하는 단계, 및 4) 60초 동안 25 sccm의 N2에 의해 반응로로부터 과량의 TMA를 퍼징하는 단계. 1)에서 4)까지의 순서를, 증착된 층이 100 Å 이상의 적합한 층 두께를 달성할 때까지 290회 사이클 반복하였다. 도 15는 O, Al, Cl 불순물을 포함하는, 400℃에서 PCDS를 사용하여 증착된 SiC 막의 XPS 깊이 프로파일을 도시한 것이다. 도 16은 측정 위치를 기초로 한 막 두께(빈 도형) 및 굴절률(채워진 도형)을 도시한 것이다. 그래프는 HCDS(삼각형) + TMA로 얻어진 것보다 높은 PCDS(사각형) + TMA로 얻어진 굴절률을 예시한다. 보다 높은 굴절률을 갖는 막(즉, PCDS + TMA로부터)은 보다 낮은 굴절률(즉, HCDS + TMA로부터)을 갖는 것보다 더욱 조밀할 수 있으며, 이는 습식 에칭 저항률을 향상시킬 수 있다.
실시예 10 Si(C)N의 저-T PEALD
도 17은 실시예 10의 시험을 위해 사용되는 증착 장비의 개략도이다. 장비는 웨이퍼 스테이지(101)를 포함한 반응기(100)를 포함한다. 웨이퍼는 펌프(108)에 연결된, 로드 록 챔버(load lock chamber)(102)에 의해 웨이퍼 스테이지(101)로 전달된다. 샤워 헤드(103)는 반응기(100)의 상부에 위치되며, 플라즈마는 RF 발생기(106)에 의해 발생될 수 있다. 드라이 펌프(105)는 반응기(100)의 내용물을 제거한다.
개시된 Si-함유 전구체는 라인(201)을 통해 전달 장치(200)로부터 반응기(100)에 도입된다. 불활성 가스(300), 예를 들어, Ar은 라인(301)을 통해 전달 장치(200)에 전달된다. 불활성 가스(300)는 또한, 라인(302)을 통해 반응기(100)에 전달될 수 있다.
니트라이드화 가스(400), 예를 들어, N2는 라인(401)을 통해 반응기(100)에 도입된다. 불활성 가스(300)는 또한 라인(303)을 통해 니트라이드화 가스(400)에 전달될 수 있다.
당업자는 라인(201, 301, 302, 303, 및 401)이 여러 압력 게이지, 체크 밸브, 밸브, 및 압력 조절기를 포함할 수 있으며 압력 조절 또는 우회 흐름을 위한 추가적인 라인이 도면을 단순화하기 위해 포함되지 않는다는 것을 인식할 것이다.
Si(C)N 막을 저온에서 도 17의 증착 장비를 이용하여 플라즈마 강화 ALD 공정을 통해 Si 공급원으로서 펜타키스(디메틸아미노)디실란(Si2H(NMe2)5) 및 질소 함유 반응물을 사용하여 증착하였다. 공정을 6" Si 웨이퍼 상에서, 직접 플라즈마 모드 하에서 수행하였다. 도 17의 반응로에서의 압력은 1 Torr에서 조절되며, 온도는 200℃ 내지 400℃에서 변하였고, 500 sccm의 Ar을 연속적으로 흘려 보내었다. 증착 공정은 하기 단계를 포함한다: 1) 7초 동안 반응로에 100 sccm의 N2의 N2 플라즈마 펄스(100 W)를 도입하는 단계, 2) 3초 동안 500 sccm의 N2에 의해 반응로를 퍼징하는 단계, 3) 5초 동안 반응로에 1 sccm의 펜타키스(디메틸아미노)디실란을 도입하는 단계, 및 4) 5초 동안 500 sccm의 N2에 의해 반응로로부터 과량의 Si 전구체를 퍼징하는 단계. 1)에서 4)까지의 순서를, 증착된 층이 100 Å 이상의 적합한 층 두께를 달성할 때까지 500회 사이클 반복하였다.
도 18은 275℃에서 증착된 Si(C)N 막의 XPS 깊이 프로파일을 도시한 것으로서, 막에 약 11% C 및 약 2% O와 함께 SiN이 형성된 것을 나타내었다. 도 19는 10초(채워진 도형) 및 20초(빈 도형) 퍼지 시간에 증착률(사각형) 및 굴절률(원형)의 Si 노출 시간을 도시한 것이다. 그래프는, 10초 퍼지 시간의 경우에, 증착률이 Si 노출 시간에 상응하여 증가하였지만, 굴절률이 Si 노출 시간에 대해 약 1.8에서 안정하게 유지됨을 예시한다. 그러나, Si 퍼지 시간이 10초에서 20초까지 증가하였을 때, 20초 Si 노출 시간의 경우에 대하여, 증착률은 0.5 Å/사이클에서 0.42 Å/사이클까지 감소하였으며, RI는 1.78에서 1.81까지 증가하였으며, 이는 보다 긴 펄스 공정 동안 비효율적인 퍼지 시간을 나타낼 수 있다.
본 발명의 본질을 설명하기 위해 본원에 기술되고 예시된, 세부사항, 물질, 단계, 및 부품의 배열에서의 많은 추가적인 변화가 첨부된 청구범위에서 표현되는 바와 같이, 당업자에 의해 본 발명의 원리 및 범위 내에서 이루어질 수 있는 것으로 이해될 것이다. 이에 따라, 본 발명은 전술한 실시예 및/또는 첨부된 도면에서의 특정 구현예로 한정되도록 의도되지 않는다.

Claims (15)

  1. 기판 상에 산화규소 막을 증착시키는 열적 ALD 방법으로서,
    a) 기판을 포함하는 반응기를 대략 500℃ 내지 대략 800℃ 범위의 온도 및 대략 0.1 내지 대략 10 Torr(13 Pa 내지 1,333 Pa) 범위의 압력으로 설정하는 단계;
    b) 펜타클로로디실란의 증기를 반응 챔버내로 도입시켜 기판 상에 규소-함유 층을 형성하는 단계;
    c) 산소-함유 반응물을 규소-함유 층과 반응시켜 대략 0.4 Å(0.04 nm) 내지 대략 1.5 Å(0.15 nm) 범위의 사이클 당 성장률을 갖는 산화규소 막의 층을 형성하는 단계; 및
    d) 단계 b) 및 단계 c)를 반복하는 단계를 포함하는 방법.
  2. 제1항에 있어서, 산소-함유 반응물이 오존과 산소의 혼합물인 방법.
  3. 제2항에 있어서, 혼합물이 적어도 5% v/v 오존을 포함하는 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 기판이 대략 10:1 내지 대략 100:1 범위의 종횡비를 갖는 홀(hole) 또는 트렌치(trench)를 포함하고, 홀 또는 트렌치 상에 산화규소 막의 대략 80% 내지 대략 100% 단차 피복을 얻는 것을 추가로 포함하는 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 산화규소 막이 플라즈마를 사용하지 않으면서 증착되는 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 사이클(cycle) 당 성장률이 대략 0.75 Å(0.075 nm) 내지 대략 1 Å(0.1 nm)의 범위인 방법.
  7. 기판 상에 질화규소 막을 증착시키는 열적 ALD 방법으로서,
    a) 기판을 포함한 반응기를 대략 450℃ 내지 대략 650℃ 범위의 온도 및 대략 0.1 내지 대략 100 Torr(13 Pa 내지 13,332 Pa) 범위의 압력으로 설정하는 단계;
    b) 펜타클로로디실란의 증기를 반응 챔버내로 도입시켜 기판 상에 규소-함유 층을 형성하는 단계;
    c) 질소-함유 반응물을 규소-함유 층과 반응시켜 대략 0.3 Å(0.03 nm) 내지 대략 2 Å(0.2 nm) 범위의 사이클 당 성장률 및 대략 1.8 내지 2.1 범위의 굴절률을 갖는 질화규소 막의 층을 형성하는 단계; 및
    d) 단계 b) 및 단계 c)를 반복하는 단계를 포함하는 방법.
  8. 제7항에 있어서, 질소-함유 반응물이 NH3인 방법.
  9. 제7항 또는 제8항에 있어서, 질화규소 막이 플라즈마를 사용하지 않으면서 증착되는 방법.
  10. 기판 상에 비정질 또는 다결정질 규소 막을 증착시키는 열적 증기 증착 방법으로서,
    a) 기판을 포함하는 반응기를 대략 550℃ 내지 대략 800℃ 범위의 온도 및 대략 0.1 내지 대략 100 Torr(13 Pa 내지 13,332 Pa) 범위의 압력으로 설정하는 단계; 및
    b) 펜타클로로디실란의 증기를 반응 챔버내로 도입시켜 기판 상에 규소 막을 형성하는 단계를 포함하는 방법.
  11. 제10항에 있어서, 환원 가스를 도입하는 것을 추가로 포함하는 방법.
  12. 제10항 또는 제11항에 있어서, 규소 막이 대략 0 원자% 내지 5 원자% C; 대략 0 원자% 내지 1 원자% N; 및 대략 0 원자% 내지 1 원자% Cl을 함유하는 방법.
  13. 제10항 내지 제12항 중 어느 한 항에 있어서, 규소 막이 비정질 규소 막인 방법.
  14. 제10항 내지 제12항 중 어느 한 항에 있어서, 규소 막이 폴리규소 막인 방법.
  15. 제10항 내지 제14항 중 어느 한 항에 있어서, 기판이 대략 10:1 내지 대략 100:1 범위의 종횡비를 갖는 홀 또는 트렌치를 포함하며, 홀 또는 트렌치 상에 규소 막의 대략 80% 내지 대략 100% 단차 피복을 얻는 것을 추가로 포함하는 방법.
KR1020187019584A 2015-12-28 2016-12-16 펜타-치환된 디실란을 사용한 규소-함유 막의 증기 증착 KR102676392B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/979,816 US9633838B2 (en) 2015-12-28 2015-12-28 Vapor deposition of silicon-containing films using penta-substituted disilanes
US14/979,816 2015-12-28
PCT/IB2016/001962 WO2017115147A2 (en) 2015-12-28 2016-12-16 Vapor disposition of silicon-containing films using penta-substituted disilanes

Publications (2)

Publication Number Publication Date
KR20180099716A true KR20180099716A (ko) 2018-09-05
KR102676392B1 KR102676392B1 (ko) 2024-06-18

Family

ID=55749599

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187019584A KR102676392B1 (ko) 2015-12-28 2016-12-16 펜타-치환된 디실란을 사용한 규소-함유 막의 증기 증착

Country Status (7)

Country Link
US (3) US9633838B2 (ko)
EP (1) EP3400607A4 (ko)
JP (1) JP2019501528A (ko)
KR (1) KR102676392B1 (ko)
CN (1) CN108475636B (ko)
SG (1) SG11201805070TA (ko)
WO (1) WO2017115147A2 (ko)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10480070B2 (en) 2016-05-12 2019-11-19 Versum Materials Us, Llc Delivery container with flow distributor
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US20190309416A1 (en) * 2016-09-28 2019-10-10 Dow Silicones Corporation Chlorodisilazanes
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) * 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
CN110476239B (zh) * 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10242885B2 (en) * 2017-05-26 2019-03-26 Applied Materials, Inc. Selective dry etching of metal films comprising multiple metal oxides
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
TWI784022B (zh) 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
TWI791547B (zh) * 2017-07-31 2023-02-11 中國大陸商南大光電半導體材料有限公司 製備五氯二矽烷之方法及包含五氯二矽烷之經純化的反應產物
JP6840051B2 (ja) * 2017-08-02 2021-03-10 東京エレクトロン株式会社 タングステン膜上へシリコン酸化膜を形成する方法および装置
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10304677B2 (en) * 2017-09-29 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k feature formation processes and structures formed thereby
DE102018110837A1 (de) 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Prozesse zur Bildung von Merkmalen mit einem niedrigen K-Wert und dadurch gebildete Aufbauten
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10510852B2 (en) * 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
EP3514128A1 (en) * 2018-01-18 2019-07-24 Heraeus GMSI LLC Process for manufacturing a silicon carbide coated body
EP3514129A1 (en) * 2018-01-18 2019-07-24 Heraeus GMSI LLC Process for manufacturing a silicon carbide coated body
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11043373B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect system with improved low-k dielectrics
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11072858B2 (en) * 2018-09-05 2021-07-27 Nova Engineering Films, Inc. Pulsing mixture of precursor and supercritical fluid to treat substrate surface
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2020072874A1 (en) * 2018-10-05 2020-04-09 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10700072B2 (en) * 2018-10-18 2020-06-30 Applied Materials, Inc. Cap layer for bit line resistance reduction
US11631680B2 (en) 2018-10-18 2023-04-18 Applied Materials, Inc. Methods and apparatus for smoothing dynamic random access memory bit line metal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN111211088B (zh) * 2018-11-21 2023-04-25 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US11211243B2 (en) * 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020131635A1 (en) * 2018-12-21 2020-06-25 K.K. Air Liquide Laboratories PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US11296209B2 (en) 2019-08-27 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. RF switch device with a sidewall spacer having a low dielectric constant
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN110854075B (zh) * 2019-11-13 2022-10-18 上海华力集成电路制造有限公司 Cmos器件制造方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111816556B (zh) * 2020-06-03 2024-01-23 中国科学院微电子研究所 晶体管及制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011029284A (ja) * 2009-07-22 2011-02-10 Tokyo Electron Ltd 成膜方法及び成膜装置
KR20110031132A (ko) * 2009-09-18 2011-03-24 주성엔지니어링(주) 산화막 증착 방법 및 이를 이용한 비아 콘택 형성 방법
US20150235834A1 (en) * 2012-11-07 2015-08-20 Up Chemical Co., Ltd. Method for manufacturing silicon-containing thin film
JP2015525773A (ja) * 2012-07-20 2015-09-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62229930A (ja) * 1986-03-31 1987-10-08 Toshiba Corp エピタキシヤル成長法
JP2001352087A (ja) * 2000-06-07 2001-12-21 Tokuyama Corp シリコン膜及びその製造方法
US6528430B2 (en) * 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP2003204063A (ja) * 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
US6451641B1 (en) * 2002-02-27 2002-09-17 Advanced Micro Devices, Inc. Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US9370881B2 (en) * 2005-03-02 2016-06-21 The Trustees Of Boston College Structures and methods of replicating the same
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
CN101466865A (zh) 2006-04-03 2009-06-24 乔治洛德方法研究和开发液化空气有限公司 通过化学汽相淀积使氮化硅膜和/或氧氮化硅膜淀积的方法
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
KR20090068179A (ko) * 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US7858535B2 (en) * 2008-05-02 2010-12-28 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ALD) processes and methods of fabricating semiconductor structures
JP5190307B2 (ja) * 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5575299B2 (ja) * 2009-11-27 2014-08-20 東京エレクトロン株式会社 成膜方法および成膜装置
JP5839514B2 (ja) * 2010-02-15 2016-01-06 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
EP2553141A4 (en) * 2010-04-01 2013-08-21 Air Liquide DEPOSITION OF FILMS CONTAINING METAL NITRIDES USING A COMBINATION OF AMINOUS AND HALOGENATED METAL PRECURSORS
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
WO2012057889A1 (en) * 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
CN103228827B (zh) * 2010-11-17 2015-01-21 新日铁住金株式会社 外延碳化硅单晶基板的制造方法
JP2013055240A (ja) * 2011-09-05 2013-03-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法、及び基板処理装置
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US20140179985A1 (en) * 2012-12-21 2014-06-26 Marcus ANDERSSON Prosthesis adapter
JP6068130B2 (ja) * 2012-12-25 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6088886B2 (ja) 2013-03-29 2017-03-01 株式会社Jsol イベント準備促進アドバイスシステム及びその方法
TW201509799A (zh) * 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US9576790B2 (en) * 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
KR20220016293A (ko) * 2015-05-22 2022-02-08 나타 세미컨덕터 머티리얼스 컴퍼니, 리미티드 펜타클로로다이실란

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011029284A (ja) * 2009-07-22 2011-02-10 Tokyo Electron Ltd 成膜方法及び成膜装置
KR20110031132A (ko) * 2009-09-18 2011-03-24 주성엔지니어링(주) 산화막 증착 방법 및 이를 이용한 비아 콘택 형성 방법
JP2015525773A (ja) * 2012-07-20 2015-09-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US20150235834A1 (en) * 2012-11-07 2015-08-20 Up Chemical Co., Ltd. Method for manufacturing silicon-containing thin film

Also Published As

Publication number Publication date
SG11201805070TA (en) 2018-07-30
EP3400607A2 (en) 2018-11-14
KR102676392B1 (ko) 2024-06-18
US20160111272A1 (en) 2016-04-21
WO2017115147A2 (en) 2017-07-06
CN108475636A (zh) 2018-08-31
EP3400607A4 (en) 2019-07-31
US20190027357A1 (en) 2019-01-24
WO2017115147A3 (en) 2017-08-10
JP2019501528A (ja) 2019-01-17
US9633838B2 (en) 2017-04-25
US20170186597A1 (en) 2017-06-29
CN108475636B (zh) 2023-08-15

Similar Documents

Publication Publication Date Title
KR102676392B1 (ko) 펜타-치환된 디실란을 사용한 규소-함유 막의 증기 증착
JP7320544B2 (ja) Si含有膜形成組成物およびその使用方法
KR102658085B1 (ko) 알킬아미노 치환 할로카보실란 전구체
TWI738200B (zh) 摻雜碳的矽氧化物的沉積
KR20170098850A (ko) 지르코늄-함유 막의 증기 증착을 위한 지르코늄-함유 막 형성 조성물
WO2019108330A1 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
EP3307744B1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
JP2019500497A5 (ko)
EP3307745B1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant