JP2008141191A - 低温ALDSiO2 - Google Patents

低温ALDSiO2 Download PDF

Info

Publication number
JP2008141191A
JP2008141191A JP2007292395A JP2007292395A JP2008141191A JP 2008141191 A JP2008141191 A JP 2008141191A JP 2007292395 A JP2007292395 A JP 2007292395A JP 2007292395 A JP2007292395 A JP 2007292395A JP 2008141191 A JP2008141191 A JP 2008141191A
Authority
JP
Japan
Prior art keywords
pyridine
substrate
chamber
exposing
silicon precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007292395A
Other languages
English (en)
Other versions
JP2008141191A5 (ja
JP5004765B2 (ja
Inventor
Maitreyee Mahajani
マハジャーニ マイトリイー
Yi-Chiau Huang
ファン イ‐チャウ
Brendan Mcdougall
マックドガール ブレンダン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008141191A publication Critical patent/JP2008141191A/ja
Publication of JP2008141191A5 publication Critical patent/JP2008141191A5/ja
Application granted granted Critical
Publication of JP5004765B2 publication Critical patent/JP5004765B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】一般的に、二酸化ケイ素を原子層堆積法によって堆積する方法であって、触媒としてピリジンを与えることによって、低温で堆積しつつ、水を酸化源として利用することができる方法を提供する。
【解決手段】基板を水にさらす前に、基板をピリジン浸漬プロセス320にさらし、更に、水をピリジンとともに別々のコンジットを通してチャンバに並流させ、チャンバに入る前の相互作用を減じる。変形例では、ピリジンをピリジンと反応しないシリコン前駆体とともに並流させてもよい。
【選択図】図3

Description

発明の背景
発明の分野
[0001]本発明の実施態様は、一般的に、二酸化ケイ素を原子層堆積(ALD)によって堆積する方法に関する。
関連技術の説明
[0002]半導体処理、フラットパネルディスプレイ処理、又は他の電子デバイス処理の分野において、気相堆積法は、材料を基板上に堆積するのに重要な役割を果たしてきた。電子デバイスの形状は縮小し続け、デバイスの密度は増大し続けているので、特徴部のサイズとアスペクト比は、より挑戦的になってきている。従って、材料のコンフォーマルな堆積によりこれらのデバイスを形成することがますます重要になってきている。
[0003]従来の化学気相堆積(CVD)は、約0.15μmまでのデバイスの形状とアスペクト比でうまくいくことが分かっているが、より挑戦的なデバイス形状には代わりの堆積技術が要求される。非常に注目を受けている技術の1つは、ALDである。ALDプロセスの間、反応ガスが、基板を収容するプロセスチャンバ内に連続的に導入される。一般的に、第1の反応種が、プロセスチャンバ内に脈動され、基板表面上に吸着する。次いで、第2の反応物が、プロセスチャンバ内に脈動され、第1の反応種と反応して、堆積物質を形成する。各反応ガスの分配の間に、パージステップが行われることがある。パージステップは、反応ガスの分配の間に、キャリヤガス又は脈動での連続的なパージである。
[0004]ALDによる二酸化ケイ素の形成は、当技術分野で知られている方法である。ALDによる二酸化ケイ素の形成において、シリコン前駆体がチャンバ内に脈動され、その後酸化剤源が脈動される。水を酸化剤源として用いるとき、水の穏やかな反応性のため、ALDプロセスは、典型的に、高温及びより長い露出時間を要求する。
[0005]それゆえ、ALDにおいて低温で水を用いて二酸化ケイ素を堆積する方法及び装置が、当技術分野において必要とされている。
発明の概要
[0006]本発明は、一般的に二酸化ケイ素ALD法を含む。触媒としてピリジンを与えることによって、低温で堆積する間、水を酸化剤源として利用することができる。基板を水にさらす前に、基板をピリジン浸漬工程にさらすのがよい。更に、水をピリジンとともに別々のコンジットを通してチャンバに並流させ、チャンバに入る前の相互作用を減じるのがよい。変形例では、ピリジンをピリジンと反応しないシリコン前駆体とともに並流させてもよい。
[0007]一実施態様では、本発明は二酸化ケイ素堆積法を含み、前記方法は、基板をチャンバ内に位置決めするステップと、基板をシリコン前駆体にさらすステップと、基板をピリジン浸漬液にさらすステップと、基板を酸化剤源にさらすステップと、を含む。
[0008]他の実施態様では、本発明は、二酸化ケイ素堆積法を含み、前記方法は、基板をチャンバ内に位置決めするステップと、基板をシリコン前駆体にさらすステップと、基板を酸化剤源とピリジンにさらすステップであって、ピリジンと酸化剤源が別々の入口を通してチャンバ内に流れる前記ステップと、を含む。
[0009]更に他の実施態様では、本発明は、二酸化ケイ素堆積法を含み、前記方法は、基板をチャンバ内に位置決めする方法と、基板をヘキサクロロジシランにさらすステップと、基板をHOとピリジンにさらすステップであって、ピリジンとHOが別々の入口を通してチャンバ内に流れる前記ステップと、を含む。
[0010]本発明の上述した特徴を詳細に理解できるように、上記で簡単に要約した本発明のより詳細な記載を実施形態を参照して示し、実施形態の幾つかを添付図面に示す。しかしながら、添付図面は、本発明の典型的な実施形態のみを示し、それゆえ本発明の範囲を限定すると考えてはならず、本発明は他の効果的に等しい実施形態も含むことが留意されなければならない。
[0017]理解を容易にするために、可能な場合は、図面間で共通である同一要素を示すために、同一の符号を用いた。一実施形態に開示された要素は、特に記載することなしに他の実施形態に有益に利用することができることを意図する。
詳細な説明
[0018]本発明は、一般的に二酸化ケイ素ALD法を含む。ピリジンを触媒として与えることによって、低温で堆積する間、水を酸化源として利用することができる。基板を水にさらす前に、基板をピリジン浸漬工程にさらす。更に、チャンバに入る前の相互作用を減じるために水を別々のコンジットを通してピリジンとともにチャンバに並流させる。変形例では、ピリジンは、ピリジンと反応しないシリコン前駆体とともに並流してもよい。
[0019]図1Aは、本発明の一実施形態による装置100の概略図である。装置100は、真空チャンバ102を含む。装置100は、基板104が配置される1以上のサセプタ106を収容するバッチ装置100であるのがよい。一実施形態では、装置100は単一基板104装置であるのがよい。処理量を増すために同時に1枚より多い基板104を処理するのが有益である。バッチ処理が提示する難題の1つは、ウエハ間の均一性を維持することである。
[0020]前駆体をインジェクタプレナム108を通して装置100に供給する。インジェクタプレナム108は、プレナム壁110及びインジェクションプレート114を備え、これらは一緒にインジェクションプレナムチャンバ122を囲み、プレナムチャンバ122を構成する。インジェクションプレート114は、複数の孔116を有し、孔116を通して前駆体ガス、パージガス、及びキャリヤガスが真空チャンバ102内に流れる。インジェクションプレート114は、真空チャンバ102がインジェクタプレナム108の低圧側部112となるように、インジェクタプレナム108を真空チャンバ102から分離する。前駆体、パージガス、及びキャリヤガスを、コンジット118a-118cを通してインジェクタプレナム108に導入する。
[0021]装置100は、排気プレナム124を通して排気することができる。排気プレナムは、排気プレナムチャンバ128を取り囲み、排気プレナムチャンバ128を構成する、排気プレート126及びプレナム壁130を備えるのがよい。複数の孔132が排気プレート126に存在するのがよい。ガスは、排気口136を通して排気プレナム124から排気される。
[0022]付加的なガスをコンジット134を通して排気プレナム124に導入するのがよい。付加的なガスは、反応副生成物を軽減し又は転換することができ、さもなければ反応生成物が排気プレナム124及び真空チャンバ102の表面上に凝集する。スロットルバルブ138が、真空チャンバ102の圧力を制御することができる。
[0023]ALDによって二酸化ケイ素を形成する場合、シリコン前駆体を装置100に分配する。シリコン含有材料を堆積するために有用なシリコン前駆体の例は、シラン、アルキルシラン、アミノシラン、アルキルアミノシラン、シラノール、又はアルコキシシランを含む。例えばシリコン前駆体は、(MeN)Si、(MeN)SiH、(MeN)SiH、(MeN)SiH、(EtN)Si、(EtN)SiH、(MeEtN)Si、(MeEtN)SiH、Si(NCO)、MeSi(NCO)、SiH、Si、SiCl、SiCl、MeSiCl、HSiCl、MeSiCl、HSiCl、MeSi(OH)、MeSi(OH)、(MeO)Si、(EtO)Si、又はこれらの誘導体を含む。シリコン前駆体として有用な他のアルキルアミノシラン化合物は、(RR’N)4-nSiH(R又はR’は独立して水素、メチル、エチル、プロピル、又はブチルであり、n=0-3である)を含む。他のアルコキシシランは、一般化学式(RO)4-nSiL、R=メチル、エチル、プロピル、又はブチル、L=H、OH、F、Cl、Br、又はI及びこれらの混合物)によって記載することができる。また、本発明の幾つかの実施形態において高級シランをシリコン前駆体として用いるのがよい。高級シランは、同一出願人に譲渡された米国特許出願公開第2004/0224089号(この開示内容は本願明細書に全体で援用されている)に開示される。幾つかの実施形態では、シリコン前駆体は、トリス(ジメチルアミノ)シラン((MeN)SiH又はトリスDMAS)、テトラキス(ジメチルアミノ)シラン((MeN)Si又はTDMAS)又は他のジアルキルアミノシランを含み、他の実施形態では、シリコン前駆体は、シラン(SiH)を含む。更に他の実施形態では、シリコン前駆体はヘキサクロロジシラン(HCDS)を含む。
[0024]ALD法で二酸化ケイ素を形成するための酸化源は、酸素(O)、オゾン(O)、酸素原子(O)、過酸化水素(H)、亜酸化窒素(NO)、一酸化窒素(NO)、五酸化二窒素(N)、二酸化窒素(NO)、水(HO)、これらの誘導体又はこれらの組み合わせを含む。例示的実施形態では、酸化源は水(HO)を含む。
[0025]水を酸化源として用いる場合、触媒を供給して、ALDを触媒が存在しないときに起こるよりも高速且つ低温で進めることができる。用いることができる触媒の例は、アンモニアとピリジンを含む。ピリジンと水は、相互作用する。それゆえ、水とピリジンが同一の入力コンジットを通してチャンバに一緒に並流するとき、水及びピリジンは、チャンバに達する前に相互作用する。水及びピリジンが相互作用する場合、ピリジンは、もはや触媒として効果的に機能せず、従って、ALD堆積速度は増大しない。
[0026]チャンバに達する前の水とピリジンの相互作用を防ぐために、ピリジン及び水をインジェクタプレナムを分離する別々の供給コンジットを用いてチャンバに並流させるのがよい。図1Bは、本発明の一実施形態によるインジェクタプレナム108a-cの概略図である。図1Bに示すように、各コンジット118a-118cは、別々のインジェクタプレナム108a-108cに供給される。そのため、ピリジンと水がチャンバに達するまでピリジン-水相互作用を防止することができる。
[0027]HCDSのようなシリコン前駆体は、ピリジンと相互作用しない。それゆえ、シリコン前駆体とピリジンは、同一のコンジットとインジェクタプレナムを用いてチャンバに並流させることができる。一実施形態では、ピリジンとシリコン前駆体は、同一のコンジットとインジェクタプレナムを用いてチャンバに流すことができる。他の実施形態では、ピリジン及びシリコン前駆体を、別々のコンジット及びインジェクタプレナムを用いてチャンバに流してもよい。
[0028]ピリジンと水を別々のインジェクタプレナム及びコンジットを用いてチャンバに並流させる代わりとして、水をチャンバに導入する前に基板をピリジン浸漬液にさらす。ピリジン浸漬は、他の前駆体又は水のような酸化剤を導入することなしに基板をピリジンにさらすことを含む。基板は、基板をピリジンで飽和させるのに十分な時間、ピリジンにさらすのがよい。一実施形態では、ピリジン浸漬は、10秒を超えて行う。ピリジン浸漬を行うことによって、十分な触媒がチャンバ内及び基板表面に存在することができ、水前駆体を導入するとき、触媒が確実に存在するようにする。ピリジンが既にチャンバ内にあるので、水がチャンバに達する前に、水と相互作用することによって全てのピリジンが消費されることはない。ピリジン浸漬を行う場合、付加的なピリジンを酸化剤及びシリコン前駆体と並流させるのがよい。一実施形態では、ピリジン浸漬を行い、シリコン前駆体及び続いて水をチャンバに分配するとき、ピリジンをチャンバ内に流し続ける。他の実施形態では、ピリジン浸漬を行い、水分配及びシリコン前駆体分配中、ピリジン分配を停止する。ピリジンにより、ほぼ室温から約160℃までのような低温で反応を生じることができる。一実施形態では、温度は約75℃である。
[0029]図2は、本発明の一実施形態による堆積方法のフローチャート200である。最初に、1以上の基板をプロセスチャンバ内に配置することができる(ステップ210)。次いで基板をピリジン浸漬液にさらすことができる(ステップ220)。ピリジン浸漬は、少なくとも10秒間行う。ピリジン浸漬に続いて、HCDSの脈動をチャンバに導入し、ピリジンをチャンバ内に流し続ける(ステップ230)。変形例では、ピリジン流を、ピリジン浸漬の後、停止し、次いでHCDSとともにチャンバ内に脈動させるのがよい。
[0030]HCDSの脈動に続いて、パージガスをチャンバ内に導入することによって、チャンバをパージすることができる(ステップ240)。用いることができるパージガスの例は、アルゴンのような不活性ガスを含む。一実施形態では、パージガスは窒素を含むのがよい。チャンバを排気して、パージガス及びチャンバ内に存在する全ての残留HCDSとピリジンを除去することができる。一実施形態では、パージステップのみ行い、排気は行わない。変形例では、パージステップを除去し、チャンバを排気してHCDS及びピリジンを除去してもよい。一実施形態では、パージガス導入の前と後の両方で排気を行うのがよい。他の実施形態では、パージ及び排気の両方を繰り返すのがよい。排気及び/又はパージは、複数回行うのがよい。更に他の実施形態では、パージ及び排気を、1つのステップに結合してもよい。
[0031]排気及び/又はパージに続いて、基板を第2のピリジン浸漬液にさらすことができる(ステップ250)。第2のピリジン浸漬は、上述した第1のピリジン浸漬に示される同一の処理条件下で行う。ピリジン浸漬に続いて、HOの脈動をチャンバに導入し、ピリジンをチャンバ内に流し続ける(ステップ260)。変形例では、ピリジン流を、ピリジン浸漬の後に停止し、次いでHOとともにチャンバ内に脈動させるのがよい。ピリジンが浸漬ステップから既にチャンバ内に存在するので、触媒として働くための十分なピリジンが存在する。基板をHOにさらすことに続いて、第2の排気及び/又はパージサイクル(ステップ270)を上述した条件下で行うのがよい。
[0032]チャンバを排気及び/又はパージした後、SiO層の厚さを測定して所定のSiO厚さに達しているか決定する(ステップ280)。もし、所定の厚さに達していなかったならば、堆積シーケンスを繰り返す。もし、所定の厚さに達していれば、次いで処理を終了する(ステップ290)。
[0033]図3は、本発明の他の実施形態による堆積方法のフローチャート300である。最初に、1以上の基板をプロセスチャンバ内に配置する(ステップ310)。次いで基板をピリジン浸漬液にさらす(ステップ320)。ピリジン浸漬は、少なくとも10秒間行うのがよい。ピリジン浸漬に続いて、HCDSの脈動をチャンバに導入し、ピリジンはチャンバに分配されない(ステップ330)。変形例では、ピリジン流を、ピリジン浸漬の後に停止し、次いでHCDSとともにチャンバ内に脈動させるか又はピリジンを分配し続けてもよい。
[0034]HCDSの脈動に続いて、上述したようにチャンバをパージ及び/又は排気するのがよい(ステップ340)。排気及び/又はパージに続いて、基板を第2のピリジン浸漬液にさらすことができる(ステップ350)。第2のピリジン浸漬は、上述した第1のピリジン浸漬に示される同一の処理条件下で行う。ピリジン浸漬に続いて、ピリジンの流れを停止し、HOの脈動をチャンバに導入することができる(ステップ360)。基板をHOにさらすことに続いて、第2の排気及び/又はパージサイクル(ステップ370)を上述した条件下で行うのがよい。
[0035]チャンバを排気及び/又はパージした後、SiO層の厚さを測定して所定のSiO厚さに達しているか決定する(ステップ380)。もし、所定の厚さに達していなかったならば、堆積シーケンスを繰り返す。もし、所定の厚さに達していれば、次いで処理を終了する(ステップ390)。
[0036]図4は、本発明の更に他の実施形態による堆積方法のフローチャート400である。最初に、1以上の基板をプロセスチャンバ内に配置することができる(ステップ410)。次いで基板をHCDS及びピリジンの脈動にさらすことができる(ステップ420)。HCDS及びピリジンの脈動に続いて、上述した方法で、パージガスをチャンバ内に導入することによってチャンバをパージすることができる(ステップ430)。
[0037]排気及び/又はパージに続いて、基板をHO及びピリジンの同時に発生する脈動にさらすことができる(ステップ440)。HOとピリジンは、異なるコンジットラインを通して、また、異なる入口を通してチャンバ内に並流する。異なるコンジットと異なる入口を利用することによって、HOとピリジンは、チャンバに達するまで互いにさらされない。もしピリジンとHOを同一のコンジットを通して並流させるならば、ピリジンとHOはチャンバに達する前に相互作用する。HOとピリジンが、チャンバに入る前に相互作用する場合、触媒としてのピリジンの効果が減じられるので、触媒が使い物にならなくなる。
[0038]基板をHOにさらすことに続いて、第2の排気及び/又はパージサイクル(ステップ450)を上述した条件下で行うのがよい。チャンバを排気及び/又はパージした後、SiO層の厚さを測定して所定のSiO厚さに達しているか決定することができる(ステップ460)。もし、所定の厚さに達していなかったならば、堆積シーケンスを繰り返す。もし、所定の厚さに達していれば、次いで処理を終了する(ステップ470)。
[0039]図5は、本発明の更に他の実施形態による堆積方法のフローチャート500である。最初に、1以上の基板をプロセスチャンバ内に配置することができる(ステップ510)。次いで基板をピリジン浸漬液にさらすことができる(ステップ520)。ピリジン浸漬は、少なくとも10秒間行うのがよい。ピリジン浸漬に続いて、HCDSの脈動をチャンバに導入し、ピリジンをチャンバ内に流し続ける(ステップ530)。変形例では、ピリジン流を、ピリジン浸漬の後、停止し、次いでHCDSとともにチャンバ内に脈動させてもよい。HCDSの脈動に続いて、上述したようにチャンバをパージ及び/又は排気することができる(ステップ540)。
[0040]排気及び/又はパージに続いて、基板を第2のピリジン浸漬にさらすのがよい(ステップ550)。第2のピリジン浸漬は、上述した第1のピリジン浸漬に示される同一の処理条件下で行う。ピリジン浸漬に続いて、HOの脈動をチャンバに導入し、ピリジンをチャンバ内に流し続ける(ステップ560)。変形例では、ピリジン浸漬の後、ピリジン流を止め、次いでHOとともにチャンバ内に脈動させる。一実施形態では、ピリジン及びHOを図4に関して上述した別々のコンジットを通して供給する。基板をHOへさらすことに続いて、第2の排気及び/又はパージサイクル(ステップ570)を上述した条件下で行うのがよい。
[0041]チャンバを排気及び/又はパージした後、SiO層の厚さを測定して所定のSiO厚さに達しているか決定することができる(ステップ580)。もし、所定の厚さに達していなかったならば、堆積シーケンスを繰り返す。もし、所定の厚さに達していれば、次いで処理を終了する(ステップ590)。
[0042]基板の処理について述べるとき、複数の基板を処理できることが理解されなければならない。例えば、約2枚の基板、約25枚の基板、約50枚の基板、又は約100枚の基板をバッチチャンバ内で処理することができる。更に、ピリジン浸漬は約1秒から約90分間、又は約1分から約20分間行うのがよい。変形例では、ピリジン浸漬を約30秒から約60分間、又は約20分から約40分間行ってもよい。更に他の変形例では、ピリジン浸漬を約1分から約40分間行ってもよい。
[0043]ピリジン浸漬を与え及び/又はピリジンとHOを別々のコンジットラインを通して並流させることによって、ピリジンが触媒として確実に働くのに十分なピリジンがチャンバ、従って基板表面に達する。HO酸化雰囲気で触媒としてピリジンを利用することによって、SiOALDを160℃未満で増大した速度で行うことができる。
[0044]上記は本発明の実施形態に関するが、本発明の基本的な範囲から逸脱することなしに本発明の他の及び更なる実施形態を構成することができ、本発明の範囲は添付の特許請求の範囲によって決定される。
図1Aは、本発明の一実施形態による装置100の概略図である。 図1Bは、本発明の一実施形態によるインジェクタプレナム108a-cの概略図である。 図2は、本発明の一実施形態による堆積方法のフローチャート200である。 図3は、本発明の他の実施形態による堆積方法のフローチャート300である。 図4は、本発明の更に他の実施形態による堆積方法のフローチャート400である。 図5は、本発明の更に他の実施形態による堆積方法のフローチャート500である。
符号の説明
100…装置、102…真空チャンバ、104…基板、106…サセプタ、108…インジェクタプレナム、108a…インジェクタプレナム、108b…インジェクタプレナム、108c…インジェクタプレナム、110…プレナム壁、112…低圧側部、114…インジェクションプレート、116…孔、118a…コンジット、118b…コンジット、118c…コンジット、118d…コンジット、120…ガスフロー、122…注入プレナムチャンバ、124…排気プレナム、126…排気プレート、128…排気プレナムチャンバ、130…プレナム壁、132…孔、134…コンジット、136…排気ポート。

Claims (20)

  1. 二酸化ケイ素堆積方法であって、
    (a)少なくとも1枚の基板をチャンバ内に位置決めするステップと、
    (b)該少なくとも1枚の基板をシリコン前駆体にさらすステップと、
    (c)該少なくとも1枚の基板をピリジン浸漬液にさらすステップと、
    (d)該少なくとも1枚の基板を酸化源にさらすステップと、
    を含む方法。
  2. 該堆積方法が、原子層堆積法である、請求項1に記載の方法。
  3. 該少なくとも1枚の基板をパージガスにさらすステップを更に含む、請求項1に記載の方法。
  4. 該少なくとも1枚の基板をシリコン前駆体にさらすステップが、少なくとも1枚の基板をシリコン前駆体とピリジンにさらすステップを含む、請求項1に記載の方法。
  5. 該少なくとも1枚の基板をシリコン前駆体にさらす前に該基板をピリジン浸漬液にさらすステップを更に含む、請求項1に記載の方法。
  6. 該少なくとも1枚の基板を酸化源にさらすステップが、該少なくとも1枚の基板を酸化源とピリジンにさらすステップを含む、請求項1に記載の方法。
  7. 該酸化源と該ピリジンが別々の入口を通して該チャンバ内に流れる、請求項6に記載の方法。
  8. 該シリコン前駆体が、ヘキサクロロジシランを含む、請求項1に記載の方法。
  9. 該酸化剤がHOを含む、請求項1に記載の方法。
  10. (b)-(d)を少なくとも1回繰り返すステップを更に含む、請求項1に記載の方法。
  11. 二酸化ケイ素堆積方法であって、
    (a)少なくとも1枚の基板をチャンバ内に位置決めするステップと、
    (b)該少なくとも1枚の基板をシリコン前駆体にさらすステップと、
    (c)該少なくとも1枚の基板を酸化源とピリジンにさらすステップであって、該ピリジンと該酸化源が別々の入口を通してチャンバ内に流れる前記ステップと、
    を含む前記方法。
  12. 該堆積方法が、原子層堆積法である、請求項11に記載の方法。
  13. 該少なくとも1枚の基板をパージガスにさらすステップを更に含む、請求項11に記載の方法。
  14. 該少なくとも1枚の基板をシリコン前駆体にさらすステップが、少なくとも1枚の基板をシリコン前駆体とピリジンにさらすステップを含む、請求項11に記載の方法。
  15. 該シリコン前駆体と該ピリジンが、別々の入口を通してチャンバ内に流れる、請求項14に記載の方法。
  16. 該シリコン前駆体がヘキサクロロジシランを含む、請求項11に記載の方法。
  17. 該酸化源がHOを含む、請求項11に記載の方法。
  18. (b)及び(c)のステップを少なくとも1回繰り返すステップを更に含む、請求項11に記載の方法。
  19. 該少なくとも1枚の基板をシリコン前駆体にさらす前に該少なくとも1枚の基板をピリジン浸漬液にさらすステップを更に含む、請求項11に記載の方法。
  20. 二酸化ケイ素堆積方法であって、
    (a)少なくとも1枚の基板をチャンバ内に位置決めするステップと、
    (b)該少なくとも1枚の基板をヘキサクロロジシランにさらすステップと、
    (c)該少なくとも1枚の基板をHOとピリジンにさらすステップであって、ピリジンとHOが別々の入口を通して該チャンバ内に流れる前記ステップと、
    を含む前記方法。
JP2007292395A 2006-11-14 2007-11-09 低温ALDSiO2 Expired - Fee Related JP5004765B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/559,491 2006-11-14
US11/559,491 US7749574B2 (en) 2006-11-14 2006-11-14 Low temperature ALD SiO2

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012086105A Division JP5449439B2 (ja) 2006-11-14 2012-04-05 低温ALDSiO2

Publications (3)

Publication Number Publication Date
JP2008141191A true JP2008141191A (ja) 2008-06-19
JP2008141191A5 JP2008141191A5 (ja) 2010-12-02
JP5004765B2 JP5004765B2 (ja) 2012-08-22

Family

ID=38805604

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007292395A Expired - Fee Related JP5004765B2 (ja) 2006-11-14 2007-11-09 低温ALDSiO2
JP2012086105A Expired - Fee Related JP5449439B2 (ja) 2006-11-14 2012-04-05 低温ALDSiO2

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012086105A Expired - Fee Related JP5449439B2 (ja) 2006-11-14 2012-04-05 低温ALDSiO2

Country Status (6)

Country Link
US (2) US7749574B2 (ja)
EP (1) EP1925691A1 (ja)
JP (2) JP5004765B2 (ja)
KR (1) KR100980900B1 (ja)
CN (1) CN101182633A (ja)
TW (1) TWI383064B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011043139A1 (ja) * 2009-10-06 2011-04-14 株式会社Adeka 化学気相成長用原料及びこれを用いたケイ素含有薄膜形成方法
JP2012114223A (ja) * 2010-11-24 2012-06-14 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
US8557716B2 (en) 2009-02-17 2013-10-15 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
JP2014505783A (ja) * 2010-06-08 2014-03-06 プレジデント アンド フェロウズ オブ ハーバード カレッジ シリカの低温合成法
JP2014229834A (ja) * 2013-05-24 2014-12-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
WO2015045163A1 (ja) * 2013-09-30 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体
JP2015165523A (ja) * 2013-03-19 2015-09-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システムおよびプログラム
JP2016058676A (ja) * 2014-09-12 2016-04-21 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JPWO2015136673A1 (ja) * 2014-03-13 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
JP2018525524A (ja) * 2015-08-10 2018-09-06 ルクセンブルク インスティトゥート オブ サイエンス アンド テクノロジー(リスト) 室温での原子層堆積によって生成されたSiO2薄膜
JP2020181938A (ja) * 2019-04-26 2020-11-05 株式会社トリケミカル研究所 酸化珪素膜形成方法

Families Citing this family (391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7749574B2 (en) * 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7858535B2 (en) * 2008-05-02 2010-12-28 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ALD) processes and methods of fabricating semiconductor structures
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100112191A1 (en) * 2008-10-30 2010-05-06 Micron Technology, Inc. Systems and associated methods for depositing materials
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110008972A1 (en) * 2009-07-13 2011-01-13 Daniel Damjanovic Methods for forming an ald sio2 film
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8580699B2 (en) 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9200365B2 (en) 2012-08-15 2015-12-01 Applied Material, Inc. Method of catalytic film deposition
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI498450B (zh) * 2012-11-22 2015-09-01 Nat Applied Res Laboratories Closed flow channel reaction tank system for manufacturing catalyst or support material
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US9643844B2 (en) 2013-03-01 2017-05-09 Applied Materials, Inc. Low temperature atomic layer deposition of films comprising SiCN or SiCON
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6111317B2 (ja) * 2013-03-19 2017-04-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置、プログラムおよび記録媒体
JP6155063B2 (ja) * 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103668108A (zh) * 2013-12-10 2014-03-26 中国科学院微电子研究所 一种氧化物介质的原子层沉积方法
JP6272033B2 (ja) * 2014-01-06 2018-01-31 株式会社Adeka 原子層堆積法による酸化ケイ素又は酸窒化ケイ素薄膜の製造方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10367071B2 (en) 2014-12-19 2019-07-30 Nxp Usa, Inc. Method and structure for a large-grain high-k dielectric
US9590063B2 (en) 2014-12-19 2017-03-07 Nxp Usa, Inc. Method and structure for a large-grain high-K dielectric
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9472392B2 (en) 2015-01-30 2016-10-18 Applied Materials, Inc. Step coverage dielectric
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) * 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
CN104911561B (zh) * 2015-04-14 2017-12-26 中国计量科学研究院 制备高厚度均匀性纳米/亚微米SiO2薄膜的方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI620830B (zh) * 2016-12-30 2018-04-11 Nat Chung Shan Inst Science & Tech Batch coating process system
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
DE112019003547T5 (de) * 2018-07-12 2021-03-25 Lotus Applied Technology, Llc Wasserunempfindliche verfahren zum bilden von metalloxidfilmen und damit in zusammenhang stehenden produkten
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings
US20240117491A1 (en) * 2022-10-07 2024-04-11 Applied Materials, Inc. Atomic layer deposition coating system for inner walls of gas lines

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
JP2004040110A (ja) * 2002-07-08 2004-02-05 Samsung Electronics Co Ltd 原子層堆積法によって基板に二酸化シリコン層を堆積する方法
JP2004176081A (ja) * 2002-11-25 2004-06-24 Matsushita Electric Works Ltd 原子層堆積法による光学多層膜の製造方法
JP2004260192A (ja) * 2003-02-27 2004-09-16 Samsung Electronics Co Ltd シロキサン化合物を利用した二酸化シリコン膜の形成方法
JP2007142415A (ja) * 2005-11-16 2007-06-07 Asm Internatl Nv Cvd又はaldによる膜の堆積のための方法
WO2007083651A1 (ja) * 2006-01-17 2007-07-26 Hitachi Kokusai Electric Inc. 半導体装置の製造方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6548047B1 (en) 1997-09-15 2003-04-15 Bristol-Myers Squibb Medical Imaging, Inc. Thermal preactivation of gaseous precursor filled compositions
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
DE10057009A1 (de) 2000-11-17 2002-05-29 Celanese Ventures Gmbh Non-Metallocene, Verfahren zur Herstellung von diesen und deren Verwendung zur Polymerisation von Olefinen
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US9376750B2 (en) 2001-07-18 2016-06-28 Regents Of The University Of Colorado, A Body Corporate Method of depositing an inorganic film on an organic polymer
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR100513719B1 (ko) * 2002-08-12 2005-09-07 삼성전자주식회사 하프늄 산화막 형성용 전구체 및 상기 전구체를 이용한하프늄 산화막의 형성방법
US7311942B2 (en) 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
KR20140096288A (ko) 2002-11-15 2014-08-05 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR100522427B1 (ko) * 2002-12-30 2005-10-20 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
DE10303413B3 (de) 2003-01-29 2004-08-05 Infineon Technologies Ag Verfahren zur Herstellung eines Oxidkragens für einen Grabenkondensator
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
KR100564609B1 (ko) * 2003-02-27 2006-03-29 삼성전자주식회사 실록산 화합물을 이용한 이산화실리콘막 형성 방법
US20050227007A1 (en) 2004-04-08 2005-10-13 Bradley Alexander Z Volatile copper(I) complexes for deposition of copper films by atomic layer deposition
US7052990B2 (en) 2003-09-03 2006-05-30 Infineon Technologies Ag Sealed pores in low-k material damascene conductive structures
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
TW200530427A (en) 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050161338A1 (en) 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
KR20060079347A (ko) * 2004-12-30 2006-07-06 주식회사 하이닉스반도체 반도체 소자의 게이트 형성 방법
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7749574B2 (en) * 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
JP2004040110A (ja) * 2002-07-08 2004-02-05 Samsung Electronics Co Ltd 原子層堆積法によって基板に二酸化シリコン層を堆積する方法
JP2004176081A (ja) * 2002-11-25 2004-06-24 Matsushita Electric Works Ltd 原子層堆積法による光学多層膜の製造方法
JP2004260192A (ja) * 2003-02-27 2004-09-16 Samsung Electronics Co Ltd シロキサン化合物を利用した二酸化シリコン膜の形成方法
JP2007142415A (ja) * 2005-11-16 2007-06-07 Asm Internatl Nv Cvd又はaldによる膜の堆積のための方法
WO2007083651A1 (ja) * 2006-01-17 2007-07-26 Hitachi Kokusai Electric Inc. 半導体装置の製造方法

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8557716B2 (en) 2009-02-17 2013-10-15 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
WO2011043139A1 (ja) * 2009-10-06 2011-04-14 株式会社Adeka 化学気相成長用原料及びこれを用いたケイ素含有薄膜形成方法
JP2011080108A (ja) * 2009-10-06 2011-04-21 Adeka Corp 化学気相成長用原料及びこれを用いたケイ素含有薄膜形成方法
JP2014505783A (ja) * 2010-06-08 2014-03-06 プレジデント アンド フェロウズ オブ ハーバード カレッジ シリカの低温合成法
JP2012114223A (ja) * 2010-11-24 2012-06-14 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
US9831082B2 (en) 2013-03-19 2017-11-28 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and non-transitory computer-readable recording medium
JP2015165523A (ja) * 2013-03-19 2015-09-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システムおよびプログラム
US9472391B2 (en) 2013-05-24 2016-10-18 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method
JP2014229834A (ja) * 2013-05-24 2014-12-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
WO2015045163A1 (ja) * 2013-09-30 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及び記録媒体
JP6068661B2 (ja) * 2013-09-30 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム
JPWO2015136673A1 (ja) * 2014-03-13 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
JP2016058676A (ja) * 2014-09-12 2016-04-21 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2018525524A (ja) * 2015-08-10 2018-09-06 ルクセンブルク インスティトゥート オブ サイエンス アンド テクノロジー(リスト) 室温での原子層堆積によって生成されたSiO2薄膜
JP7011325B2 (ja) 2015-08-10 2022-01-26 ルクセンブルク インスティトゥート オブ サイエンス アンド テクノロジー(リスト) 室温での原子層堆積によって生成されたSiO2薄膜
JP2020181938A (ja) * 2019-04-26 2020-11-05 株式会社トリケミカル研究所 酸化珪素膜形成方法
JP7240946B2 (ja) 2019-04-26 2023-03-16 株式会社トリケミカル研究所 酸化珪素膜形成方法

Also Published As

Publication number Publication date
US20080113097A1 (en) 2008-05-15
JP5449439B2 (ja) 2014-03-19
US20100227061A1 (en) 2010-09-09
KR100980900B1 (ko) 2010-09-07
TWI383064B (zh) 2013-01-21
KR20080043705A (ko) 2008-05-19
JP2012142611A (ja) 2012-07-26
US7749574B2 (en) 2010-07-06
EP1925691A1 (en) 2008-05-28
CN101182633A (zh) 2008-05-21
US7897208B2 (en) 2011-03-01
TW200831699A (en) 2008-08-01
JP5004765B2 (ja) 2012-08-22

Similar Documents

Publication Publication Date Title
JP5449439B2 (ja) 低温ALDSiO2
JP5219466B2 (ja) 高−k材料の触媒補助ケイ酸塩の堆積方法
KR101977522B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR101454603B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR101705966B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP7050985B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US8673790B2 (en) Method of manufacturing a semiconductor device, method of cleaning a process vessel, and substrate processing apparatus
KR101749413B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6086942B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6953480B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
KR102297247B1 (ko) 처리 용기 내의 부재를 클리닝하는 방법, 반도체 장치의 제조 방법, 기판 처리 장치, 및 프로그램
CN107240563B (zh) 衬底处理装置及半导体器件的制造方法
WO2021053756A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
WO2017056155A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
CN112640061A (zh) 基板处理装置、半导体装置的制造方法及程序
TWI831204B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
JP7342138B2 (ja) 基板処理装置、プラズマ生成装置、半導体装置の製造方法、プラズマ生成方法およびプログラム
JP2022087143A (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2022023076A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101013

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101018

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120406

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120425

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120522

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150601

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5004765

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees