JP2019507750A - 有機金属化合物及び方法 - Google Patents

有機金属化合物及び方法 Download PDF

Info

Publication number
JP2019507750A
JP2019507750A JP2018542759A JP2018542759A JP2019507750A JP 2019507750 A JP2019507750 A JP 2019507750A JP 2018542759 A JP2018542759 A JP 2018542759A JP 2018542759 A JP2018542759 A JP 2018542759A JP 2019507750 A JP2019507750 A JP 2019507750A
Authority
JP
Japan
Prior art keywords
group
carbon atoms
compound according
cyclic
methyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018542759A
Other languages
English (en)
Other versions
JP7072511B2 (ja
Inventor
オデドラ,ラジェシュ
ドン,チュンハイ
センベラ,ショウン
Original Assignee
シースター ケミカルズ ユーエルシー
シースター ケミカルズ ユーエルシー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シースター ケミカルズ ユーエルシー, シースター ケミカルズ ユーエルシー filed Critical シースター ケミカルズ ユーエルシー
Publication of JP2019507750A publication Critical patent/JP2019507750A/ja
Priority to JP2021118491A priority Critical patent/JP7265589B2/ja
Application granted granted Critical
Publication of JP7072511B2 publication Critical patent/JP7072511B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Abstract

【課題】有機金属化合物の一分類が提供される。【解決手段】化合物は、式1:(A)x−M−(OR3)4−xの構造に相当し、式中、Aは、−NR1R2、−N(R4)(CH2)nN(R5R6)、−N=C(NR4R5)(NR6R7)、OCOR1、ハロ及びYからなる群から選択され;R1及びR2は、独立して、R1及びR2のうちの少なくとも1つはH以外でなければならないことを条件として、H及び1〜8個の炭素原子を有する環式又は非環式アルキル基からなる群から選択され;R4、R5、R6及びR7は、独立して、H及び1〜4個の炭素原子を有する非環式アルキル基からなる群から選択され;Yは、少なくとも1個の窒素原子を含有する3〜13位の複素環式基からなる群から選択され;R3は、1〜6個の炭素原子を有する環式又は非環式アルキル基であり;Mは、Si、Ge、Sn、Ti、Zr及びHfからなる群から選択され;xは、1〜3の整数であり;かつ、nは1〜4の整数である。本発明の化合物は、原子層堆積(ALD)、化学蒸着(CVD)、プラズマアシストALD及びプラズマアシストCVDのような化学相堆積プロセスにおいて前駆体として有用となり得る。SiO2膜のような、金属酸化物膜の低温気相蒸着の方法も提供される。【選択図】 図1

Description

本発明は、金属酸化物気相蒸着の前駆体として有用となり得る有機金属化合物に関する。本発明の有機金属化合物は、強いルイス塩基である配位子を1つ以上含む。本発明は、かかる化合物を触媒として使用した、オキシダントの存在下での金属酸化物低温気相蒸着にも関する。
トランジスタのサイズが縮小され続けていることから、SiO及びその他の金属酸化物を高温で熱蒸着するための標準的方法の使用に問題が生じてきた。高温の使用は、いくつかの元素の拡散を引き起こす。この拡散は、トランジスタの基本的特性を変える。結果として、デバイスが損傷される。したがって、High−kを適用するための高品質SiO及び金属酸化物の低温熱蒸着が好ましい。しかし、一般的に、プラズマアシスト蒸着は、その下にあるデバイス構造を損傷する可能性があることから、SiOの熱(すなわち、高温)蒸着が好ましい。二酸化ケイ素(SiO)は、シリコンマイクロエレクトロニクスデバイスにおいて一般的な誘電材料である。高品質のSiOは、700〜900℃でのケイ素の熱酸化によって形成されてきた。SiOは、化学蒸着(CVD)による堆積も行われており、いくつかのこのようなアプローチは、プラズマ技術を利用する。しかし、CVDは高アスペクト比構造においてコンフォーマルではなく、トレンチ及びバイアスにボイド構造を示す。
原子層堆積(ALD)法は、コンフォーマル性及び薄膜成長の原子層制御を得るために使用できる。原子層堆積(ALD)は、逐次的な、自己制御型の表面反応に基づく成長方法である。酸化物、窒化物、及び種々の金属等の様々な材料が、ALDを用いて堆積されてきた。
その重要性にもかかわらず、SiOのALDは実現が困難であった。SiCl及びHOを使用するSiOのALDは、高温(>325℃)及び大量の反応物質曝露(>109L(1L)10−6トル)を必要とする。NH又はピリジンの使用により、室温に近い温度及び約103〜104Lの曝露が可能になる。しかし、上記の方法によって発生する副生成物は、真空ラインの閉塞、アミン塩酸塩の薄膜への混入を引き起こす場合があり、そのため、薄膜の最終的な品質は極めて低い。
しかし、これらの方法においてハロゲン化物を使用すると、堆積中に腐食性のHClが放出される。さらに、放出されたHClは、アミン触媒と反応して塩化物塩を形成し、膜汚染、ひいては低い膜品質を招く。
ハロゲン化物の使用を避けるため、SiOのALDは、アルコキシシラン、アミノシラン及びイソシアナート等の様々な反応物質の使用、種々の触媒及び反応条件の使用を試みてきた。これらの方法は、大量の反応物質曝露を必要とする、長い蒸着時間や、堆積膜の汚染を生じるなど、多数の欠点に悩まされてきた。
有機金属化合物の一分類が提供される。化合物は、式1の構造に相当し:
(A)−M−(OR4−x
式中:
Aは、−NR、−N(R)(CH)nN(R)、−N=C(NR)(NR)、OCOR、ハロ及びYからなる群から選択され;
及びRは、R及びRのうちの少なくとも1つはH以外でなければならないことを条件として、独立して、H及び1〜8個の炭素原子を有する環式又は非環式アルキル基からなる群から選択され;
、R、R及びRは、独立して、H及び1〜4個の炭素原子を有する非環式アルキル基からなる群から選択され;
Yは、少なくとも1個の窒素原子を含有する3員〜13員の複素環式基からなる群から選択され;
は、1〜6個の炭素原子を有する環式又は非環式アルキル基であり;
Mは、Si、Ge、Sn、Ti、Zr及びHfからなる群から選択され;
xは、1〜3の整数であり;
nは、1〜4の整数である。
かかる化合物は、金属酸化物気相蒸着の前駆体として有用となり得る。本発明の化合物は、強いルイス塩基である配位子を1つ以上含む。代表的な塩基は、アセタート、ハロゲン化物並びにホスファゼン、アミジン及びグアニジンのような中性でプロトン親和性の高い含窒素種を含む。
これらの化合物は、CVD、ALD、プラズマアシストALD及びプラズマアシストCVD等の気相堆積プロセスの前駆体として有用となり得る。
薄膜堆積のためのALDシステムの概略図である。 (ピロロジニル)Si(OMe)のNMRスペクトルを示す。 (ピロロジニル)Si(OMe)のNMRスペクトルを示す。 (ピロロジニル)Si(OMe)のTGAを示す。 (ピロロジニル)Si(OMe)の蒸気圧を示す。 (ピロロジニル)Si(OMe)の熱安定性を示す。 (ピロロジニル)Si(OMe)のNMRスペクトルを示す。 (ピロロジニル)Si(OMe)のTGAを示す。 (ピロロジニル)Si(OMe)の蒸気圧を示す。 (テトラメチルグアニジニル)Si(OMe)のNMRスペクトルを示す。 (テトラメチルグアニジニル)Si(OMe)のNMRスペクトルを示す。 (EtN)Si(OMe)のNMRスペクトルを示す。 ClSi(OMe)のNMRスペクトルを示す。 ClSi(OMe)のNMRスペクトルを示す。 (AcO)Si(OMe)のNMRスペクトルを示す。 (MeN)Si(OMe)のNMRスペクトルを示す。 (MeN)Si(OMe)の蒸気圧を示す。 (MeN)Si(OMe)の熱安定性を示す。 (ピロロジニル)Si(OMe)及びHOを80トルにて使用したSiOのCVD成長速度と温度の関係を示す。 (ピロロジニル)Si(OMe)及びOを使用したSiOのCVD成長速度と温度及び圧力の関係を示す。 (ピロロジニル)Si(OMe)及びOを使用したSiOのALDであり、ALDサイクルの数と膜厚の線形性を示す。 (ピロロジニル)Si(OMe)及びOを用いたSiOのALDであり、成長速度に対する温度の影響を示す。 希HF酸(0.1%)中でSiOの膜のウェットエッチング速度を示す。膜は(ピロロジニル)Si(OMe)及びOを使用して250℃及び種々の圧力にてCVDにより作製。 希HF酸(0.1%)中でのSiO膜のウェットエッチング速度を示す。膜は(ピロロジニル)Si(OMe)及びOを使用して種々の温度にてCVD及びALDにより作製。 同一条件を用いて酸化ケイ素の堆積実施に使用した新規材料と市販材料とのウェットエッチング速度の比較を示す。
有機金属化合物の一分類が提供される。化合物は、式1の構造に相当し:
(A)−M−(OR4−x
式中:
Aは、−NR、−N(R)(CH)nN(R)、−N=C(NR)(NR6R7)、OCOR、ハロ及びYからなる群から選択され;
及びRは、R及びRのうちの少なくとも1つはH以外でなければならないことを条件として、独立して、H及び1〜8個の炭素原子を有する環式又は非環式アルキル基からなる群から選択され;
、R、R及びRは、独立して、H及び1〜4個の炭素原子を有する非環式アルキル基からなる群から選択され;
Yは、少なくとも1個の窒素原子を含有する3〜13位の複素環式基からなる群から選択され;
は、1〜6個の炭素原子を有する環式又は非環式アルキル基であり;
Mは、Si、Ge、Sn、Ti、Zr及びHfからなる群から選択され;
xは、1〜3の整数であり;
nは、1〜4の整数である。
かかる化合物は、金属酸化物気相蒸着の前駆体として有用となり得る。本発明の化合物は、強いルイス塩基である配位子を1つ以上含む。代表的な塩基は、アセタート、ハロゲン化物並びにホスファゼン、アミジン及びグアニジンのような中性でプロトン親和性の高い含窒素種を含む。
強塩基は、当該技術分野で使用される塩基の代表例であるNHのような塩基よりもはるかに有効かつ効率的にSiOの形成を触媒する。強塩基触媒の使用により、低温でのSiOのCVD及びALDの蒸着が可能になる。さらには、高品質のSiO膜も得られる。
本発明の化合物は、原子層堆積(ALD)、化学気相堆積(CVD)、プラズマアシストALD及びプラズマアシストCVDのような化学相堆積のプロセスにおいて前駆体として有用となり得る。
本発明の化合物を上記プロセスに使用することは、当該技術分野において以前から知られているプロセスよりも低温(0〜500℃)で蒸着を実施できるという利点がある。
反応が進行する温度範囲は、式1の化合物に結合する(NR)x基の数を変える(すなわち、xを変える)ことによって、及び(NR)基の性質を変えることによって、調節できる。
反応温度は、0〜500℃、より好ましくは100〜350℃の範囲であってもよい。
強塩基配位子を式1の化合物に組み込むことにより、2成分(Si前駆体+触媒)を使用する当該技術分野のプロセスと比べて、より単純なプロセスが可能になり、曝露の均一性及び膜品質が改善される。
式1の化合物は、基材への適用を促進する揮発性及び安定性等の所望の特性を提供するように設計できる。これは、強塩基配位子A及びアルキル基(OR)の数(x)及び同一性を調節することによって影響を受ける可能性がある。
本発明の化合物は、Mが、Si、Ge、Sn、Ti、Hf及びZrからなる群から選択される化合物を含む。好ましい化合物としては、Mが、Si、Ge及びSnからなる群から選択されるものが挙げられる。より好ましい化合物としては、MがSiのものが挙げられる。
本発明の化合物は、Rが、1〜6個の炭素原子を有する環式又は非環式アルキル基である化合物も含む。好ましい化合物は、Rが1〜4個の炭素原子を有する直鎖又は分岐した低級アルキル基である化合物である。さらに他の好ましい化合物は、Rがメチル及びエチルからなる群から選択される化合物である。
本発明の化合物としては、Aが、−NR、−N(R)(CH)nN(R)、−N=C(NR)(NR)、OCOR、ハロ及びYからなる群から選択される化合物も挙げられる。好ましい化合物としては、Aがアセタート、テトラエチルグアニジニル、ジメチルエチレンジアミニル、ブロモ、ヨード及び−NR基からなる群から選択されるものが挙げられる。より好ましい化合物としては、Aが−NR基であるものが挙げられる。
その他の好ましい化合物は、R及びRが、独立して、H及び1〜8個の炭素原子を有する環式又は非環式アルキル基からなる群から選択される化合物である。
本発明のより好ましい化合物としては、R及びRが、独立して、1〜4個の炭素原子を有するアルキル基からなる群から選択されるものが挙げられる。本発明のその他の好ましい化合物としては、R及びRが、独立して、メチル、エチル及びイソブチルからなる群から選択されるものが挙げられる。
本発明の化合物は、Yが、少なくとも1個の窒素原子を含有する3〜13位の複素環式ラジカルを表すものも含む。
本発明の好ましい化合物としては、Yが、アジリジニル、アゼチジニル、ピロリジニル、ピロリル、ピペリジニル、ピリジニル、アゼパニル、又はアゼピニルのような基である化合物が挙げられる。
本発明の更なる化合物としては、Yが少なくとも1個のその他のヘテロ原子を有するもの、例えば、オキサジリジニル、イミダゾリジニル、ピラゾリジニル、オキサゾリジニル、イソオキサゾリジニル、ピペラジニル、モルホリニル、イミダゾリル、ピラゾリル、オキサゾリニル、イソオキサゾリル、ジアジニル、又はオキサジニル基である化合物が挙げられる。
好ましい化合物は、Yが、ピロリジニル、アゼチジニル及びアジリジニルからなる群から選択される化合物である。
本発明の化合物としては、R、R、R及びRが、独立して、H及び1〜4個の炭素原子を有する非環式アルキル基からなる群から選択されるものも挙げられる。好ましい化合物は、独立して、メチル及びエチルからなる群から選択されるものである。
本発明の化合物は、ALD又はCVDのような方法を使用した薄膜堆積のための前躯体として有用となり得る。例えば、SiO膜のALDによる蒸着を実施し得る1つの方法は、次のとおりである:
a)表面を覆う官能性O−H基を有する少なくとも1つの基材を提供する、
b)上記基材に、少なくとも1種の式1の化合物(式中、M=Si)を気相中にて送達する、
c)基材をパージガスでパージする;
d)上記基材に、酸素源を、気相中にて送達する、
e)基材をパージガスでパージする;
f)工程b)〜工程e)を、所望の厚さの酸化ケイ素が堆積されるまで繰り返す。
好適な酸素源としては、気相中HO、気相中H、O、O及びヒドラジンが挙げられるがこれらに限定されない。
ALDシステムの典型的な概略図を図1に示す。
前駆体Aの反応の半分のサイクルでは、Ar等の不活性キャリアガス(1)は、制御された流量で手動弁(2)及びマスフローコントローラ(3)を通って、前駆体Aを収容するバブラー1(7)まで流れ、気化した前駆体Aを反応室(10)へと運ぶ。バブラー1用の自動切換弁(ASV)4及び8は、予め設定した時間の長さで自動的に開く。その後、ASV4及び8は自動的に閉まり、続いて反応室を、予め設定した時間の長さでパージ及び真空処理する。前駆体Aの反応の半サイクルが終了する。自動的に、ASV13及び17が開き、Ar等の不活性キャリアガス(1)は、制御された流量で手動弁(2)及びマスフローコントローラ(3)を通って、前駆体Bを収容するバブラー2(15)まで流れ、気化した前駆体Bを反応室(10)へと運ぶ。予め設定した時間の長さの後、ASV13及び17は自動的に閉まり、その後反応室を、予め設定した時間の長さでパージ及び真空処理する。前駆体Bの反応の半サイクルが終了する。全反応サイクルが終了し、すなわち、生成物の1つの原子層が基材(20)上に堆積される。このサイクルを繰り返して、所望の厚さを得る。温度は、ヒーター(18)及び熱電対(19)によって制御される。反応室内の圧力は、圧力調整弁(12)によって制御され、この弁は真空ポンプに接続している。
本発明の化合物は、当該技術分野において既知のプロセスによって調製されてもよい。以下の実施例は、かかるプロセスの例示であるが、限定することを意図するものではない。
(ピロロジニル)Si(OMe)の合成
化学式:[(CHN]−Si(OCH
7.1gのピロリジン及び100mLのヘキサンを、250mLフラスコにN下で仕込んだ後、40mLの2.5M BuLiを加えた。1時間撹拌した後、15.2gのオルトケイ酸テトラメチルを加えた。終夜撹拌後、反応混合物を濾過し、透明な液体を回収した。揮発分を真空下で除去した。得られた液体生成物を、その後、蒸留により精製した。図2に示すように、NMR分析により、生成物を確認した。
(ピロロジニル)Si(OMe)の合成
化学式:[(CHN]−Si(OCH
7.1gのピロリジン及び100mLのヘキサンを、250mLフラスコにN下で仕込んだ後、40mLの2.5M BuLiを加えた。1時間撹拌した後、7.6gのオルトケイ酸テトラメチルを加えた。終夜撹拌後、反応混合物を濾過し、透明な液体を回収した。揮発分を真空下で除去した。得られた液体生成物を、その後、蒸留により精製した。図3に示すように、NMR分析により、生成物を確認した。図4に見られるように、TGA曲線は、残留物が極めて少ない安定な材料を示す。図5に示す蒸気圧測定は、良好な揮発性を実証し、図6は、化合物の450℃までの熱安定性を実証する。
(ピロロジニル)Si(OMe)の合成
化学式:[(CHN]−Si(OCH
7.1gのピロリジン及び100mLのヘキサンを、250mLフラスコにN下で仕込んだ後、40mLの2.5M BuLiを加えた。1時間撹拌した後、5.1gのオルトケイ酸テトラメチルを加えた。終夜撹拌後、反応混合物を濾過し、透明な液体を回収した。揮発分を真空下で除去した。得られた液体生成物を、その後、蒸留により精製した。図7に示すように、NMR分析により、生成物を確認した。図8に見られるように、TGA曲線は、残渣が極めて少ない安定な材料を示す。図9に示す蒸気圧測定は、良好な揮発性を実証する。
(テトラメチルグアニジニル)Si(OMe)の合成
化学式:[NC(N(CH]−Si(OCH
10gのテトラメチルグアニジン及び100mLのヘキサンを、250mLフラスコにN下で仕込んだ後、35mLの2.5M BuLiを加えた。1時間撹拌した後、13.2gのオルトケイ酸テトラメチルを加えた。終夜撹拌後、反応混合物を濾過し、透明な液体を回収した。揮発分を真空下で除去した。得られた液体生成物を、その後、蒸留により精製した。図10に示すように、NMR分析により、生成物を確認した。
(テトラメチルグアニジニル)Si(OMe)の合成
化学式:[NC(N(CH−Si(OCH
10gのテトラメチルグアニジン及び100mLのヘキサンを、250mLフラスコにN下で仕込んだ後、35mLの2.5M BuLiを加えた。1時間撹拌した後、6.6gのオルトケイ酸テトラメチルを加えた。終夜撹拌後、反応混合物を濾過し、透明な液体を回収した。揮発分を真空下で除去した。得られた液体生成物を、その後、蒸留により精製した。図11に示すように、NMR分析により、生成物を確認した。
(テトラメチルグアニジニル)Si(OMe)の合成
化学式:[NC(N(CH−Si(OCH
10gのテトラメチルグアニジン及び100mLのヘキサンを、250mLフラスコにN下で仕込んだ後、35mLの2.5M BuLiを加えた。1時間撹拌した後、4.4gのオルトケイ酸テトラメチルを加えた。終夜撹拌後、反応混合物を濾過し、透明な液体を回収した。揮発分を真空下で除去した。得られた液体生成物を、その後、蒸留により精製した。
(EtN)Si(OMe)の合成
化学式:[(CHCHN]−Si(OCH
3.7gのジエチルアミン及び100mLのヘキサンを、250mLフラスコにN下で仕込んだ後、20mLの2.5M BuLiを加えた。1時間撹拌した後、7.6gのオルトケイ酸テトラメチルを加えた。終夜撹拌後、反応混合物を濾過し、透明な液体を回収した。揮発分を真空下で除去した。得られた液体生成物を、その後、蒸留により精製した。図12に示すように、NMR分析により、生成物を確認した。
ClSi(OMe)の合成
化学式:Cl−Si(OCH
250mLフラスコに、5.1gの塩化アセチル、7.6gのオルトケイ酸テトラメチル及び0.02gの三塩化アルミニウムを、N下で仕込んだ。混合物を約3時間加熱還流し、次いで室温まで自然冷却した。揮発分を真空下で除去した。得られた液体生成物を、その後、蒸留により精製した。図13に示すように、NMR分析により、生成物を確認した。
ClSi(OMe)の合成
化学式:Cl−Si(OCH
250mLフラスコに、4gの(ピロロジニル)Si(OMe)及び50mLのジエチルエーテルを仕込み、続いて35mLの2M HCl/ジエチルエーテル溶液を添加した。1時間撹拌した後、反応混合物を濾過した。氷/アセトン浴中で冷却しながら、真空下で揮発分を濾液から除去した。図14に示すように、NMR分析により、生成物を確認した。
(AcO)Si(OMe)の合成
化学式:(AcO)−Si(OCH
100mLフラスコに、22.8gのオルトケイ酸テトラメチル及び15.3gの無水酢酸を、N下で仕込んだ。混合物を120℃で約4時間加熱し、次いで室温まで自然冷却した。揮発分を真空下で除去した。次いで、分別蒸留を実施して、所望の生成物を回収した。図15に示すように、NMR分析により、生成物を確認した。
(MeN)Si(OMe)の合成
化学式:[(CHN]−Si(OCH
40mLの2.5M BuLi/ヘキサン溶液を、250mLフラスコにN下で仕込み、続いて、反応完了までジメチルアミンガスを通した。1時間撹拌した後、7.6gのオルトケイ酸テトラメチルを加えた。終夜撹拌後、反応混合物を濾過し、透明な液体を回収した。揮発分を真空下で除去した。得られた液体生成物を、その後、蒸留により精製した。図16に示すように、NMR分析により、生成物を確認した。図17に示す蒸気圧測定は、非常に良好な揮発性を実証している。図18に示す封止アンプル内で実施した熱分解試験は、この材料が450℃まで熱的に安定であることを示す。
(ピロリジニル)Si(OMe)を使用したSiO蒸着
SiO膜を、前駆体(ピロリジン)Si(OMe)から、O又はHOを酸化剤として使用して、様々な温度及び圧力でCVD及びALDにより作製した。SiO膜の成長速度に関するデータを取得し、膜品質を密度及び希HF酸中でのウェットエッチング速度(WER)によって評価した。
CVDによって作製した膜の成長速度と温度及びガス圧力の関係を図19及び20に示す。これらは、HOをオキシダントとして使用したときには成長速度が比較的遅く、3A/分以下(図19の目盛はnm/分単位で、これは10A/分)であることを示す。後続の試験はOを酸化剤として使用し、図20に示すように、約10倍の成長速度が得られた。成長速度は堆積圧とはほぼ無関係で、200〜300℃の温度範囲で最適化されることがわかる。
その後の試験は、1サイクル当たりの膜成長を、ALDを使用して測定した。図21は、1サイクルにつき1つの原子層堆積プロセスが正しく機能している場合に予想される通り、膜厚成長がサイクルの数に対して線形であり、曝露時間の増大とともに1サイクル当たりの成長速度が平坦になることを示す。
図22は、1サイクル当たりの成長速度の温度依存性を温度の関数として示し、最適温度範囲が250〜400℃であることを示唆している。
生成した膜の品質を、密度及び0.1%HF酸中でのウェットエッチング速度を測定することによって評価した。図23は、250℃及び様々な堆積圧でCVDによって作製された膜のWER及び密度を示す。図24は、様々な温度でCVD及びALDによって作製した膜のWERを比較しており、ALDで作製した膜の卓越した品質を示す(より低いWERが、より優れた膜品質の指標とみなされる)。
比較のため、様々な方法で作製した膜のWERを文献から引用する。熱的SiOのWERは、1.8A/分で測定した。これは最も高品質の薄膜であるが、多数の用途で不適合となる高温を必要とする。標準的な前駆体を用いてプラズマアシストCVD及びALDによって作製した薄膜を、それぞれ60A/分及び40A/分で測定した。図25に示すように、これらは本明細書で示したALD膜のWERよりも実質的に高い。
1 不活性キャリアガス導入
2 不活性ガス導入を制御する手動弁
3 不活性ガス導入をデジタル制御するマスフローコントローラ
4 不活性キャリアガスをバブラー1に導入するための自動切換弁
5 不活性キャリアガスを導入するためのバブラー上の手動弁
6 気化した前駆体を含む不活性キャリアガスを排出するためのバブラー上の手動弁
7 前駆体Aを収容するバブラー
8 気化した前駆体を含む不活性キャリアガスを反応室に導入するための自動切換弁
9 ライン内の残留物を除去するための自動切換弁
10 反応室
11 ライン内の前駆体及び残留物を除去するための自動切換弁
12 反応室内のガス圧を制御する真空ポンプの圧力調整弁
13 不活性キャリアガスをバブラー2に導入するための自動切換弁
14 不活性キャリアガスを導入するためのバブラー上の手動弁
15 前駆体Bを収容するバブラー
16 気化した前駆体を含む不活性キャリアガスを排出するためのバブラー上の手動弁
17 気化した前駆体を含む不活性キャリアガスを反応室に導入するための自動切換弁
18 ヒーター
19 熱電対
20 基材

Claims (44)

  1. 式1の有機金属化合物であって:
    (A)−M−(OR4−x
    式中:
    Aは、−NR、−N(R)(CH)nN(R)、−N=C(NR)(NR)、OCOR、ハロ及びYからなる群から選択され;
    及びRは、R及びRのうちの少なくとも1つはH以外でなければならないことを条件として、独立して、H及び1〜8個の炭素原子を有する環式又は非環式アルキル基からなる群から選択され;
    、R、R及びRは、独立して、H及び1〜4個の炭素原子を有する非環式アルキル基からなる群から選択され;
    Yは、少なくとも1個の窒素原子を含有する3〜13位の複素環式基からなる群から選択され;
    は、1〜6個の炭素原子を有する環式又は非環式アルキル基であり;
    Mは、Si、Ge、Sn、Ti、Zr及びHfからなる群から選択され;
    xは、1〜3の整数であり;
    nは、1〜4の整数である、有機金属化合物。
  2. Mは、Si、Ge及びSnからなる群から選択される、請求項1に記載の化合物。
  3. Mは、Siである、請求項2に記載の化合物。
  4. Aは、−NR、−N(R)(CH)nN(R)、−N=C(NR)(R)、OCOR、ハロ及びYからなる群から選択される、請求項3に記載の化合物。
  5. Aは、アセタート、テトラエチルグアニジニル、ジメチルエチレンジアミニル、ブロモ、ヨード、−NR及びYからなる群から選択される、請求項4に記載の化合物。
  6. Aは、−NRである、請求項5に記載の化合物。
  7. 及びRは、独立して、H及び1〜4個の炭素原子を有する非環式アルキル基からなる群から選択される、請求項6に記載の化合物。
  8. 及びRは、独立して、メチル、エチル及びイソブチルからなる群から選択される、請求項7に記載の化合物。
  9. Aは、Yである、請求項5に記載の化合物。
  10. Yは、アジリジニル、アゼチジニル、ピロリジニル、ピロリル、ピペリジニル、ピリジニル、アゼパニル、及びアゼピニルからなる群から選択される、請求項9に記載の化合物。
  11. Yは、アジリジニル、アゼチジニル及びピロリジニルからなる群から選択される、請求項10に記載の化合物。
  12. 及びRは、R及びRのうちの少なくとも1つはH以外でなければならないことを条件として、独立して、H及び1〜8個の炭素原子を有する環式又は非環式アルキル基からなる群から選択される、請求項8又は11に記載の化合物。
  13. 及びRは、独立して、H及び1〜4個の炭素原子を有する非環式アルキル基からなる群から選択される、請求項12に記載の化合物。
  14. 及びRは、独立して、メチル、エチル及びイソブチルからなる群から選択される、請求項13に記載の化合物。
  15. は、1〜6個の炭素原子を有する環式又は非環式アルキル基である、請求項8又は11に記載の化合物。
  16. は、1〜4個の炭素原子を有する直鎖又は分岐鎖非環式アルキル基である、請求項15に記載の化合物。
  17. は、メチル及びエチルからなる群から選択される、請求項16に記載の化合物。
  18. は、メチル基である、請求項17に記載の化合物。
  19. 、R、R及びRは、独立して、H及び1〜4個の炭素原子を有する非環式アルキル基からなる群から選択される、請求項8又は11に記載の化合物。
  20. 、R、R及びRは、独立して、メチル及びエチルからなる群から選択される、請求項19に記載の化合物。
  21. 及びRは、独立して、メチル、エチル及びイソブチルからなる群から選択され、Yはピロリジニルであり、かつRは、メチル及びエチルからなる群から選択される、請求項5に記載の化合物。
  22. 気相堆積プロセスにより金属酸化物膜を形成する方法であって、
    a.表面を覆う官能性O−H基を有する少なくとも1つの基材を提供する工程、
    b.前記基材に、少なくとも1種の式1の化合物を気相中にて送達する工程、
    c.前記基材をパージガスでパージする工程、
    d.前記基材に、酸素源を、気相中にて送達する工程、
    e.前記基材をパージガスでパージする工程、
    f.工程b)〜工程e)を、所望の厚さの金属酸化物が蒸着されるまで繰り返す工程
    を含む、方法。
  23. Mは、Siである、請求項22に記載の方法。
  24. Aは、−NR、−N(R)(CH)nN(R)、−N=C(NR)(R)、OCOR、ハロ及びYからなる群から選択される、請求項23に記載の方法。
  25. Aは、アセタート、テトラエチルグアニジニル、ジメチルエチレンジアミニル、ブロモ、ヨード、−NR及びYからなる群から選択される、請求項24に記載の方法。
  26. Aは、−NRである、請求項25に記載の方法。
  27. 及びRは、独立して、H及び1〜4個の炭素原子を有する非環式アルキル基からなる群から選択される、請求項26に記載の方法。
  28. 及びRは、独立して、メチル、エチル及びイソブチルからなる群から選択される、請求項27に記載の方法。
  29. Aは、Yである、請求項25に記載の方法。
  30. Yは、アジリジニル、アゼチジニル、ピロリジニル、ピロリル、ピペリジニル、ピリジニル、アゼパニル、及びアゼピニルからなる群から選択される、請求項29に記載の方法。
  31. Yは、アジリジニル、アゼチジニル及びピロリジニルからなる群から選択される、請求項30に記載の方法。
  32. 及びRは、R及びRのうちの少なくとも1つはH以外でなければならないことを条件として、独立して、H及び1〜8個の炭素原子を有する環式又は非環式アルキル基からなる群から選択される、請求項28又は31に記載の方法。
  33. 及びRは、独立して、H及び1〜4個の炭素原子を有する非環式アルキル基からなる群から選択される、請求項32に記載の方法。
  34. 及びRは、独立して、メチル、エチル及びイソブチルからなる群から選択される、請求項33に記載の方法。
  35. は、1〜6個の炭素原子を有する環式又は非環式アルキル基である、請求項28又は31に記載の方法。
  36. は、1〜4個の炭素原子を有する直鎖又は分岐鎖非環式アルキル基である、請求項35に記載の方法。
  37. は、メチル及びエチルからなる群から選択される、請求項36に記載の方法。
  38. は、メチル基である、請求項37に記載の方法。
  39. 、R、R及びRは、独立して、H及び1〜4個の炭素原子を有する非環式アルキル基からなる群から選択される、請求項28又は31に記載の方法。
  40. 、R、R及びRは、独立して、メチル及びエチルからなる群から選択される、請求項39に記載の方法。
  41. 及びRは、独立して、メチル、エチル及びイソブチルからなる群から選択され、Yはピロリジニルであり、かつRは、メチル及びエチルからなる群から選択される、請求項25に記載の方法。
  42. 前記酸素源は、気相中HO、気相中H、O、O及びヒドラジンから選択される、請求項41に記載の方法。
  43. 前記気相堆積プロセスは、化学気相蒸着である、請求項41に記載の方法。
  44. 前記気相堆積プロセスは、原子層堆積である、請求項41に記載の方法。
JP2018542759A 2016-02-12 2017-02-10 有機金属化合物 Active JP7072511B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021118491A JP7265589B2 (ja) 2016-02-12 2021-07-19 金属酸化物膜を形成する方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CA2920646 2016-02-12
CA2920646A CA2920646A1 (en) 2016-02-12 2016-02-12 Organometallic compound and method
PCT/CA2017/050158 WO2017136945A1 (en) 2016-02-12 2017-02-10 Organometallic compound and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021118491A Division JP7265589B2 (ja) 2016-02-12 2021-07-19 金属酸化物膜を形成する方法

Publications (2)

Publication Number Publication Date
JP2019507750A true JP2019507750A (ja) 2019-03-22
JP7072511B2 JP7072511B2 (ja) 2022-05-20

Family

ID=59559019

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018542759A Active JP7072511B2 (ja) 2016-02-12 2017-02-10 有機金属化合物
JP2021118491A Active JP7265589B2 (ja) 2016-02-12 2021-07-19 金属酸化物膜を形成する方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021118491A Active JP7265589B2 (ja) 2016-02-12 2021-07-19 金属酸化物膜を形成する方法

Country Status (9)

Country Link
US (1) US11802134B2 (ja)
EP (1) EP3414254A4 (ja)
JP (2) JP7072511B2 (ja)
KR (1) KR102646655B1 (ja)
CN (1) CN109588049A (ja)
CA (1) CA2920646A1 (ja)
SG (1) SG11201806724YA (ja)
TW (1) TW201802101A (ja)
WO (1) WO2017136945A1 (ja)

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CA2975104A1 (en) 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP6923199B2 (ja) * 2017-11-07 2021-08-18 国立研究開発法人産業技術総合研究所 ハロシランの製造方法
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) * 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7023905B2 (ja) 2019-08-30 2022-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP7123100B2 (ja) 2020-09-24 2022-08-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3296195A (en) * 1963-12-20 1967-01-03 Gen Electric Curable composition
JPS61502716A (ja) * 1984-07-11 1986-11-20 アメリカン テレフオン アンド テレグラフ カムパニ− シリコン酸化物領域を有するデバイスの製作
JPH05308071A (ja) * 1992-04-30 1993-11-19 Kojundo Chem Lab Co Ltd 半導体装置のシリコン酸化膜の製造法
JPH06505957A (ja) * 1991-12-26 1994-07-07 アトフィナ・ケミカルズ・インコーポレイテッド ガラス基体の被覆法
JPH06263482A (ja) * 1993-02-16 1994-09-20 Ppg Ind Inc 被覆装置、ガラスの被覆方法、ガラス被覆用の化合物及び組成物、並びに被覆されたガラス基体
JPH0967379A (ja) * 1995-09-01 1997-03-11 Ube Ind Ltd ジアミノアルコキシシラン
JPH09134910A (ja) * 1995-11-10 1997-05-20 Hitachi Ltd プラズマ化学気相成長装置および半導体装置の製造方法
JPH09278819A (ja) * 1996-04-17 1997-10-28 Mitsui Petrochem Ind Ltd オレフィン重合用触媒およびこれを用いるオレフィンの重合方法
JPH11228647A (ja) * 1997-07-11 1999-08-24 Bridgestone Corp 部分的に連成していてヒドロカルボキシシラン化合物から生じた末端が組み込まれているジエンポリマー類およびコポリマー類
WO2003011945A2 (en) * 2001-07-26 2003-02-13 Dow Corning Corporation Siloxane resins
JP2005120332A (ja) * 2003-09-25 2005-05-12 Ube Ind Ltd α−オレフィンの重合又は共重合用触媒、その触媒成分及びα−オレフィンの重合方法
JP2005354076A (ja) * 2004-06-09 2005-12-22 Samsung Electronics Co Ltd 金属酸化物の形成方法
JP2006028312A (ja) * 2004-07-14 2006-02-02 Ube Ind Ltd 環状アミノシラン、α−オレフィンの重合又は共重合用触媒、及びα−オレフィンの重合方法
US20060247404A1 (en) * 2005-04-29 2006-11-02 Todd Michael A Apparatus, precursors and deposition methods for silicon-containing materials
JP2008514605A (ja) * 2004-09-28 2008-05-08 プラクスエア・テクノロジー・インコーポレイテッド 有機金属前駆体化合物
WO2009155507A1 (en) * 2008-06-20 2009-12-23 Sigma-Aldrich Co. Titanium pyrrolyl-based organometallic precursors and use thereof for preparing dielectric thin films
JP2010507259A (ja) * 2006-10-16 2010-03-04 アプライド マテリアルズ インコーポレイテッド Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
JP2011018718A (ja) * 2009-07-08 2011-01-27 Ube Industries Ltd ジアルキルアミノ基を有する気相成長用シリコン原料及び当該材料を用いたシリコン含有薄膜の製造方法
WO2011156699A1 (en) * 2010-06-11 2011-12-15 Air Products And Chemicals, Inc. Complexes of imidazole ligands
US8278224B1 (en) * 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
WO2012138332A1 (en) * 2011-04-06 2012-10-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Hafnium-containing or zirconium-containing precursors for vapor deposition
JP2013527147A (ja) * 2010-04-07 2013-06-27 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相堆積のためのチタン含有前駆体
KR101308572B1 (ko) * 2009-07-21 2013-09-13 주식회사 유엠티 실리콘을 함유하는 박막 증착을 위한 실리콘 전구체 제조 방법
JP2014532118A (ja) * 2011-09-30 2014-12-04 アーケマ・インコーポレイテッド 大気圧化学蒸着法によるケイ素酸化物の蒸着
WO2015022298A1 (en) * 2013-08-12 2015-02-19 Saudi Basic Industries Corporation Catalyst system for polymerisation of an olefin

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
JP2830604B2 (ja) * 1992-04-28 1998-12-02 日本電気株式会社 半導体装置の製造方法
US5599387A (en) * 1993-02-16 1997-02-04 Ppg Industries, Inc. Compounds and compositions for coating glass with silicon oxide
JPH0797411A (ja) 1993-09-28 1995-04-11 Tonen Corp プロピレンのブロック共重合体の製造方法
JP2001122917A (ja) 1999-10-27 2001-05-08 Ube Ind Ltd α−オレフィンの重合方法及びそれによって製造されるα−オレフィン重合体
JP4775908B2 (ja) 2004-02-27 2011-09-21 東邦チタニウム株式会社 オレフィン類重合用触媒およびこれを用いたオレフィン類重合体の製造方法
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7956207B2 (en) * 2006-09-28 2011-06-07 Praxair Technology, Inc. Heteroleptic organometallic compounds
NZ581491A (en) * 2007-07-27 2011-03-31 China Mto Ltd A separating method of cracked methanol gas to prepare polymer grade low carbon olefin
EP2837634A1 (en) * 2013-08-12 2015-02-18 Saudi Basic Industries Corporation Catalyst system for polymerisation of an olefin
US20150275355A1 (en) * 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films

Patent Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3296195A (en) * 1963-12-20 1967-01-03 Gen Electric Curable composition
JPS61502716A (ja) * 1984-07-11 1986-11-20 アメリカン テレフオン アンド テレグラフ カムパニ− シリコン酸化物領域を有するデバイスの製作
JPH06505957A (ja) * 1991-12-26 1994-07-07 アトフィナ・ケミカルズ・インコーポレイテッド ガラス基体の被覆法
JPH05308071A (ja) * 1992-04-30 1993-11-19 Kojundo Chem Lab Co Ltd 半導体装置のシリコン酸化膜の製造法
JPH06263482A (ja) * 1993-02-16 1994-09-20 Ppg Ind Inc 被覆装置、ガラスの被覆方法、ガラス被覆用の化合物及び組成物、並びに被覆されたガラス基体
JPH0967379A (ja) * 1995-09-01 1997-03-11 Ube Ind Ltd ジアミノアルコキシシラン
JPH09134910A (ja) * 1995-11-10 1997-05-20 Hitachi Ltd プラズマ化学気相成長装置および半導体装置の製造方法
JPH09278819A (ja) * 1996-04-17 1997-10-28 Mitsui Petrochem Ind Ltd オレフィン重合用触媒およびこれを用いるオレフィンの重合方法
JPH11228647A (ja) * 1997-07-11 1999-08-24 Bridgestone Corp 部分的に連成していてヒドロカルボキシシラン化合物から生じた末端が組み込まれているジエンポリマー類およびコポリマー類
WO2003011945A2 (en) * 2001-07-26 2003-02-13 Dow Corning Corporation Siloxane resins
JP2005120332A (ja) * 2003-09-25 2005-05-12 Ube Ind Ltd α−オレフィンの重合又は共重合用触媒、その触媒成分及びα−オレフィンの重合方法
JP2005354076A (ja) * 2004-06-09 2005-12-22 Samsung Electronics Co Ltd 金属酸化物の形成方法
JP2006028312A (ja) * 2004-07-14 2006-02-02 Ube Ind Ltd 環状アミノシラン、α−オレフィンの重合又は共重合用触媒、及びα−オレフィンの重合方法
JP2008514605A (ja) * 2004-09-28 2008-05-08 プラクスエア・テクノロジー・インコーポレイテッド 有機金属前駆体化合物
US20060247404A1 (en) * 2005-04-29 2006-11-02 Todd Michael A Apparatus, precursors and deposition methods for silicon-containing materials
JP2010507259A (ja) * 2006-10-16 2010-03-04 アプライド マテリアルズ インコーポレイテッド Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
WO2009155507A1 (en) * 2008-06-20 2009-12-23 Sigma-Aldrich Co. Titanium pyrrolyl-based organometallic precursors and use thereof for preparing dielectric thin films
JP2011018718A (ja) * 2009-07-08 2011-01-27 Ube Industries Ltd ジアルキルアミノ基を有する気相成長用シリコン原料及び当該材料を用いたシリコン含有薄膜の製造方法
KR101308572B1 (ko) * 2009-07-21 2013-09-13 주식회사 유엠티 실리콘을 함유하는 박막 증착을 위한 실리콘 전구체 제조 방법
US8278224B1 (en) * 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP2013527147A (ja) * 2010-04-07 2013-06-27 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相堆積のためのチタン含有前駆体
WO2011156699A1 (en) * 2010-06-11 2011-12-15 Air Products And Chemicals, Inc. Complexes of imidazole ligands
WO2012138332A1 (en) * 2011-04-06 2012-10-11 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Hafnium-containing or zirconium-containing precursors for vapor deposition
JP2014532118A (ja) * 2011-09-30 2014-12-04 アーケマ・インコーポレイテッド 大気圧化学蒸着法によるケイ素酸化物の蒸着
WO2015022298A1 (en) * 2013-08-12 2015-02-19 Saudi Basic Industries Corporation Catalyst system for polymerisation of an olefin

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
GUILMENT, J.; PONCELET, O.; RIGOLA, J.; TRUCHET, S.: "Spectroscopic study of group IV alkoxides as molecular precursors of metal oxides", VIBRATIONAL SPECTROSCOPY, vol. 11(1),, JPN6021000899, 1996, pages 37 - 49, ISSN: 0004666645 *

Also Published As

Publication number Publication date
TW201802101A (zh) 2018-01-16
US20210070783A1 (en) 2021-03-11
KR102646655B1 (ko) 2024-03-13
EP3414254A1 (en) 2018-12-19
CA2920646A1 (en) 2017-08-12
JP7265589B2 (ja) 2023-04-26
JP7072511B2 (ja) 2022-05-20
WO2017136945A1 (en) 2017-08-17
KR20180116308A (ko) 2018-10-24
JP2021181621A (ja) 2021-11-25
CN109588049A (zh) 2019-04-05
SG11201806724YA (en) 2018-09-27
US11802134B2 (en) 2023-10-31
EP3414254A4 (en) 2019-10-23

Similar Documents

Publication Publication Date Title
JP7265589B2 (ja) 金属酸化物膜を形成する方法
USRE45124E1 (en) Methods of atomic layer deposition using titanium-based precursors
JP6596737B2 (ja) アミドイミン配位子を含む金属複合体
TWI491760B (zh) 有機胺基矽烷前驅物及其膜的沉積方法
KR101502251B1 (ko) 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도
JP2019194226A (ja) 有機アミノシラン前駆体およびこれを含む膜の堆積方法
JP5654439B2 (ja) 金属含有膜を被着させるための金属エノラート前駆体
TWI652277B (zh) 原子層沈積用有機金屬前驅物化合物、沉積有其的薄膜以及薄膜製造方法
JP6193260B2 (ja) ニッケル含有膜堆積用ニッケルアリルアミジナート前駆体
JP7026683B2 (ja) シクロペンタジエニル配位子を含む金属錯体
KR101772478B1 (ko) 유기 13족 전구체 및 이를 이용한 박막 증착 방법
TWI831079B (zh) 稀土前驅體、製備其的方法和使用其形成薄膜的方法
CN114539295B (zh) 稀土前驱体、制备其的方法和使用其形成薄膜的方法
JP2019056133A (ja) 金属薄膜の原子層堆積方法
KR102557282B1 (ko) 신규 화합물, 이를 포함하는 전구체 조성물, 및 이를 이용한 박막의 제조방법
KR20230048755A (ko) 5족 금속 화합물, 이를 포함하는 증착용 전구체 조성물 및 이를 이용하여 박막을 형성하는 방법
CN115724894A (zh) 用于薄膜沉积的铌前体化合物和用其形成含铌薄膜的方法
TW202313639A (zh) 矽前驅物化合物、包含其之用於形成含矽薄膜的組成物及使用該組成物形成薄膜的方法

Legal Events

Date Code Title Description
A529 Written submission of copy of amendment under article 34 pct

Free format text: JAPANESE INTERMEDIATE CODE: A529

Effective date: 20180925

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200519

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210119

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210419

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210621

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210719

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220310

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220419

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220510

R150 Certificate of patent or registration of utility model

Ref document number: 7072511

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150