JP2012049509A - トレンチの埋め込み方法および成膜システム - Google Patents

トレンチの埋め込み方法および成膜システム Download PDF

Info

Publication number
JP2012049509A
JP2012049509A JP2011144733A JP2011144733A JP2012049509A JP 2012049509 A JP2012049509 A JP 2012049509A JP 2011144733 A JP2011144733 A JP 2011144733A JP 2011144733 A JP2011144733 A JP 2011144733A JP 2012049509 A JP2012049509 A JP 2012049509A
Authority
JP
Japan
Prior art keywords
trench
seed layer
film
semiconductor substrate
silicon film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011144733A
Other languages
English (en)
Other versions
JP5490753B2 (ja
Inventor
Masahisa Watanabe
将久 渡邊
Kazuhide Hasebe
一秀 長谷部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2011144733A priority Critical patent/JP5490753B2/ja
Priority to TW100126350A priority patent/TWI517296B/zh
Priority to KR1020110075121A priority patent/KR101409604B1/ko
Priority to US13/194,426 priority patent/US8722510B2/en
Priority to CN201110216875.1A priority patent/CN102347266B/zh
Publication of JP2012049509A publication Critical patent/JP2012049509A/ja
Application granted granted Critical
Publication of JP5490753B2 publication Critical patent/JP5490753B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76227Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)

Abstract

【課題】 トレンチの内部に酸化障壁となる膜を形成しなくても、トレンチの内部に埋め込まれた埋め込み材料に空隙が発生することを抑制することが可能なトレンチの埋め込み方法を提供すること。
【解決手段】 少なくともトレンチ6の側壁に酸化膜7が形成されている半導体基板1を加熱し、半導体基板1の表面にアミノシラン系ガスを供給して半導体基板1上にシード層8を形成し、シード層8が形成された半導体基板1を加熱し、シード層8の表面にモノシランガスを供給してシード層8上にシリコン膜9を形成し、シリコン膜9が形成された半導体基板1のトレンチ6を、焼成することで収縮する埋め込み材料10を用いて埋め込み、トレンチ6を埋め込む埋め込み材料10を、水及び/又はヒドロキシ基を含む雰囲気中で焼成するとともに、シリコン膜9、及びシード層8をシリコン酸化物に変化させる。
【選択図】図2

Description

この発明は、トレンチの埋め込み方法および成膜システムに関する。
半導体集積回路装置は、その内部に微細なトレンチ構造を持つ。微細なトレンチ構造の典型的な例は、STI(Shallow Trench Isolation)である。STIは半導体素子の活性領域どうしを分離する素子分離領域であり、シリコン基板に微細なトレンチを形成し、この微細なトレンチの内部に絶縁物を埋め込むことで形成される。
埋め込まれる絶縁物としては、例えば、特許文献1に記載されているようにSOD(Spin−On Dielectric)が知られており、特に、PHPS(PerHydroPolySilazane:SiHNH)を主成分とする無機ポリマーが注目されている。PHPSは、例えば、水蒸気雰囲気中で焼成されると、シリコン酸化物(SiO)に変わる。反応式は、次の通りである。
SiHNH+2HO → SiO+NH+2H
しかし、PHPSはシリコン酸化物に変化するときに収縮する。このため、微細なトレンチの内部に空隙が発生してしまう。
そこで、特許文献1はPHPSの収縮量を見越し、微細なトレンチの内部に膨張可能な膜を予め形成してから、PHPSを埋め込む。膨張可能な膜はシリコン(Si)膜である。特許文献1は、シリコン膜をシリコン酸化膜に変化させ、膨張させることで、PHPSの収縮分を相殺し、微細なトレンチの内部に空隙が発生することを抑制する。
米国特許第7,112,513号明細書
特許文献1では、シリコン膜をシリコン酸化膜に変化させる工程、即ち、酸化工程が入る。このため、シリコン膜を形成する前に、酸素を通し難い酸化障壁となる膜を微細なトレンチの内部に形成する。酸化がシリコン基板に達することがないようにするためである。特許文献1では、酸化障壁となる膜はシリコン窒化膜(Si)である。
しかし、トレンチの微細化がさらに進展すると、膨張可能な膜に加えて、酸化障壁となる膜をトレンチの内部に形成することが難しくなったり、あるいは形成不可能になったりすることが予測される。
また、酸化障壁となる膜は、トレンチの内部にそのまま残る。埋め込まれる絶縁物と、酸化障壁となる膜とが異なる物質である場合には、これらの間にはバンドギャップ差が生じる。つまり、電荷をトラップしてしまうような構造が、トレンチの内部に形成されてしまう。もし、素子分離領域であるトレンチの内部に電荷がトラップされてしまうと、半導体集積回路装置の動作に支障を及ぼす可能性がある。
この発明は、上記事情に鑑みて為されたもので、トレンチの内部に酸化障壁となる膜を形成しなくても、トレンチの内部に埋め込まれた埋め込み材料に空隙が発生することを抑制することが可能なトレンチの埋め込み方法、及びこのトレンチの埋め込み方法を実施することが可能な成膜システムを提供する。
この発明の第1の態様に係るトレンチの埋め込み方法は、(1)トレンチが形成され、少なくとも前記トレンチの側壁に酸化膜が形成されている半導体基板を加熱し、前記半導体基板の表面にアミノシラン系ガスを供給して前記半導体基板上にシード層を形成する工程と、(2)前記シード層が形成された半導体基板を加熱し、前記シード層の表面にモノシランガスを供給して前記シード層上にシリコン膜を形成する工程と、(3)前記シリコン膜が形成された半導体基板の前記トレンチを、焼成することで収縮する埋め込み材料を用いて埋め込む工程と、(4)前記トレンチを埋め込む前記埋め込み材料を、水及び/又はヒドロキシ基を含む雰囲気中で焼成するとともに、前記シリコン膜、及び前記シード層をシリコン酸化物に変化させる工程とを含む。
この発明の第2の態様に係る成膜システムは、半導体基板に形成されたトレンチの埋め込みに使用される成膜システムであって、トレンチが形成され、少なくとも前記トレンチの側壁に酸化膜が形成されている半導体基板を加熱し、前記半導体基板の表面にアミノシラン系ガスを供給して前記半導体基板上にシード層を形成し、前記シード層が形成された半導体基板を加熱し、前記シード層の表面にモノシランガスを供給して前記シード層上にシリコン膜を形成する第1の処理室と、前記シリコン膜が形成された半導体基板の前記トレンチを、焼成することで収縮する埋め込み材料を用いて埋め込む第2の処理室と、前記トレンチを埋め込む前記埋め込み材料を、水及び/又はヒドロキシ基を含む雰囲気中で焼成するとともに、前記シリコン膜、及び前記シード層をシリコン酸化物に変化させる第3の処理室とを含む。
この発明によれば、トレンチの内部に酸化障壁となる膜を形成しなくても、トレンチの内部に埋め込まれた埋め込み材料に空隙が発生することを抑制することが可能なトレンチの埋め込み方法、及びこのトレンチの埋め込み方法を実施することが可能な成膜システムを提供できる。
この発明の第1の実施形態に係るトレンチの埋め込み方法のシーケンスの一例を示す流れ図 図1に示すシーケンス中の半導体基板の状態を概略的に示す断面図 堆積時間とシリコン膜の膜厚との関係を示す図 図3中の破線枠A内を拡大した拡大図 シード層及びシリコン膜を成膜することが可能な成膜装置の一例を概略的に示す断面図 この発明の第2の実施形態に係るトレンチの埋め込み方法のシーケンスの一例を示す流れ図 この発明の第3の実施形態に係るトレンチの埋め込み方法のシーケンスの一例を示す流れ図 第1の実施形態に従って成膜されたシリコン膜9を持つ半導体装置の内部構造を示す図面代用写真 第3の実施形態に従って成膜されたシリコン膜9を持つ半導体装置の内部構造を示す図面代用写真 ジシランガスのみを用いて成膜されたシリコン膜9を持つ半導体装置の内部構造を示す図面代用写真 シランガスのみを用いて成膜されたシリコン膜9を持つ半導体装置の内部構造を示す図面代用写真 この発明の第3の実施形態に係るトレンチの埋め込み方法のシーケンスの他例を示す流れ図 成膜システムの第1例を概略的に示すブロック図 成膜システムの第2例を概略的に示すブロック図 成膜システムの第3例を概略的に示すブロック図 成膜システムの第4例を概略的に示すブロック図
本願発明者らは、トレンチの内部から酸化障壁となる膜を無くすことができないか、試行を重ねた。
膨張可能な膜はシリコン膜であり、シリコン膜は、一般的にジシラン(Si)を用いて成膜される。ジシランは平坦面への成膜には適しているが、ステップカバレッジが良くない。このため、ジシランを用いて成膜されたシリコン膜の、トレンチの側壁における膜厚は、シリコン基板の上面に比較して薄い。このようなシリコン膜を、シリコン基板の上面に形成されたシリコン膜が全て酸化される条件で酸化すると、トレンチの側壁からシリコン基板の内部へ酸化が進行してしまう。反対に、上記シリコン膜を、トレンチの側壁からシリコン基板の内部へ酸化が進行しない条件で酸化すると、シリコン基板の上面に、酸化しきれなかったシリコン膜が残ってしまう。
このような現状から、トレンチの内部に酸化障壁となる膜を形成し、トレンチ側壁からシリコン基板の内部へ酸化が進行することを抑制しつつ、シリコン基板の上面に形成されたシリコン膜を、全て酸化しきるようにしている。
膨張可能な膜、即ち、シリコン膜のステップカバレッジを改善するために、本願発明者らは、シリコン原料をジシランからモノシラン(SiH)に変更した。モノシランを用いて成膜したシリコン膜は、ジシランを用いて成膜したシリコン膜に比較してステップカバレッジが良い。
しかしながら、モノシランはジシランに比較してインキュベーション時間が長い。このため、膜厚を薄くしようとすると、アイランド状に成膜されてしまい膜にならない。
以下、この発明の実施形態を、図面を参照して説明する。なお、全図にわたり、共通の部分には共通の参照符号を付す。
(第1の実施形態)
図1は、この発明の第1の実施形態に係るトレンチの埋め込み方法のシーケンスの一例を示す流れ図、図2A〜図2Gは、図1に示すシーケンス中の半導体基板の状態を概略的に示す断面図である。
まず、図1中のステップ1に示すように、半導体基板にトレンチを形成する。
半導体基板にトレンチを形成する一例は、以下の通りである。
図2Aに示すように、半導体基板、本例ではシリコン基板1の表面を熱酸化し、パッド酸化膜2を形成する。次いで、パッド酸化膜2上に窒化シリコンを堆積し、シリコン窒化膜3を形成する。次いで、シリコン窒化膜3上にフォトレジストを塗布し、フォトレジスト膜4を形成する。次いで、フォトリソグラフィ法を用いて、フォトレジスト膜4にトレンチ形成パターンに対応した窓5を形成する。
次に、図2Bに示すように、フォトレジスト膜4をマスクに用いて、シリコン窒化膜3、パッド酸化膜2、及びシリコン基板1を異方性エッチング、例えば、反応性イオンエッチングし、シリコン基板1にトレンチ6を形成する。
次に、図1中のステップ2に示すように、半導体基板の表面に酸化膜を形成する。
この工程は、図2Cに示すように、少なくともトレンチ6の側壁に露出したシリコン基板1の表面に、シリコン基板1よりも酸化が進行し難い膜を形成する工程である。本例では、酸化膜7を、少なくともトレンチ6の側壁に形成した。トレンチ6の側壁においては、酸化膜7はシリコン酸化物である。シリコン酸化物は、シリコンよりも酸化が進行し難い膜である。
また、本例では、酸化膜7を、ラジカル酸化法を用いて形成した。ラジカル酸化法によれば、図2Cに示すように、トレンチ6の側壁に露出したシリコン基板1の表面だけでなく、パッド酸化膜2やシリコン窒化膜3なども酸化することができる。即ち、シリコン基板1のトレンチ形成面側の表面全体を酸化でき、酸化膜7がシリコン基板1のトレンチ形成面側の全体に形成される。シリコン基板1のトレンチ形成面側の全体に酸化膜7が形成されていると、次に形成されるシード層は、酸化膜7上に形成することができる。シード層が窒化膜上及び酸化膜上の双方に同時に形成されると、シード層の次に形成されるシリコン膜の成長速度が、窒化膜上と酸化膜上とで相違が生じる可能性がある。この点、本例のように、シード層を酸化膜7上に形成されるようにしておけば、シリコン膜の成長速度の相違を小さくでき、ステップカバレッジの改善に役立つ。
次に、図1中のステップ3及び図2Dに示すように、酸化膜7上にシード層8を形成する。具体的には、酸化膜7が形成されたシリコン基板1を加熱し、加熱したシリコン基板1の表面にアミノシラン系ガスを流すことでシリコン基板1の表面上、本例では酸化膜7の表面上にシード層8を形成する。
アミノシラン系ガスの例としては、
BAS(ブチルアミノシラン)
BTBAS(ビスターシャリブチルアミノシラン)
DMAS(ジメチルアミノシラン)
BDMAS(ビスジメチルアミノシラン)
TDMAS(トリジメチルアミノシラン)、
DEAS(ジエチルアミノシラン)、
BDEAS(ビスジエチルアミノシラン)、
DPAS(ジプロピルアミノシラン)、
DIPAS(ジイソプロピルアミノシラン)
等を挙げることができる。本例では、DIPASを用いた。
ステップ3における処理条件の一例は、
DIPAS流量: 500sccm
処 理 時 間: 1min
処 理 温 度: 400℃
処 理 圧 力: 53.3Pa(0.4Torr)
である。ステップ3の工程を、本明細書では以下プリフローと呼ぶ。
上記ステップ3は、モノシランを酸化膜7に吸着させやすくする工程である。ステップ3において、シード層8を形成すると記載しているが、実際にはほとんど成膜されることはない。シード層8の厚さは、好ましくは単原子層レベルの厚さ程度であることが良い。具体的なシード層8の厚さを言及すれば、0.1nm以上0.3nm以下であることが良い。
次に、図1中のステップ4及び図2Eに示すように、シード層8上にシリコン膜9を形成する。具体的には、シード層8が形成されたシリコン基板1を加熱し、加熱したシリコン基板1の表面にモノシランガスを流すことでシリコン基板1の表面上、本例ではシード層8の表面上にシリコン膜9を形成する。
ステップ4における処理条件の一例は、
モノシラン流量: 800sccm
処 理 時 間: 4min
処 理 温 度: 535℃
処 理 圧 力: 60Pa(0.45Torr)
である。
上記モノシラン流量、処理温度、および処理圧力の条件では、およそ3〜6minの処理時間(堆積時間)で、2〜10nm程度の薄いアモルファスのシリコン膜9が形成される。シリコン膜9の厚さは、後に形成される埋め込み材料の縮小分を相殺するために、重要な役割を果たす。もちろん、相殺量はシード層8の微小な厚さとシリコン膜9の厚さとの合計値で決まるが、シード層8はモノシランの吸着を促進させるための層であり、ほとんど厚さがない。このため、相殺量のほとんどはシリコン膜9の膨張後の厚さが占めることになる。即ち、相殺量は、シリコン膜9の厚さでほぼ決まる。
次に、図1中のステップ5及び図2Fに示すように、トレンチ6を、焼成することで収縮する埋め込み材料10を用いて埋め込む。具体的には、シリコン膜9が形成されたシリコン基板1の表面に、焼成することでシリコン酸化物に変化する液状の埋め込み材料10を回転塗布してトレンチ6を埋め込む。
焼成することでシリコン酸化物に変化する材料の例としては、PHPS(PerHydroPolySilazane:SiHNH)を主成分とする無機ポリマーを挙げることができる。
最後に、図1中のステップ6及び図2Gに示すように、トレンチ6を埋め込む埋め込み材料10を、水及び/又はヒドロキシ基を含む雰囲気中で焼成するとともに、シリコン膜9、及びシード層8をシリコン酸化物12に変化させる。具体的には、埋め込み材料10が塗布されたシリコン基板1を、水及び/又はヒドロキシ基を含む雰囲気中で焼成して埋め込み材料10をシリコン酸化物11へ変化させるとともに、シリコン膜9、及びシード層8をシリコン酸化物12に変化させる。
ステップ6における処理条件の一例は、
O 流量: 10l/min
処 理 時 間: 45min
処 理 温 度: 750℃
処 理 圧 力: 53.3kPa(400Torr)
である。
また、上記焼成処理の後、処理温度950℃、不活性ガス雰囲気、例えば、窒素ガス雰囲気中で熱処理し、シリコン酸化物11を、より強固な埋め込み膜とするように、さらに焼成処理を続行しても良い。
また、上記焼成処理の前に、より低温である処理温度400℃、水及び/又はヒドロキシ基を含む雰囲気中、例えば水蒸気雰囲気中で予備処理をしておいても良い。
埋め込み材料10は、焼成されてシリコン酸化物11に変化するときに収縮する。反対に、シリコン膜9及びシード層8は、シリコン酸化物12に変化するときに膨張する。このように埋め込み材料10の収縮分を、シリコン膜9及びシード層8を膨張分で相殺することで、トレンチ6の内部に空隙が発生することを抑制する。
空隙の発生をより良く抑制するためには、シリコン膜9の厚さ及びシード層8の厚さを、シリコン膜9の膨張量の2倍値とシード層8の膨張量の2倍値との合計値が、埋め込み材料10の収縮量に一致するように設定されると良い。
さらに、シリコン膜9の膨張後の厚さの2倍値と、シード層8の膨張後の厚さの2倍値と、埋め込み材料10の収縮後の厚さとの合計値は、トレンチ6の幅に一致するように設定されると良い。
これらのように設定されることで、トレンチ6の内部に空隙が発生することをより良く抑制することができる。
また、ステップ6は、シリコン膜9及びシード層8の酸化を兼ねる。このため、ステップ6における焼成時間は、シリコン膜9及びシード層8がちょうど、酸化しきる時間に設定されることが良い。
このように設定されることで、トレンチ6の側壁からシリコン基板1へ酸化が進行することを抑制することができる。
ここで、本例では、シリコン膜9の原料としてモノシランを用いている。このため、シリコン膜9のステップカバレッジは、原料としてジシランやトリシランなどの高次シランを用いる場合に比較して良い。
このため、シリコン膜9のトレンチ6の側壁における膜厚は、シリコン基板1の上面における膜厚とほぼ等しくすることができる。このため、トレンチ6の側壁上のシリコン膜9を酸化しきった時点で、シリコン基板1の上面におけるシリコン膜9を酸化しきることができる。
つまり、シリコン膜9のステップカバレッジを改善することで、トレンチ6の側壁からシリコン基板1へ酸化を進行させるような酸化をせずに済み、トレンチ6の内部から酸化障壁となる膜を無くすことができる。
ただし、シリコン膜9のステップカバレッジが改善されても、酸化条件によっては、トレンチ6の底部では酸化が進み難くなることもある。このような場合には、シリコン膜9及びシード層8が、シリコン基板1の上面上、及びトレンチ6の側壁上でちょうど、酸化しきる時間よりも多少長い時間をかけて、ステップ6を実行すると良い。このように酸化しきる時間よりも多少長い時間をかけて、シリコン膜9及びシード層8を過剰に酸化することで、トレンチ6の底部に未酸化のシリコン膜9及びシード層8が残らずに済む。
この場合、シリコン膜9の厚さが薄いほど、過剰な酸化時間を短く、即ち、酸化条件を弱くすることができる。このため、シリコン膜9の厚さは薄い方が良い。シリコン基板1へ酸化が進むことを、最小限度に抑えるためである。
このように、トレンチ6の底部に未酸化のシリコン膜9及びシード層8を残らないようにするために、シリコン膜9及びシード層8を過剰に酸化する場合には、シリコン膜9の厚さは、2nm〜5nm程度が良い。
また、モノシランはインキュベーション時間が長い、という事情については、シリコン基板1の表面、本例では酸化膜7の表面にアミノシラン系ガスをプリフローしてシード層8を形成した後、シリコン膜9を形成することで解消した。
図3に、堆積時間とシリコン膜9の膜厚との関係を示す。図3に示す結果は下地をシリコン酸化膜(SiO)とした場合である。本例では酸化膜7に相当する。
本例で用いたプリフローにおける処理条件は、
DIPAS流量: 200sccm
処 理 時 間: 1min
処 理 温 度: 400℃
処 理 圧 力: 133.3Pa(1Torr)
である。
同じく本例で用いたシリコン膜9を成膜するための処理条件は、
モノシラン流量: 200sccm
堆 積 時 間: 30min/45min/60min
処 理 温 度: 530℃
処 理 圧 力: 53.2Pa(0.4Torr)
である。
シリコン膜9の膜厚は、堆積時間を30minとしたとき、45minとしたとき、及び60minとしたときの3点で測定した。
図3中の線Iはプリフロー有りの場合、線IIはプリフロー無しの場合の結果を示している。線I、IIは、測定された3つの膜厚を最小二乗法で直線近似した直線であり、式は次の通りである。
線I:y=17.572x−20.855 …(1)
線II:y=17.605x−34.929 …(2)
図3に示すように、プリフロー有りの場合、プリフロー無しに比較してシリコン膜9の膜厚が増す傾向が明らかとなった。
上記(1)、(2)式をy=0、即ち、シリコン膜9の膜厚を“0”としたとき、線I、IIと堆積時間との交点を求めたものを図4に示す。
なお、図4は図3中の破線枠A内を拡大した拡大図である。
図4に示すように、下地がプリフロー有りのシリコン酸化膜のとき、シリコン膜9の堆積が処理開始から約1.2min(x≒1.189)から始まる。対して、下地がプリフロー無しのシリコン酸化膜のときには、シリコン膜9の堆積が処理開始から約2.0min(x≒1.984)から始まる。
このように、下地に対してアミノシラン系ガスのプリフローを行うことで、インキュベーション時間を、約2.0minから約1.2minに短縮することができる。この結果、薄い膜厚、例えば2nm〜10nm程度の膜厚のシリコン膜9を、モノシランを用いて成膜することができる。
以上、この発明の第1の実施形態によれば、トレンチ6の内部に酸化障壁となる膜を形成しなくても、トレンチ6の内部に埋め込まれた埋め込み材料(シリコン酸化物11、12)に空隙が発生することを抑制することができる。
このため、第1の実施形態によれば、トレンチ6の微細化がさらに進展しても、トレンチ6を、空隙を発生させることなく埋め込むことができる、という利点を得ることができる。
また、第1の実施形態によれば、トレンチ6の内部に埋め込まれた材料は、酸化膜7も含めて全てシリコン酸化物となる。この構造による利点は、トレンチ6の内部が一種類の材料となるため、例えば、電荷をトラップしてしまうような構造がトレンチ6の内部に形成されることがない、ということである。このような構造は、半導体集積回路装置の更なる微細化にも有利である。
また、第1の実施形態によれば、酸化膜7が、ラジカル酸化法を用いて形成されたラジカル酸化膜である。ラジカル酸化膜は、熱酸化法を用いて形成した熱酸化膜よりも膜質を緻密にできる。即ち、ラジカル酸化膜は、熱酸化膜よりもさらに酸化が進行し難い膜である。したがって、酸化膜7をラジカル酸化膜とすることで、熱酸化膜に比較して、ステップ6における焼成中に、シリコン基板1へ酸化が進行することを、より抑制することができる、という利点を得ることができる。
また、ラジカル酸化法に代えて、プラズマ酸化法を用い、酸化膜7を、プラズマ酸化膜としても、同様の利点を得ることができる。
次に、上記シード層8及びシリコン膜9を成膜することが可能な成膜装置の一例を説明する。
図5は、シード層8及びシリコン膜9を成膜することが可能な成膜装置の一例を概略的に示す断面図である。
図5に示すように、成膜装置100は、下端が開口された有天井の円筒体状の処理室101を有している。処理室101の全体は、例えば、石英により形成されている。処理室101内の天井には、石英製の天井板102が設けられている。処理室101の下端開口部には、例えば、ステンレススチールにより円筒体状に成形されたマニホールド103がOリング等のシール部材104を介して連結されている。
マニホールド103は処理室101の下端を支持している。マニホールド103の下方からは、被処理体として複数枚、例えば、50〜100枚の半導体基板、本例では、シリコン基板1を多段に載置可能な石英製のウエハボート105が処理室101内に挿入可能となっている。これにより、処理室101内に被処理体、例えば、半導体基板、本例では、例えば、下地としてSiO膜が予め堆積されたシリコン基板1が収容される。ウエハボート105は複数本の支柱106を有し、支柱106に形成された溝により複数枚のシリコン基板1が支持されるようになっている。
ウエハボート105は、石英製の保温筒107を介してテーブル108上に載置されている。テーブル108は、マニホールド103の下端開口部を開閉する、例えば、ステンレススチール製の蓋部109を貫通する回転軸110上に支持される。回転軸110の貫通部には、例えば、磁性流体シール111が設けられ、回転軸110を気密にシールしつつ回転可能に支持している。蓋部109の周辺部とマニホールド103の下端部との間には、例えば、Oリングよりなるシール部材112が介設されている。これにより処理室101内のシール性が保持されている。回転軸110は、例えば、ボートエレベータ等の昇降機構(図示せず)に支持されたアーム113の先端に取り付けられている。これにより、ウエハボート105および蓋部109等は、一体的に昇降されて処理室101内に対して挿脱される。
成膜装置100は、処理室101内に、処理に使用するガスを供給する処理ガス供給機構114を有している。
処理ガス供給機構114は、アミノシラン系ガス供給源117、モノシランガス供給源118を含んでいる。
不活性ガス供給機構115は、不活性ガス供給源120を含んでいる。不活性ガスは、パージガス等に利用される。不活性ガスの一例は窒素(N)ガスである。
アミノシラン系ガス供給源117は、流量制御器121a及び開閉弁122aを介して、分散ノズル123に接続されている。分散ノズル123は石英管よりなり、マニホールド103の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる。分散ノズル123の垂直部分には、複数のガス吐出孔124が所定の間隔を隔てて形成されている。アミノシラン系ガスは、各ガス吐出孔124から水平方向に処理室101内に向けて略均一に吐出される。
モノシランガス供給源118は、流量制御器121b及び開閉弁122bを介して、分散ノズル125に接続されている。分散ノズル125は石英管よりなり、マニホールド103の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる。分散ノズル125の垂直部分には、複数のガス吐出孔126が所定の間隔を隔てて形成されている。モノシランガスは、各ガス吐出孔126から水平方向に処理室101内に向けて略均一に吐出される。
処理室101内の、分散ノズル123及び125と反対側の部分には、処理室101内を排気するための排気口129が設けられている。排気口129は処理室101の側壁を上下方向へ削りとることによって細長く形成されている。処理室101の排気口129に対応する部分には、排気口129を覆うように断面がコの字状に成形された排気口カバー部材130が溶接により取り付けられている。排気口カバー部材130は、処理室101の側壁に沿って上方に延びており、処理室101の上方にガス出口131を規定している。ガス出口131には、真空ポンプ等を含む排気機構132が接続される。排気機構132は、処理室101内を排気することで処理に使用した処理ガスの排気、及び処理室101内の圧力を処理に応じた処理圧力とする。
処理室101の外周には筒体状の加熱装置133が設けられている。加熱装置133は、処理室101内に供給されたガスを活性化するとともに、処理室101内に収容された被処理体、例えば、半導体基板、本例ではシリコン基板1を加熱する。
成膜装置100の各部の制御は、例えばマイクロプロセッサ(コンピュータ)からなるコントローラ150により行われる。コントローラ150には、オペレータが成膜装置100を管理するためにコマンドの入力操作等を行うキーボードや、成膜装置100の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース151が接続されている。
コントローラ150には記憶部152が接続されている。記憶部152は、成膜装置100で実行される各種処理をコントローラ150の制御にて実現するための制御プログラムや、処理条件に応じて成膜装置100の各構成部に処理を実行させるためのプログラムすなわちレシピが格納される。レシピは、例えば、記憶部152の中の記憶媒体に記憶される。記憶媒体は、ハードディスクや半導体メモリであってもよいし、CD-ROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。レシピは、必要に応じて、ユーザーインターフェース151からの指示等にて記憶部152から読み出され、読み出されたレシピに従った処理をコントローラ150が実行することで、成膜装置100は、コントローラ150の制御のもと、所望の処理が実施される。
本例では、コントローラ150の制御のもと、上記第1の実施形態におけるシード層8及びシリコン膜9を形成する処理が順次実施される。
上記第1の実施形態におけるシード層8及びシリコン膜9は、図5に示すような成膜装置100を用いることによって、1台の成膜装置で形成することができる。
また、もちろん、成膜装置としては図5に示すようなバッチ式に限らず、枚葉式の成膜装置であっても良い。
(第2の実施形態)
シード層8及びシリコン膜9を形成する処理条件によっては、埋め込み材料10の焼成中、ガスを放出するようなシード層8及びシリコン膜9が形成されることがある。埋め込み材料10の焼成中に、シード層8及びシリコン膜9がガスを放出してしまうと、トレンチ6の内部に埋め込まれた埋め込み材料に空隙が発生する。
第2の実施形態は、ガスを放出するようなシード層8及びシリコン膜9が形成された場合であっても、トレンチ6の内部に埋め込まれた埋め込み材料に空隙が発生することを抑制することが可能なトレンチの埋め込み方法を提供しようとするものである。
図6は、この発明の第2の実施形態に係るトレンチの埋め込み方法のシーケンスの一例を示す流れ図である。
図6に示すように、第2の実施形態に係るトレンチの埋め込み方法が、第1の実施形態に係るトレンチの埋め込み方法と異なるところは、ステップ4とステップ5との間に、ステップ7で示されるように、シリコン膜9及びシード層8からガスを放出させる工程があることである。具体的には、シリコン膜9及びシード層8が形成されたシリコン基板1を加熱し、シリコン膜9及びシード層8からガスを放出させる。
ステップ7における処理条件の一例は、
流 量:5000sccm
処 理 時 間: 30min
処 理 温 度: 600℃
処 理 圧 力:0〜666Pa(0〜5Torr:サイクルパージ)
である。
処理圧力が0〜666Paのうち、0Paとは、処理室内の窒素ガスを引ききるということである。つまり、本ステップ7の一例は、処理室の内部への窒素ガス供給と、処理室の内部からの窒素ガス排気とを交互に繰り返す例である(サイクルパージ)。
このように、埋め込み材料10を塗布する前に、シリコン膜9及びシード層8からガスを放出させておくことで、埋め込み材料10の焼成中に、シード層8及びシリコン膜9がガスを放出しまうことを抑制できる。
したがって、たとえ、ガスを放出するようなシード層8及びシリコン膜9が形成されたとしても、トレンチ6の内部に埋め込まれた埋め込み材料に空隙が発生することを抑制することができる。
また、サイクルパージを圧力を変動させて行ったり、また、同時に温度の昇降を行いながら実施したりするとシリコン膜9にマイグレーションが発生することがある。そのため、事前にシリコン膜9の表面に自然酸化膜を形成しておくと良い。
シリコン膜9の表面に自然酸化膜を形成するためには、ステップ4とステップ5とをインサイチュで行う場合、ステップ4とステップ7の間に、シリコン膜9及びシード層8が形成されたシリコン基板1を、酸素に接触させる工程を設けると良い。
また、ステップ4とステップ5とをエクスサイチュで行う場合には、ステップ4とステップ7との間に、ステップ4を行う成膜装置から、ステップ7を行う回転塗布装置まで、シリコン膜9及びシード層8が形成されたシリコン基板1を、大気下で搬送するようにすると良い。
このようにシリコン膜9の表面に、あらかじめ自然酸化膜を形成しておくことで、ステップ7、即ち、ガスを放出させている間に、シリコン膜9にマイグレーションが発生することを抑制することができる。
(第3の実施形態)
第3の実施形態は、良好なステップカバレッジを維持したまま、シリコン膜9の膜厚を、さらに薄くしようとする例である。
シード層8は、シリコン膜9が形成される下地、例えば、酸化膜7の表面に、シリコンの核を均一に発生させ、モノシランを吸着させやすくするものである。ミクロな視点で考えてみると、シード層8のシリコンの核はアイランド状に均一に点在しているかも知れないし、核自体の平面的なサイズは極めて小さいものであるかも知れない。そのように考えると、核自体の平面的な大きさを大きくし、下地表面上で核が占める面積を大きくしてシード層8をアイランド状から平面である単層に限りなく近づける、あるいは究極的にはシード層8を平面である単層とし、シリコン膜9の成長を“核成長”から“単層成長”に近づけていけば、シード層8上には、良好なステップカバレッジを維持したまま、より膜厚の薄いシリコン膜9を形成することが可能となる。
この点を踏まえ、第3の実施形態では、シリコン膜9を形成する前に、シード層8を強化する工程を取り入れた。シード層8を強化することの具体例は、シード層8中のシリコンの核自体の平面的な大きさを大きくし、下地表面上で核が占める面積を大きくすることであり、上述の通り、究極的にはシード層8を単層とすることである。シード層8の強化の具体的な方法例は、モノシランを用いてシリコン膜9を成膜する前に、モノシランよりも薄膜成膜に好適であるモノシランよりも高次のシランを用いて、シード層8の表面にシリコンを薄く吸着させた。これにより、シード層8を強化する。
以下、第3の実施形態に係るトレンチの埋め込み方法の一例を説明する。
図7は、この発明の第3の実施形態に係るトレンチの埋め込み方法のシーケンスの一例を示す流れ図である。
図7に示すように、第3の実施形態に係るトレンチの埋め込み方法が、第1の実施形態に係るトレンチの埋め込み方法と異なるところは、ステップ3とステップ4との間に、ステップ8で示されるように、シード層8を強化する工程があることである。具体的には、シード層8が形成されたシリコン基板1を加熱し、モノシランよりも高次のシランガス、本例ではジシラン(Si)ガスを供給する。
ステップ8における処理条件の一例は、
ジシラン流 量: 200sccm
処 理 時 間: 2min
処 理 温 度: 400℃
処 理 圧 力:133.3Pa(1Torr)
である。
図8は上記第1の実施形態に従って成膜されたシリコン膜9を持つ半導体装置の内部構造を示す図面代用写真(SEM写真)、図9は本第3の実施形態に従って成膜されたシリコン膜9を持つ半導体装置の内部構造を示す図面代用写真(SEM写真)である。
図8に示すように、第1の実施形態では、サイドの膜厚4.58nm〜4.67nm、トップの膜厚4.61nmのシリコン膜9が、カバレッジ(ステップカバレッジ)99%〜101%で成膜されていることが示されている。なお、カバレッジについては、シリコン膜9のトップの膜厚とサイドの膜厚2点(上部、下部)を示している。この点は、以下に示す図9〜図11でも同様である。
また、図9に示すように、第3の実施形態では、サイドの膜厚3.15nm〜3.40nm、トップの膜厚3.30nmのシリコン膜9が、カバレッジ(ステップカバレッジ)95%〜103%で成膜されていることが示されている。
このように、第3の実施形態によれば、モノシランを用いてシリコン膜9を成膜する前に、モノシランよりも高次のシランを用いて、シード層8の表面にシリコンを薄く吸着させることで、膜厚がより薄く、かつ、ステップカバレッジも良好なシリコン膜9を得ることができる。
また、参考までに、図10にジシランガスのみを用いて成膜されたシリコン膜9、図11にシランガスのみを用いて成膜されたシリコン膜9を持つ半導体装置の内部構造を示す図面代用写真(SEM写真)を示しておく。
図10に示すように、ジシランガスのみを用いた場合には、サイドの膜厚3.55nm〜4.23nm、トップの膜厚5.33nmのシリコン膜9を成膜することができるが、カバレッジ(ステップカバレッジ)については67%〜79%であり、良好なステップカバレッジが得られていない。
また、図11に示すように、シランガスのみを用いた場合には、106%〜110%と良好な結果が得られているが、シリコン膜9はアイランド状に成膜されており、膜厚7.19nm〜7.96nmの膜厚範囲においては、単層にはなっていない。
なお、本第3の実施形態と上記第1の実施形態とは、シリコン膜9に要求された膜厚に応じて使い分けられると良い。例えば、シリコン膜9に、ある程度膜厚は薄いが、極めて薄い膜厚が要求されない場合には、モノシラン及びモノシランよりも高次のシランの双方を使用しない第1の実施形態の方が製造コスト的に有利である。このため、第1の実施形態を選択すると良い。しかし、シリコン膜9に、第1の実施形態では成膜不可能な極めて薄い膜厚が要求された場合には、本第3の実施形態を選択すると良い。
このように第1の実施形態と本第3の実施形態とは、半導体装置の製造の分野において互いに共存することが可能である。
また、図12の流れ図に示すように、本第3の実施形態の他例とし、本第3の実施形態と上記第2の実施形態とを組み合わせて実施することも可能である。
図12に示すように、第3の実施形態と第2の実施形態とを組み合わせた場合にも、ステップ3とステップ4との間に、ステップ8で示されるシード層8を強化する工程が挿入されれば良い。
また、第3の実施形態に従ってシード層8及びシリコン膜9を成膜することが可能な成膜装置としては、図5に示した成膜装置に、モノシランよりも高次のシラン、例えば、ジシランガス供給源を付加すれば良い。特に、図示はしないが、例えば、ジシランガス供給源は、モノシランガス供給源118とともに分散ノズル125に接続することで、処理室101内にジシランガスを供給されるように構成されれば良い。
(第4の実施形態)
第4の実施形態は、第1〜第3の実施形態に係るトレンチの埋め込み方法を実施することが可能な成膜システムの例に関する。
(第1例)
図13は、成膜システムの第1例を概略的に示すブロック図である。
図13に示すように、第1例に係る成膜システム200aは、搬送室201の周囲に、処理室202、203、204と、ロードロック室205とを備えている。搬送室201と、処理室202、203、204とは、それぞれゲートバルブG1〜G3を介して接続され、同じくロードロック室205とは、ゲートバルブG4を介して接続されている。
第1の処理室202は成膜装置であり、ステップ3、4、即ち、シード層8及びシリコン膜9を形成する装置である。
第1の処理室202においては、トレンチ6が形成され、少なくともトレンチ6の側壁に酸化膜7が形成されているシリコン基板1を加熱し、シリコン基板1の表面にアミノシラン系ガスを供給してシリコン基板1、本例では酸化膜7上にシード層8を形成し、シード層8が形成されたシリコン基板1を加熱し、シード層8の表面にモノシランガスを供給してシード層8上にシリコン膜9を形成する。
第2の処理室203は回転塗布装置であり、ステップ5、即ち、埋め込み材料10を塗布する装置である。
第2の処理室203においては、シリコン膜9及びシード層8が形成されたシリコン基板1のトレンチ6を、焼成することで収縮する埋め込み材料10を用いて埋め込む。そのような埋め込み材料10の一例は、PHPSである。PHPSは焼成されることでシリコン酸化物に変化するとともに収縮する。
第3の処理室204は熱処理装置であり、ステップ6、即ち、トレンチ6を埋め込む埋め込み材料10を、水及び/又はヒドロキシ基を含む雰囲気中で焼成するとともに、シリコン膜9、及び前記シード層8をシリコン酸化物12に変化させる。
第3の処理室204においては、具体的には、埋め込み材料10が塗布されたシリコン基板1を、水及び/又はヒドロキシ基を含む雰囲気中で焼成して埋め込み材料10、シリコン膜9、及びシード層8をシリコン酸化物11、12に変化させる。
ロードロック室205は圧力変換装置であり、搬送室201内の圧力と、ほぼ大気圧の圧力との間で圧力を変換する装置である。ロードロック室205はゲートバルブG5を備えており、ゲートバルブG5を介してシリコン基板1(シリコンウエハ)の搬入出が行われる。ゲートバルブG5は、図示せぬ搬送路、又は図示せぬローダーモジュールに接続される。
搬送室201の内部には搬送装置206が配置されている。搬送装置206は、搬送室201と、処理室202〜204、及びロードロック室205との間で、シリコン基板1の受け渡しを行う。
第1の実施形態に係るトレンチの埋め込み方法は、成膜システム200aを用いて、シリコン基板1を、ロードロック室205から搬送室201を介して第1の処理室202、第2の処理室203、第3の処理室204の順で搬送させていくことにより、実施することができる。
(第2例)
図14は、成膜システムの第2例を概略的に示すブロック図である。
図14に示すように、第2例に係る成膜システム200bが成膜システム200aと異なるところは、第4の処理室207を、さらに備えていることである。第4の処理室207は、ゲートバルブG6を介して搬送室201に接続されている。
第4の処理室207は熱処理装置であり、ステップ7、即ち、シリコン膜9及びシード層8からガスを放出させる装置である。
第4の処理室207においては、第1の処理室202で処理されたシリコン基板1を加熱し、シリコン膜9及びシード層8からガスを放出させる。
第2の実施形態に係るトレンチの埋め込み方法は、成膜システム200bを用いて、シリコン基板1を、ロードロック室205から搬送室201を介して第1の処理室202、第4の処理室207、第2の処理室203、第3の処理室204の順で搬送させていくことにより、実施することができる。
(第3例)
図15は、成膜システムの第3例を概略的に示すブロック図である。
図15に示すように、第3例に係る成膜システム200cが成膜システム200bと異なるところは、第5の処理室208を、さらに備えていることである。第5の処理室208は、ゲートバルブG7を介して搬送室201に接続されている。
第5の処理室208は気相処理装置であり、ステップ7を行う前に、シリコン膜9の表面に自然酸化膜を形成する装置である。
第5の処理室208においては、第1の処理室202で処理されたシリコン基板1を酸素に接触させる。
第2の実施形態に係るトレンチの埋め込み方法において、シリコン膜9の表面に自然酸化膜を形成する場合、成膜システム200cを用いることで、シリコン基板1を、ロードロック室205、搬送室201、第1の処理室202、第5の処理室208、第4の処理室207、第2の処理室203、第3の処理室204の順で搬送させていくことにより、インサイチュで実施することができる。
(第4例)
図16は、成膜システムの第4例を概略的に示すブロック図である。
図16に示すように、第4例に係る成膜システム200dが成膜システム200cと異なるところは、第5の処理室208に代えて、シリコン基板1を大気下で搬送する搬送路209を備えていることである。
搬送路209の一端はゲートバルブG9を介して第1のロードロック室210に接続され、第1のロードロック室210はゲートバルブG10を介して第1の処理室202に接続されている。
また、搬送路209の他端はゲートバルブG11を介して第2のロードロック室211に接続され、第2のロードロック室211はゲートバルブG12を介して搬送室201に接続されている。
搬送路209には搬送装置212が配置されている。搬送装置212は、成膜システム200dの外部から搬送されてきたシリコン基板1を第1のロードロック室210に搬入し、第1のロードロック室210から搬出されたシリコン基板1を第2のロードロック室211に搬入する。また、第2のロードロック室211から搬出されたシリコン基板1を成膜システム200dの外部に搬送する。
第2の実施形態に係るトレンチの埋め込み方法において、シリコン膜9の表面に自然酸化膜を形成する場合、成膜システム200dを用いることで、シリコン基板1を、第1のロードロック室210、第1の処理室202、第1のロードロック室210、搬送路209、第2のロードロック室211、搬送室201、第4の処理室207、第2の処理室203、第3の処理室204の順で搬送させていくことにより、エクスサイチュで実施することができる。
以上、この発明をいくつかの実施形態に従って説明したが、この発明は、上記いくつかの実施形態に限定されることは無く、種々変形可能である。
例えば、上記実施形態においては、処理条件を具体的に例示したが、処理条件は、上記具体的な例示に限られるものではない。
この発明による利点である、酸化障壁をトレンチ6の内部に形成しなくても、埋め込み材料への空隙の発生を抑制できることは、アミノシラン系ガスを用いてシリコン基板1の表面をプリフローし、シリコン基板1の表面にシード層8を形成した後、モノシランガスをシード層8上に供給して熱分解させることで、シリコン膜9を形成する、という構成を具備することで、ステップカバレッジが良くなることで得られるものである。
したがって、処理条件は、上記実施形態に記載した具体的な例示に限られるものではなく、トレンチ6の大きさ、処理室の容積変化等に応じて、上記利点を損なわない範囲で変更できることはもちろんである。
また、上記実施形態に記載したトレンチの埋め込み方法は、微細なトレンチ6を、空隙を発生させることなく埋め込むことができるものであるから、半導体装置の製造プロセスに用いられることが好適である。
また、トレンチとしては、特に、半導体装置の内部の素子分離領域に使用されることが好適である。
また、シード層8は、厚くすると、半導体装置の微細化を損なうことになる。第3の実施形態においても説明した通りであるが、シード層8は、シリコンの核を均一に発生させ、モノシランを吸着させやすくするものである。このため、シード層8の厚さは薄いことが望ましく、好ましくは単原子層レベルの厚さ程度であることが良い。具体的なシード層8の厚さを言及すれば、上述した通りであるが、0.1nm以上0.3nm以下であることが良い。
また、埋め込み材料10の一例として回転塗布法によって塗布される材料、具体的にはPHPSを例示した。しかし、埋め込み材料10としては回転塗布法によって塗布される材料に限られるものではなく、CVD法を用いて形成された膜であっても良い。
例えば、CVD法を用いて形成した膜、例えば、シリコン酸化膜であっても、例えば、膜質を強固とするために焼成(熱処理)すると、PHPSと同様に収縮する。
このように焼成することで収縮するような膜であれば、PHPS以外の膜であっても、本発明の実施形態は有効に適用することができる。
その他、この発明はその要旨を逸脱しない範囲で様々に変形することができる。
1…シリコン基板、6…トレンチ、7…酸化膜、8…シード層、9…シリコン膜、10…埋め込み材料、11、12…シリコン酸化物

Claims (17)

  1. (1) トレンチが形成され、少なくとも前記トレンチの側壁に酸化膜が形成されている半導体基板を加熱し、前記半導体基板の表面にアミノシラン系ガスを供給して前記半導体基板上にシード層を形成する工程と、
    (2) 前記シード層が形成された半導体基板を加熱し、前記シード層の表面にモノシランガスを供給して前記シード層上にシリコン膜を形成する工程と、
    (3) 前記シリコン膜が形成された半導体基板の前記トレンチを、焼成することで収縮する埋め込み材料を用いて埋め込む工程と、
    (4) 前記トレンチを埋め込む前記埋め込み材料を、水及び/又はヒドロキシ基を含む雰囲気中で焼成するとともに、前記シリコン膜、及び前記シード層をシリコン酸化物に変化させる工程と
    を含むことを特徴とするトレンチの埋め込み方法。
  2. 前記(2)工程と前記(3)工程との間に、
    (5) 前記シリコン膜及び前記シード層が形成された半導体基板を加熱し、前記シリコン膜及び前記シード層からガスを放出させる工程
    を、さらに含むことを特徴とする請求項1に記載のトレンチの埋め込み方法。
  3. 前記(2)工程と前記(3)工程とをインサイチュで行う場合、
    前記(2)工程と前記(5)工程との間に、
    (6) 前記シリコン膜及び前記シード層が形成された半導体基板を、酸素に接触させる工程
    を、さらに含むことを特徴とする請求項2に記載のトレンチの埋め込み方法。
  4. 前記(2)工程と前記(3)工程とをエクスサイチュで行う場合、
    前記(2)工程と前記(5)工程との間に、
    (7) 前記シリコン膜及び前記シード層が形成された半導体基板を、大気下で搬送する工程
    を、さらに含むことを特徴とする請求項2に記載のトレンチの埋め込み方法。
  5. 前記(1)工程と前記(2)工程との間に、
    (6) 前記シード層が形成された半導体基板を加熱し、前記シード層の表面にモノシランよりも高次のシラン系ガスを供給する工程
    を、さらに含むことを特徴とする請求項1から請求項4いずれか一項に記載のトレンチの埋め込み方法。
  6. 前記シリコン膜及び前記シード層は、前記シリコン酸化物に変化するときに膨張し、
    前記シリコン膜の膨張量の2倍値と、前記シード層の膨張量の2倍値との合計値が、前記埋め込み材料の収縮量に一致するように、前記シリコン膜の厚さ及び前記シード層の厚さが設定されることを特徴とする請求項1から請求項5いずれか一項に記載のトレンチの埋め込み方法。
  7. 前記シリコン膜の膨張後の厚さの2倍値と、前記シード層の膨張後の厚さの2倍値と、前記埋め込み材料の収縮後の厚さとの合計値が、前記トレンチの幅に一致するように設定されることを特徴とする請求項6に記載のトレンチの埋め込み方法。
  8. 前記シリコン膜の厚さが、前記シード層の厚さよりも厚く設定されることを特徴とする請求項6又は請求項7に記載のトレンチの埋め込み方法。
  9. 前記アミノシラン系ガスが、
    BAS(ブチルアミノシラン)
    BTBAS(ビスターシャリブチルアミノシラン)
    DMAS(ジメチルアミノシラン)
    BDMAS(ビスジメチルアミノシラン)
    TDMAS(トリジメチルアミノシラン)
    DEAS(ジエチルアミノシラン)
    BDEAS(ビスジエチルアミノシラン)
    DPAS(ジプロピルアミノシラン)、及び
    DIPAS(ジイソプロピルアミノシラン)
    の少なくとも一つを含むガスから選ばれることを特徴とする請求項1から請求項8のいずれか一項に記載のトレンチの埋め込み方法。
  10. 前記トレンチの側壁に形成された酸化膜が、
    前記トレンチが形成された半導体基板をラジカル酸化、又はプラズマ酸化して形成されることを特徴とする請求項1から請求項9いずれか一項に記載のトレンチの埋め込み方法。
  11. 前記トレンチの埋め込み方法が、半導体装置の製造プロセスに用いられることを特徴とする請求項1から請求項10いずれか一項に記載のトレンチの埋め込み方法。
  12. 前記トレンチが、前記半導体装置の内部の素子分離領域に使用されることを特徴とする請求項11に記載のトレンチの埋め込み方法。
  13. 半導体基板に形成されたトレンチの埋め込みに使用される成膜システムであって、
    トレンチが形成され、少なくとも前記トレンチの側壁に酸化膜が形成されている半導体基板を加熱し、前記半導体基板の表面にアミノシラン系ガスを供給して前記半導体基板上にシード層を形成し、前記シード層が形成された半導体基板を加熱し、前記シード層の表面にモノシランガスを供給して前記シード層上にシリコン膜を形成する第1の処理室と、
    前記シリコン膜が形成された半導体基板の前記トレンチを、焼成することで収縮する埋め込み材料を用いて埋め込む第2の処理室と、
    前記トレンチを埋め込む前記埋め込み材料を、水及び/又はヒドロキシ基を含む雰囲気中で焼成するとともに、前記シリコン膜、及び前記シード層をシリコン酸化物に変化させる第3の処理室と
    を含むことを特徴とする成膜システム。
  14. 前記第1の処理室で処理された半導体基板を加熱し、前記シリコン膜及び前記シード層からガスを放出させる第4の処理室を、さらに含むことを特徴とする請求項13に記載の成膜システム。
  15. 前記第1の処理室で処理された半導体基板を、前記第4の処理室に収容する前に、前記シリコン膜及び前記シード層が形成された半導体基板を、酸素に接触させる第5の処理室を、さらに含むことを特徴とする請求項14に記載の成膜システム。
  16. 前記第1の処理室で処理された半導体基板を、前記第4の処理室に収容する前に、前記シリコン膜及び前記シード層が形成された半導体基板を、大気下で搬送する搬送路を、さらに含むことを特徴とする請求項14に記載の成膜システム。
  17. 前記第1の処理室に、前記モノシランガスよりも高次のシランガスを供給する高次のシランガス供給源が、さらに接続されていることを特徴とする請求項13から請求項16のいずれか一項に記載の成膜システム。
JP2011144733A 2010-07-29 2011-06-29 トレンチの埋め込み方法および成膜システム Active JP5490753B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2011144733A JP5490753B2 (ja) 2010-07-29 2011-06-29 トレンチの埋め込み方法および成膜システム
TW100126350A TWI517296B (zh) 2010-07-29 2011-07-26 渠溝充填方法及膜形成系統
KR1020110075121A KR101409604B1 (ko) 2010-07-29 2011-07-28 트렌치의 매립 방법 및 성막 시스템
US13/194,426 US8722510B2 (en) 2010-07-29 2011-07-29 Trench-filling method and film-forming system
CN201110216875.1A CN102347266B (zh) 2010-07-29 2011-07-29 沟槽的填充方法和成膜系统

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010170115 2010-07-29
JP2010170115 2010-07-29
JP2011144733A JP5490753B2 (ja) 2010-07-29 2011-06-29 トレンチの埋め込み方法および成膜システム

Publications (2)

Publication Number Publication Date
JP2012049509A true JP2012049509A (ja) 2012-03-08
JP5490753B2 JP5490753B2 (ja) 2014-05-14

Family

ID=45527163

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011144733A Active JP5490753B2 (ja) 2010-07-29 2011-06-29 トレンチの埋め込み方法および成膜システム

Country Status (5)

Country Link
US (1) US8722510B2 (ja)
JP (1) JP5490753B2 (ja)
KR (1) KR101409604B1 (ja)
CN (1) CN102347266B (ja)
TW (1) TWI517296B (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012138500A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
JP2012138492A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd カーボン膜上への酸化物膜の成膜方法及び成膜装置
JP2012138501A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd トレンチの埋め込み方法および成膜装置
JP2013045946A (ja) * 2011-08-25 2013-03-04 Tokyo Electron Ltd トレンチの埋め込み方法および半導体集積回路装置の製造方法
JP2013095945A (ja) * 2011-10-28 2013-05-20 Tokyo Electron Ltd シード層の形成方法及びシリコン含有薄膜の成膜方法
JP2014067796A (ja) * 2012-09-25 2014-04-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2014127693A (ja) * 2012-12-27 2014-07-07 Tokyo Electron Ltd シード層の形成方法、シリコン膜の成膜方法および成膜装置
JP2014127694A (ja) * 2012-12-27 2014-07-07 Tokyo Electron Ltd シリコン膜の成膜方法および成膜装置
JP2014138073A (ja) * 2013-01-16 2014-07-28 Tokyo Electron Ltd シリコン酸化物膜の成膜方法
JP2014229857A (ja) * 2013-05-27 2014-12-08 東京エレクトロン株式会社 トレンチを充填する方法及び処理装置
JP2015045082A (ja) * 2013-07-31 2015-03-12 東京エレクトロン株式会社 シリコン膜の成膜方法、薄膜の成膜方法および断面形状制御方法
JP2015179729A (ja) * 2014-03-19 2015-10-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびその形成装置
US9353442B2 (en) 2011-10-28 2016-05-31 Tokyo Electron Limited Apparatus for forming silicon-containing thin film
JP2016184754A (ja) * 2016-06-03 2016-10-20 東京エレクトロン株式会社 シード層の形成方法、シリコン膜の成膜方法および成膜装置
CN109385613A (zh) * 2017-08-02 2019-02-26 东京毅力科创株式会社 硅膜的形成方法、形成装置以及存储介质

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5864668B2 (ja) * 2010-10-29 2016-02-17 東京エレクトロン株式会社 凹状部分を有した被処理体上へのシリコン膜の成膜方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101831936B1 (ko) * 2011-12-22 2018-02-26 삼성전자주식회사 박막 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
JP5899082B2 (ja) * 2012-08-08 2016-04-06 富士フイルム株式会社 パターン形成方法、及び、これを用いた電子デバイスの製造方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6068130B2 (ja) * 2012-12-25 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US20150064929A1 (en) * 2013-09-05 2015-03-05 United Microelectronics Corp. Method of gap filling
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9896326B2 (en) * 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6367734B2 (ja) * 2015-02-18 2018-08-01 東京エレクトロン株式会社 凹部を充填する方法及び処理装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
JP6583081B2 (ja) * 2016-03-22 2019-10-02 東京エレクトロン株式会社 半導体装置の製造方法
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6742287B2 (ja) * 2017-02-28 2020-08-19 東京エレクトロン株式会社 半導体製造方法及びプラズマ処理装置
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10354924B2 (en) 2017-08-30 2019-07-16 Macronix International Co., Ltd. Semiconductor memory device and method of manufacturing the same
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP7065728B2 (ja) * 2018-08-17 2022-05-12 東京エレクトロン株式会社 成膜方法及び成膜装置
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
CN111564365A (zh) * 2020-04-10 2020-08-21 中国科学院微电子研究所 一种沉积薄膜的方法及其应用、形成半导体有源区的方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022143997A (ja) * 2021-03-18 2022-10-03 キオクシア株式会社 半導体製造方法および半導体製造装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004273519A (ja) * 2003-03-05 2004-09-30 Clariant (Japan) Kk トレンチ・アイソレーション構造の形成方法
JP2005340446A (ja) * 2004-05-26 2005-12-08 Toshiba Corp 半導体装置およびその製造方法
JP2005347636A (ja) * 2004-06-04 2005-12-15 Az Electronic Materials Kk トレンチ・アイソレーション構造の形成方法
JP2010507259A (ja) * 2006-10-16 2010-03-04 アプライド マテリアルズ インコーポレイテッド Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
JP2011249764A (ja) * 2010-04-27 2011-12-08 Tokyo Electron Ltd アモルファスシリコン膜の成膜方法および成膜装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4847214A (en) * 1988-04-18 1989-07-11 Motorola Inc. Method for filling trenches from a seed layer
US5869384A (en) * 1997-03-17 1999-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing silicon liner layer and gap filling silicon oxide trench fill layer
US7119016B2 (en) * 2003-10-15 2006-10-10 International Business Machines Corporation Deposition of carbon and nitrogen doped poly silicon films, and retarded boron diffusion and improved poly depletion
CN1630036A (zh) * 2003-12-17 2005-06-22 旺宏电子股份有限公司 半导体元件和在其中形成多晶硅层的制造方法
US7112513B2 (en) 2004-02-19 2006-09-26 Micron Technology, Inc. Sub-micron space liner and densification process
KR20080026765A (ko) * 2006-09-21 2008-03-26 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
JP2008124211A (ja) * 2006-11-10 2008-05-29 Fujitsu Ltd 半導体装置の製造方法
TW200905730A (en) * 2007-07-23 2009-02-01 Ind Tech Res Inst Method for forming a microcrystalline silicon film
KR100914293B1 (ko) * 2007-11-07 2009-08-27 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리막 형성방법
WO2010088046A1 (en) * 2009-01-30 2010-08-05 Bp Corporation North America Inc. Seed layers and process of manufacturing seed layers
JP2011014872A (ja) * 2009-06-04 2011-01-20 Tokyo Electron Ltd アモルファスカーボン膜の形成方法および形成装置
KR101094554B1 (ko) * 2009-06-08 2011-12-19 주식회사 하이닉스반도체 불휘발성 메모리 소자의 제조방법
JP2012004542A (ja) * 2010-05-20 2012-01-05 Tokyo Electron Ltd シリコン膜の形成方法およびその形成装置
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
US7947551B1 (en) * 2010-09-28 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
JP5675331B2 (ja) * 2010-12-27 2015-02-25 東京エレクトロン株式会社 トレンチの埋め込み方法
JP5864360B2 (ja) * 2011-06-30 2016-02-17 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
JP5514162B2 (ja) * 2011-07-22 2014-06-04 東京エレクトロン株式会社 アモルファスシリコン膜の成膜方法および成膜装置
JP5977002B2 (ja) * 2011-08-25 2016-08-24 東京エレクトロン株式会社 トレンチの埋め込み方法および半導体集積回路装置の製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004273519A (ja) * 2003-03-05 2004-09-30 Clariant (Japan) Kk トレンチ・アイソレーション構造の形成方法
JP2005340446A (ja) * 2004-05-26 2005-12-08 Toshiba Corp 半導体装置およびその製造方法
JP2005347636A (ja) * 2004-06-04 2005-12-15 Az Electronic Materials Kk トレンチ・アイソレーション構造の形成方法
JP2010507259A (ja) * 2006-10-16 2010-03-04 アプライド マテリアルズ インコーポレイテッド Sti用の二酸化シリコンの高品質誘電体膜の形成:harpii−遠隔プラズマ増強型堆積プロセス−のための異なるシロキサンベースの前駆物質の使用
JP2011249764A (ja) * 2010-04-27 2011-12-08 Tokyo Electron Ltd アモルファスシリコン膜の成膜方法および成膜装置

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012138492A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd カーボン膜上への酸化物膜の成膜方法及び成膜装置
JP2012138501A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd トレンチの埋め込み方法および成膜装置
JP2012138500A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
JP2013045946A (ja) * 2011-08-25 2013-03-04 Tokyo Electron Ltd トレンチの埋め込み方法および半導体集積回路装置の製造方法
US8946065B2 (en) 2011-10-28 2015-02-03 Tokyo Electron Limited Method of forming seed layer and method of forming silicon-containing thin film
JP2013095945A (ja) * 2011-10-28 2013-05-20 Tokyo Electron Ltd シード層の形成方法及びシリコン含有薄膜の成膜方法
US9353442B2 (en) 2011-10-28 2016-05-31 Tokyo Electron Limited Apparatus for forming silicon-containing thin film
JP2014067796A (ja) * 2012-09-25 2014-04-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2014127693A (ja) * 2012-12-27 2014-07-07 Tokyo Electron Ltd シード層の形成方法、シリコン膜の成膜方法および成膜装置
KR20140085344A (ko) * 2012-12-27 2014-07-07 도쿄엘렉트론가부시키가이샤 실리콘막의 성막 방법 및 성막 장치
JP2014127694A (ja) * 2012-12-27 2014-07-07 Tokyo Electron Ltd シリコン膜の成膜方法および成膜装置
KR20140085343A (ko) * 2012-12-27 2014-07-07 도쿄엘렉트론가부시키가이샤 시드층의 형성 방법, 실리콘막의 성막 방법 및 성막 장치
KR101682747B1 (ko) * 2012-12-27 2016-12-05 도쿄엘렉트론가부시키가이샤 시드층의 형성 방법, 실리콘막의 성막 방법 및 성막 장치
KR101672081B1 (ko) 2012-12-27 2016-11-02 도쿄엘렉트론가부시키가이샤 실리콘막의 성막 방법 및 성막 장치
JP2014138073A (ja) * 2013-01-16 2014-07-28 Tokyo Electron Ltd シリコン酸化物膜の成膜方法
JP2014229857A (ja) * 2013-05-27 2014-12-08 東京エレクトロン株式会社 トレンチを充填する方法及び処理装置
JP2015045082A (ja) * 2013-07-31 2015-03-12 東京エレクトロン株式会社 シリコン膜の成膜方法、薄膜の成膜方法および断面形状制御方法
JP2015179729A (ja) * 2014-03-19 2015-10-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびその形成装置
JP2016184754A (ja) * 2016-06-03 2016-10-20 東京エレクトロン株式会社 シード層の形成方法、シリコン膜の成膜方法および成膜装置
CN109385613A (zh) * 2017-08-02 2019-02-26 东京毅力科创株式会社 硅膜的形成方法、形成装置以及存储介质
CN109385613B (zh) * 2017-08-02 2022-05-17 东京毅力科创株式会社 硅膜的形成方法、形成装置以及存储介质

Also Published As

Publication number Publication date
JP5490753B2 (ja) 2014-05-14
KR101409604B1 (ko) 2014-06-18
CN102347266A (zh) 2012-02-08
CN102347266B (zh) 2014-11-12
US20120028437A1 (en) 2012-02-02
KR20120011825A (ko) 2012-02-08
US8722510B2 (en) 2014-05-13
TWI517296B (zh) 2016-01-11
TW201209959A (en) 2012-03-01

Similar Documents

Publication Publication Date Title
JP5490753B2 (ja) トレンチの埋め込み方法および成膜システム
JP5675331B2 (ja) トレンチの埋め込み方法
JP5544343B2 (ja) 成膜装置
JP5977002B2 (ja) トレンチの埋め込み方法および半導体集積回路装置の製造方法
US7642171B2 (en) Multi-step anneal of thin films for film densification and improved gap-fill
KR101775950B1 (ko) 어모퍼스 실리콘막의 성막 방법 및 성막 장치
WO2011114960A9 (ja) 成膜方法及び成膜装置
US20070212847A1 (en) Multi-step anneal of thin films for film densification and improved gap-fill
JP5588856B2 (ja) カーボン膜上への酸化物膜の成膜方法及び成膜装置
KR102455458B1 (ko) 성막 방법 및 성막 장치
JP5864668B2 (ja) 凹状部分を有した被処理体上へのシリコン膜の成膜方法
JP6010161B2 (ja) アモルファスシリコン膜の成膜方法および成膜装置
KR102490920B1 (ko) 실리콘막의 성막 방법 및 기판 처리 장치
JP5710819B2 (ja) アモルファスシリコン膜の成膜方法および成膜装置
JP2024047876A (ja) 成膜方法および成膜装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130403

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140218

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140226

R150 Certificate of patent or registration of utility model

Ref document number: 5490753

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250