KR20210107178A - 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법 - Google Patents

탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법 Download PDF

Info

Publication number
KR20210107178A
KR20210107178A KR1020217026923A KR20217026923A KR20210107178A KR 20210107178 A KR20210107178 A KR 20210107178A KR 1020217026923 A KR1020217026923 A KR 1020217026923A KR 20217026923 A KR20217026923 A KR 20217026923A KR 20210107178 A KR20210107178 A KR 20210107178A
Authority
KR
South Korea
Prior art keywords
disilacyclobutane
film
trisilapentane
doped silicon
silicon oxide
Prior art date
Application number
KR1020217026923A
Other languages
English (en)
Other versions
KR102345918B1 (ko
Inventor
하리핀 찬드라
신지안 레이
아누파마 말리카주난
무성 김
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Priority to KR1020217042716A priority Critical patent/KR102510722B1/ko
Priority to KR1020217042708A priority patent/KR102456373B1/ko
Publication of KR20210107178A publication Critical patent/KR20210107178A/ko
Application granted granted Critical
Publication of KR102345918B1 publication Critical patent/KR102345918B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electromagnetism (AREA)
  • Silicon Compounds (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Silicon Polymers (AREA)
  • Prostheses (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)

Abstract

본 명세서에는 전자 장치를 제조하기 위한 조성물 및 이 조성물을 사용하는 방법이 기술되어 있다. 보다 구체적으로, 본 명세서에는, 낮은 유전 상수(< 4.0) 및 높은 산소 애싱 저항성을 갖는 규소 함유 필름, 예컨대 탄소 도핑된 산화규소(이에 국한되지 않음)의 증착을 위한 화합물, 및 그 화합물을 포함하는 조성물 및 방법이 기술되어 있다.

Description

탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법{COMPOSITIONS AND METHODS USING SAME FOR CARBON DOPED SILICON CONTAINING FILMS}
관련 출원에 대한 교차 참조
본 출원은 2017년 7월 19일자 출원된 미국 출원 번호 15/654,426 및 2016년 7월 27일자로 출원된 미국 가출원 번호 62/367,260의 이익을 우선권 주장한 것이다. 미국 출원 번호 15/654,426 및 미국 가출원 번호 62/367,260의 개시내용은 그 전체가 본 명세서에 참고 인용된다.
본 개시내용의 주제는 2016년 2월 4일자로 출원된 PCT 출원 번호 PCT/US2016/016514에 관한 것이다. PCT 출원 번호 PCT/US2016/016514의 개시내용은 본 명세서에 참고 인용된다.
발명의 기술분야
본 명세서에는 전자 장치를 제조하기 위한 조성물 및 방법이 기술되어 있다. 보다 구체적으로, 본 명세서에는, 낮은 유전 상수(< 4.0) 및 높은 산소 애싱 저항성을 갖는 규소 함유 필름, 예컨대 탄소 도핑된 산화규소, 탄소 도핑된 질화규소, 탄소 도핑된 옥시질화규소(이들에 국한되지 않음)의 증착을 위한 화합물, 및 그 화합물을 포함하는 조성물 및 방법이 기술되어 있다.
전자 산업 내에 속하는 특정 적용예에 있어서 높은 탄소 함량(예를 들면, 서X선 광전자 분광법(XPS: X-ray photoelectron spectroscopy)에 의해 측정된 바와 같이 약 10 원자% 이상의 탄소 함량) 도핑된 규소 함유 필름을 증착시키기 위한 조성물 및 이 조성물을 사용하는 방법을 제공하는 것이 해당 기술 분야에서 요구되고 있다.
미국 특허 번호 8,575,033에는 기판 표면 상에 탄화규소 필름을 증착시키기 위한 방법이 기술되어 있다. 이 방법은 증기 상 카르보실란 전구체의 사용을 포함하고, 플라즈마 강화 원자 층 증착 공정을 이용할 수 있다.
미국 공개 번호 2013/022496에는 원자 층 증착(ALD: atomic layer deposition)에 의해 반도체 기판 상에 Si-C 결합을 갖는 유전체 필름을 형성시키는 방법이 교시되어 있으며, 이 방법은 (i) 기판의 표면 상에 전구체를 흡착시키는 단계, (ii) 기판 상에서 그 흡착된 전구체 및 반응물 가스를 반응시키는 단계, 및 (iii) 단계 (i) 및 단계 (ii)를 반복하여 기판 상에 적어도 Si-C 결합을 갖는 유전체 필름을 형성시키는 단계를 포함한다.
PCT 출원 번호 WO14134476 A1에는 SiCN 및 SIOCN을 포함하는 필름을 증착하기 위한 방법이 기술되어 있다. 특정 방법은 기판 표면을 제1 및 제2 전구체를 노출시키는 단계로서, 제1 전구체는 화학식 (XyH3-ySi)zCH4-z, (XyH3-ySi)(CH2)(SiXpH2-p)(CH2)(SiXyH3-y), 또는 (XyH3-ySi)(CH2)n(SiXyH3-y)를 가지며, 여기서 x는 할로겐이고, y는 1 내지 3의 값을 갖고, z는 1 내지 3의 값을 가지며, p는 0 내지 2의 값을 갖고, n은 2 내지 5의 값을 가지며, 제2 전구체는 환원성 아민을 포함하는 것인 단계를 포함한다. 특정 방법은 또한 기판 표면을 산소 공급원에 노출시켜 탄소 도핑된 산화규소를 포함하는 필름을 제공하는 단계도 포함한다.
미국 출원 번호 2014287596 A[Hirose, Y., Mizuno, K., Mizuno, N., Okubo, S., Okubo, S., Yanagida, K. and Yanagita, K.(2014), "method of manufacturing semiconductor device, substrate processing apparatus, and recording medium"]에는 사이클을 예정된 회수로 수행함으로써 기판 상에 규소, 산소 및 탄소를 함유하는 얇은 필름을 형성시키는 단계를 포함하는 반도체 장치의 제조 방법이 기술되어 있으며, 상기 사이클은 규소, 탄소 및 할로겐 원소를 함유하고 Si-C 결합을 갖는 전구체 가스, 및 제1 촉매 가스를 기판에 공급하는 것, 및 산화 가스 및 제2 촉매 가스를 기판에 공급하는 것을 포함한다.
미국 특허 번호 9,343,290 B[Hirose, Y., Mizuno, N., Yanagita, K. and Okubo, S.(2014), "Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium"]에는 사이클을 예정된 회수로 수행함으로써 기판 상에 산화물 필름을 형성시키는 단계를 포함하는 반도체 장치의 제조 방법이 기술되어 있다. 상기 사이클은 전구체 가스를 기판에 공급하는 것, 및 오존 가스를 기판에 공급하는 것을 포함한다. 전구체 가스를 공급하는 작용에 있어서, 전구체 가스는 촉매 가스가 기판에 공급되지 않는 상태로 기판에 공급되고, 오존 가를 공급하는 작용에서, 오존 가스는 아민계 촉매 가스가 기판에 공급되는 상태로 기판에 공급된다.
미국 특허 번호 9,349,586 B에는 바람직한 에칭 저항성 및 낮은 유전 상수를 갖는 얇은 필름이 개시되어 있다.
미국 공개 번호 2015/0044881 A에는 고 농도로 첨가된 탄소를 함유하는 필름을 형성시키는 방법으로서, 상기 필름이 높은 제어성으로 형성되는 것인 방법이 기술되어 있다. 반도체 장치를 제조하는 방법은 사이클을 예정된 회수로 수행함으로써 기판 상에 규소, 탄소 및 선결정된 원소를 함유하는 필름을 형성시키는 단계를 포함한다. 그 선결정된 원소는 질소 및 산소 중 하나이다. 상기 사이클은 1 몰당 적어도 1개의 규소 원자, 탄소 및 할로겐 원자를 함유하고 Si-C 결합을 갖는 전구체 가스를 기판에 공급하는 것, 및 선결정된 원소를 함유하는 개질 가스를 기판에 공급하는 것을 포함한다.
문헌["Highly Stable Ultrathin Carbosiloxane films by Molecular Layer Deposition", Han, Z. et al., Journal of Physical Chemistry C, 2013, 117, 19967]에는 1,2-비스[(디메틸아미노)디메틸실릴]에탄 및 오존을 사용하여 카르보실록산 필름을 성장시키는 방법이 교시되어 있다. 열적 안정성은 필름이 60℃에서 약간의 두께 손실을 가지면서 40℃ 이하에서 안정하다는 것을 보여준다.
문헌[Liu et al, Jpn. J. Appl. Phys., 1999, Vol. 38, 3482-3486]에는 스핀-온 기법에 의해 증착된 폴리실세스퀴녹산 상에서의 H2 플라즈마 용도가 교시되어 있다. 그 H2 플라즈마는 안정한 유전 상수를 제공하며, 필름 열적 안정성 및 O2 애싱(플라즈마) 처리를 개선시킨다.
문헌[Kim et al, Journal of the Korean Physical Society, 2002, Vol. 40, 94]에서는 PECVD 탄소 도핑된 산화규소 필름 상에서의 H2 플라즈마 처리가 누설 전류 밀도(4-5의 크기 자리수)를 개선시키고, 동시에 유전 상수를 2.2에서 2.5로 증가시킨다는 점을 교시하고 있다. H2 플라즈마 후 탄소 도핑된 산화규소 필름은 산소 애싱 공정 동안 보다 적은 손상을 갖는다.
문헌[Posseme et al, Solid State Phenomena, 2005, Vol. 103-104, 337]에는탄소 도핑된 산화규소 PECVD 필름 상에서의 상이한 H2/비활성 플라즈마 처리가 교시되어 있다. k는 H2 플라즈마 처리 후 개선되지 않는데, 이는 벌크 변형(bulk modification)이 없다는 것을 나타낸다.
앞서 확인된 특허, 특허 출원 및 공개물의 개시내용은 본 명세서에서 참고 인용된다.
발명의 개요
본 명세서에 기술된 조성물 및 방법은, 다음의 특성들: (i) 희석된 플루오르화수소산 중에서 측정된 바와 같이 열적 산화규소보다 적어도 0.5배 더 적은 에칭율(예를 들면, 1:99 희석된 HF 중에서 0.45Å/s) 및 XPS에 의해 측정된 바와 같이 약 10 원자 중량%(원자%) 이상의 탄소 함량; (ii) 산소 애싱 공정 또는 산소 플라즈마에 대한 노출 동안 보다 덜 민감한 희석된 HF(dHF) 중에서의 유전 상수 및 습식 에칭율(여기서, 산소 애싱 저항성은 dHF 침지에 의해 측정되는 O2 애싱 후 손상 두께 < 50Å 뿐만 아니라 O2 애싱 후 필름 유전 상수 4.0 미만에 의해 정량화될 수 있다); (iii) 4.0 미만의 유전 상수; 및 (iv) 결과로 얻어지는 필름 내의 염소 불순물 2.0 원자% 미만, 바람직하게는 1.0 원자% 미만, 가장 바람직하게는 0.5 원자% 미만 중 하나 이상을 갖는 것으로 형성되는 컨포멀(conformal) 규소 함유 필름을 증착시키기 위한 조성물 또는 제제를 제공함으로써, 종래 기술의 문제들을 해소하고 있다. 본 발명에 의해 달성될 수 있는 바람직한 특성들은 후술하는 실시예에서 보다 상세히 예시되어 있다.
하나의 구체적인 실시양태에서, 본 명세서에 기술된 조성물은 열적 원자 층 증착(ALD)을 이용하여 탄소 도핑된 산화규소 필름을 증착시키는 방법에서 사용될 수 있다.
한 양태에서, 규소 함유 필름을 증착시키기 위한 조성물은, (a) 표 1 및 2에 열거되어 있는, 1개의 Si-C-Si 또는 2개의 Si-C-Si 연결부를 갖는 하나 이상의 선형 또는 환형 규소 전구체 화합물을 포함한다.
Figure pat00001
Figure pat00002
Figure pat00003
본 발명의 적어도 하나의 양태에서, 상기 조성물은 (b) 하나 이상의 용매를 포함한다. 본 명세서에 기술된 특정 실시양태에서, 예시적인 용매로는 에테르, tert-아민, 알킬 탄화수소, 방향족 탄화수소, 실록산, tert-아미노에테르, 및 이들의 조합이 포함될 수 있지만, 이에 국한되는 것은 아니다. 특정 실시양태에서, 규소 화합물의 비점과 용매의 비점 사이의 차이는 40℃ 이하, 약 30℃ 미만이고, 일부 사례에서 약 20℃ 미만, 바람직하게는 10℃ 미만이다.
또다른 양태에서는, 기판의 적어도 표면 상에 탄소 도핑된 산화규소 필름 및 탄소 도핑된 옥시질화규소 필름으로부터 선택된 필름을 증착시키는 방법으로서,
- 기판을 반응기 내에 배치하는 단계;
- 반응기를 약 25℃ 내지 약 550℃의 범위에 있는 하나 이상의 온도로 가열하는 단계;
- 표 1 및 2에 열거된 규소 전구체로부터 선택되는 하나 이상의 화합물 및 이들의 조합을 포함하는 전구체를 반응기 내로 도입하는 단계;
- 반응기 내로 질소 공급원을 도입하여 전구체의 적어도 일부와 반응시켜 탄소 도핑된 질화규소 필름을 형성시키는 단계; 및
- 탄소 도핑된 질화규소 필름을 탄소 도핑된 산화규소 필름으로 전환시키기에 충분한 조건 하에 약 25℃ 내지 1000℃ 또는 약 100℃ 내지 약 400℃의 범위에 있는 하나 이상의 온도에서 탄소 도핑된 질화규소 필름을 산소 공급원으로 처리하는 단계
를 포함하는 방법이 제공된다. 특정 실시양태에서, 탄소 도핑된 산화규소 필름 또는 탄소 도핑된 옥시질화규소 필름은 XPS에 의해 측정된 바와 같이 약 10 원자 중량%(원자%) 이상의 탄소 함량 및 희석된 플루오르화수소산 중에서 측정된 바와 같이 열적 산화규소보다 적어도 0.5배 더 적은 에칭율을 갖는다.
필요한 경우, 본 발명은 탄소 도핑된 규소 함유 필름을 25℃ 내지 600℃에서 수소 또는 수소/비활성 플라즈마로 처리하는 단계를 추가로 포함한다.
본 발명의 한 양태는
(a) 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판, 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 1개의 Si-C-Si 또는 2개의 Si-C-Si 연결부를 갖는 하나 이상의 선형 또는 환형 규소 전구체 화합물; 및
(b) 하나 이상의 용매
를 포함하는 조성물에 관한 것이다.
본 발명의 또다른 양태는, 열적 ALD 공정을 통해 15 원자% 내지 30 원자%의 범위에 있는 탄소 함량을 갖는 탄소 도핑된 산화규소 필름을 형성시키는 방법에 관한 것이며, 상기 방법은
(a) 표면 피처를 포함하는 하나 이상의 기판을 반응기 내에 배치하는 단계;
(b) 반응기를 주위 온도 내지 약 550℃의 범위에 있는 하나 이상의 온도로 가열하고, 임의로 반응기를 100 torr 이하의 압력으로 유지하는 단계;
(c) 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 2개의 Si-C-Si 연결부를 갖는 하나 이상의 규소 전구체를 반응기 내로 도입하는 단계;
(d) 비활성 가스로 퍼지하는 단계;
(e) 반응기 내로 질소 공급원을 제공하여 표면과 반응시킴으로써 탄소 도핑된 질화규소 필름을 형성시키는 단계;
(f) 비활성 가스로 퍼지하여 반응 부산물을 제거하는 단계;
(g) 단계 (c) 내지 단계 (f)를 반복하여 원하는 두께의 탄소 도핑된 질화규소를 제공하는 단계;
(h) 결과로 얻어지는 탄소 도핑된 질화규소 필름을 약 주위 온도 내지 1000℃ 또는 약 100℃ 내지 약 400℃의 범위에 있는 하나 이상의 온도에서 산소 공급원으로 처리하여 탄소 도핑된 질화규소 필름을 탄소 도핑된 산화규소 필름으로 전환시키는 단계; 및
(i) 수소를 포함하는 플라즈마에 대한 탄소 도핑된 산화규소 필름의 증착후 노출을 제공하는 단계
를 포함한다.
본 발명의 한 앙태에서, 기판은 규소 또는 게르마늄 도핑된 규소 또는 붕소 도핑된 규소 또는 고 k 재료를 포함하며, 본 발명의 탄소 도핑된 산화규소 필름의 증착 후에는 질화규소 또는 산화규소를 포함하는 필름이 증착된다.
본 발명의 추가 양태는 약 4. 미만의 k, XPS 측정에 기초한 약 10 원자% 이상, 바람직하게는 15 원자% 이상, 가장 바람직하게는 20 원자% 이상의 탄소 함량을 갖는 필름에 관한 것이며, 또다른 양태에서, 본 발명의 필름은 본 발명의 방법 중 임의의 것에 따라 형성될 수 있다. 탄소 함량이 습식 에칭율을 감소시킬 뿐만 아니라 애싱 저항성을 증가시키기 위한 중요 인자이기 때문에, 본 발명에 있어서 탄소 함량은 XPS에 의해 측정된 바와 같이 10 원자% 내지 40 원자%, 바람직하게는 15 원자% 내지 30 원자%, 가장 바람직하게는 20 원자% 내지 35 원자%의 범위를 갖는다.
본 발명의 또다른 양태는 본 발명의 조성물을 수용하는(housing) 스테인레스강 용기에 관한 것이다.
본 발명의 실시양태들은 단독으로 사용될 수 있거나, 또는 서로 간의 다양한 조합으로 사용될 수 있다.
도면의 간단한 설명
도 1은 플라즈마 처리와 이어서 산소 애싱 후 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판(HCDSP) 및 1,1,3,3-테트라클로로-1,3-디실라시클로부탄(TCDSB) 탄소 도핑된 산화규소 필름에 대한 에칭 프로파일 비교를 도시한 것이며, 이는 TCDSB로부터의 탄소 도핑된 산화규소 필름이 HCDSP의 것보다 더 많은 애싱 저항성을 제공한다는 것을 입증해 보여준다.
발명의 상세한 설명
본 명세서에서는, 증착 공정, 예컨대 열적 원자 층 증착 공정(이에 국한되는 것은 아님)을 통해 탄소 도핑된 (예를 들면, XPS에 의해 측정된 바와 같이 약 10 원자% 이상의 탄소 함량을 갖는) 규소 함유 필름을 증착시키는 규소 전구체 화합물, 및 이 화합물을 포함하는 조성물 및 방법이 기술되어 있다. 본 명세서에 기술된 조성물 및 방법을 이용하여 증착된 필름은 극히 낮은 에칭율, 예컨대 희석된 플루오르화수소산 중에서 측정된 바와 같이 열적 산화규소보다 적어도 0.5배 더 작은 에칭율(예를 들면, 희석된 HF(0.5 중량%) 중에서 약 0.20Å 이하 또는 약 0.15Å 이하), 또는 열적 산화규소보다 적어도 0.005배 더 작은 에칭율 또는 열적 산화규소보다 적어도 0.01배 더 작은 에칭율을 나타내고, 동시에 다른 조정 가능한 특성, 예컨대 밀도, 유전 상수, 굴절률 및 원소 조성(이들에 국한되는 것이 아님)에서의 다양성을 나타낸다.
특정 실시양태에서, 본 명세서에 기술된 규소 전구체 또는 전구체들, 및 이 전구체를 사용하는 방법은 하기 특성 중 하나 이상을 하기 방식으로 부여한다. 첫째, 증착된 그대로의 반응성 탄소 도핑된 질화규소 필름은 Si-C-Si 연결부 및 질소 공급원을 포함하는 규소 전구체 또는 전구체들을 사용하여 형성된다. 임의의 이론이나 설명에 의해 제한하고자 하는 것은 아니지만, 규소 전구체로부터의 Si-C-Si 연결부는 결과로 얻어지는 증착된 그대로의 필름에서 유지되고, XPS에 의해 측정된 바와 같이 10 원자% 이상의 고 탄소 함량(예를 들면, 약 20 내지 약 30 원자%, 약 10 내지 약 20 원자%, 일부 사례에서 약 10 내지 약 15 원자%의 탄소)을 제공하는 것으로 생각된다. 둘째, 증착된 그대로의 필름을 산소 공급원, 예컨대 물에, 증착 공정, 증착후 처리 또는 이의 조합 동안 간헐적으로 노출시킬 때, 필름 내의 질소 함량의 적어도 일부 또는 전부가 산소로 전환되어 탄소 도핑된 산화규소 또는 탄소 도핑된 옥시질화규소 필름으로부터 선택된 필름을 제공하게 된다. 증착된 그대로의 필름 내의 질소는 하나 이상의 질소 함유 부산물, 예컨대 암모니아 또는 아민 기로서 방출된다.
이 실시양태 또는 다른 실시양태에서, 최종 필름은 다공성이고, 약 1.7 g/입방 센티미터(g/cc) 이하의 밀도 및 0.5 중량% 희석된 플루오르화수소 중에서 0.20 이하의 에칭율을 갖는다.
하나의 양태에서, 규소 함유 필름을 증착시키기 위한 조성물은 (a) 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판, 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 1개의 Si-C-Si 또는 2개의 Si-C-Si 연결부를 갖는 하나 이상의 규소 전구체 화합물; 및 (b) 하나 이상의 용매를 포함한다. 본 명세서에 기술된 조성물의 특정 실시양태에서, 예시적인 용매로는 에테르, tert-아민, 알킬 탄화수소, 방향족 탄화수소, tert-아미노에테르, 실록산 및 이들의 조합이 포함될 수 있지만, 이에 국한되는 것은 아니다. 특정 실시양태에서, 1개의 Si-C-Si 또는 2개의 Si-C-Si 연결부를 갖는 화합물의 비점과 용매의 비점 사이의 차이는 40℃ 이하이다. 용매 중의 규소 전구체 화합물의 중량%는 1 내지 99 중량%, 또는 10 내지 90 중량%, 또는 20 내지 80 중량%, 또는 30 내지 70 중량%, 또는 40 내지 60 중량%, 50 내지 50 중량%로 다양할 수 있다. 일부 실시양태에서, 조성물은 종래의 직접 액체 주입 장치 및 방법을 이용하여 규소 함유 필름에 대하여 반응기 챔버 내로 직접 액체 주입을 통해 전달될 수 있다.
본 명세서에 기술된 방법의 한 실시양태에서, 5 원자% 내지 20 원자%의 범위에 있는 탄소 함량을 갖는 탄소 도핑된 산화규소 필름은 열적 ALD 공정 및 수소 함유 플라즈마를 사용하여 증착되어 필름 특성을 개선하게 된다. 이 실시양태에서, 방법은
(a) 표면 피처를 포함하는 하나 이상의 기판을 반응기 내에 배치하는 단계;
(b) 반응기를 주위 온도 내지 약 550℃의 범위에 있는 하나 이상의 온도로 가열하고, 임의로 반응기를 100 torr 이하의 압력으로 유지하는 단계;
(c) 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판으로 이루어진 군으로부터 선택되는 1개의 Si-C-Si 연결부를 갖는 하나 이상의 규소 전구체를 반응기 내로 도입하는 단계;
(d) 비활성 가스로 퍼지하여 미반응된 규소 전구체를 제거하고 퍼지 가스 및 규소 전구체를 포함하는 조성물을 형성시키는 단계;
(e) 반응기 내로 질소 공급원을 제공하여 표면과 반응시켜 규소 함유 질화탄소 필름을 형성시키는 단계;
(f) 비활성 가스로 퍼지하여 부산물을 제거하는 단계;
(g) 단계 (c) 내지 단계 (f)를 반복하여 원하는 두께의 탄소 도핑된 질화규소를 제공하는 단계;
(h) 탄소 도핑된 질화규소 필름을 약 주위 온도 내지 약 1000℃, 또는 약 100℃ 내지 약 400℃의 범위에 있는 하나 이상의 온도에서 산소 공급원으로 증착후 처리하여 탄소 도핑된 질화규소 필름을 탄소 도핑된 산화규소 필름으로 계내에서 또는 다른 챔버에서 전환시키는 단계; 및
(i) 수소를 포함하는 플라즈마에 대한 탄소 도핑된 산화규소 필름의 증착후 노출을 제공하여 필름 특성을 개선함으로써 필름 특성 중 하나 이상을 개선하는 단계;
(j) 임의로, 탄소 도핑된 산화규소 필름을 400℃ 내지 1000℃의 온도 또는 UV 광원에서 스파이크 어닐링으로 증착후 처리하는 단계
를 포함한다. 이 실시양태 또는 다른 실시양태에서, UV 노출 단계는 필름 증착 중에 또는 일단 증착을 종료한 후에 수행될 수 있다.
한 실시양태에서, 기판은 하나 이상의 피처를 포함하고, 여기서 피처는 1:9의 종횡비, 및 180 nm의 개구를 지닌 패턴 트렌치를 포함한다.
본 명세서에 기술된 방법의 한 실시양태에서, 15 원자% 내지 30 원자%의 범위에 있는 탄소 함량을 갖는 탄소 도핑된 산화규소 필름은 열적 ALD 공정 및 수소 함유 플라즈마를 이용하여 증착되어 필름 특성을 개선하게 된다. 이 실시양태에서, 방법은
(a) 표면 피처를 포함하는 하나 이상의 기판을 반응기(예를 들면, 종래의 ALD 반응기) 내에 배치하는 단계;
(b) 반응기를 주위 온도 내지 약 550℃의 범위에 있는 하나 이상의 온도로 가열하고, 임의로 반응기를 100 torr 이하의 압력으로 유지하는 단계;
(c) 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 2개의 Si-C-Si 연결부를 갖는 하나 이상의 규소 전구체를 반응기 내로 도입하는 단계;
(d) 비활성 가스로 퍼지하는 단계;
(e) 반응기 내로 질소 공급원을 제공하여 표면과 반응시켜 탄소 도핑된 질화규소 필름을 형성시키는 단계;
(f) 비활성 가스로 퍼지하여 반응 부산물을 제거하는 단계;
(g) 단계 (c) 내지 단계 (f)를 반복하여 원하는 두께의 탄소 도핑된 질화규소를 제공하는 단계;
(h) 탄소 도핑된 질화규소 필름을 약 주위 온도 내지 약 1000℃, 또는 약 100℃ 내지 약 400℃의 범위에 있는 하나 이상의 온도에서 증착후 처리하여 계내에서 또는 다른 챔버에서 탄소 도핑된 질화규소 필름을 탄소 도핑된 산화규소 필름으로 전환시키는 단계;
(i) 수소를 포함하는 플라즈마에 대한 탄소 도핑된 산화규소 필름의 증착후 노출을 제공하여 필름의 물리적 특성 중 하나 이상을 개선하는 단계;
(j) 임의로, 탄소 도핑된 산화규소 필름을 열적 어닐링으로 400℃ 내지 1000℃의 온도, 또는 UV 광원에서 증착후 처리하는 단계
를 포함한다. 이 실시양태 또는 다른 실시양태에서, UV 노출 단계는 필름 증착 중에, 또는 증착을 완료한 후에 수행할 수 있다.
본 명세서에 기술된 방법의 또 다른 추가 실시양태에서, 규소 함유 필름은 암모니아 또는 유기 아민을 포함하는 촉매와 함께 열적 ALD 공정을 이용하여 증착된다. 이 실시양태에서, 방법은
(a) 표면 피처를 포함하는 하나 이상의 기판을 반응기 내에 배치하는 단계;
(b) 반응기를 주위 온도 내지 약 150℃의 범위에 있는 하나 이상의 온도로 가열하고, 임의로 반응기를 100 torr 이하의 압력으로 유지하는 단계;
(c) 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판, 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 1개 또는 2개의 Si-C-Si 연결부를 갖는 하나 이상의 규소 전구체 및 촉매를 반응기 내로 도입하는 단계;
(d) 비활성 가스로 퍼지하는 단계;
(e) 반응기 내로 수증기를 제공하여 전구체뿐만 아니라 촉매와 반응시켜 증착된 그대로의 탄소 도핑된 산화규소 필름을 형성시키는 단계;
(f) 비활성 가스로 퍼지하여 반응 부산물을 제거하는 단계;
(g) 단계 (c) 내지 단계 (f)를 반복하여 원하는 두께의 탄소 도핑된 산화규소를 제공하는 단계;
(h) 수소를 포함하는 플라즈마에 대한 그 처리된 필름의 증착후 노출을 제공하여 필름 특성을 개선함으로써 필름 특성 중 하나 이상을 개선하는 단계;
(i) 임의로, 탄소 도핑된 산화규소 필름을 400℃ 내지 1000℃의 온도 또는 UV 광원에서 스파이크 어닐링으로 증착후 처리하는 단계
를 포함한다. 이 실시양태 또는 다른 실시양태에서, UV 노출 단계는 필름 증착 중에 또는 증착을 완료한 후에 수행될 수 있다.
이 실시양태 또는 다른 실시양태에서, 촉매는 루이스 염기, 예컨대 피리딘, 피페라진, 암모니아, 트리에틸아민, 또는 다른 유기 아민으로부터 선택된다. 루이스 염기 증기의 양은 단계 (c) 동안 규소 전구체 증기의 양에 대하여 1 당량 이상이다.
특정 실시양태에서, 결과로 얻어지는 탄소 도핑된 산화규소 필름은 Si-Me 또는 Si-H 또는 둘다를 갖는 오가노아미노실란 또는 클로로실란에 노출되어, 수소 플라즈마 처리에 노출하기 전에 소수성 얇은 층을 형성하게 된다. 적합한 오가노아미노실란으로는 디에틸아미노트리메틸실란, 디메틸아미노트리메틸실란, 에틸메틸아미노트리메틸실란, t-부틸아미노트리메틸실란, iso-프로필아미노트리메틸실란, 디-이소프로필아미노트리메틸실란, 피롤리디노트리메틸실란, 디에틸아미노디메틸실란, 디메틸아미노디메틸실란, 에틸메틸아미노디메틸실란, t-부틸아미노디메틸실란, iso-프로필아미노디메틸실란, 디-이소프로필아미노디메틸실란, 피롤리디노디메틸실란, 비스(디에틸아미노)디메틸실란, 비스(디메틸아미노)디메틸실란, 비스(에틸메틸아미노)디메틸실란, 비스(디-이소프로필아미노)디메틸실란, 비스(iso-프로필아미노)디메틸실란, 비스(tert-부틸아미노)디메틸실란, 디피롤리디노디메틸실란, 비스(디에틸아미노)디에틸실란, 비스(디에틸아미노)메틸비닐실란, 비스(디메틸아미노)메틸비닐실란, 비스(에틸메틸아미노)메틸비닐실란, 비스(디-이소프로필아미노)메틸비닐실란, 비스(iso-프로필아미노)메틸비닐실란, 비스(tert-부틸아미노)메틸비닐실란, 디피롤리디노메틸비닐실란, 2,6-디메틸피페리디노메틸실란, 2,6-디메틸피페리디노디메틸실란, 2,6-디메틸피페리디노트리메틸실란, 트리스(디메틸아미노)페닐실란, 트리스(디메틸아미노)메틸실란, 디-iso-프로필아미노실란, 디-sec-부틸아미노실란, 클로로디메틸실란, 클로로트리메틸실란, 디클로로메틸실란, 및 디클로로디메틸실란이 포함될 수 있지만, 이들에 국한되는 것은 아니다.
또다른 실시양태에서, 결과로 얻어지는 탄소 도핑된 산화규소 필름은 Si-Me 또는 Si-H 또는 둘다를 갖는 알콕시실란 또는 환형 알콕시실란에 노출되어, 수소 플라즈마 처리에 노출하기 전에, 소수성 얇은 층을 형성하게 된다. 적합한 알콕시실란 또는 환형 알콕시실란으로는 디에톡시메틸실란, 디메톡시메틸실란, 디에톡시디메틸실란, 디메톡시디메틸실란, 2,4,6,8-테트라메틸시클로테트라실록산, 또는 옥타메틸시클로테트라실록산이 포함될 수 있지만, 이에 국한되는 것은 아니다. 임의의 이론 또는 설명에 의해 국한되는 것은 아니지만, 오가노아미노실란 또는 알콕시살란 또는 환형 알콕시실란에 의해 형성된 얇은 층은 플라즈마 애싱 공정 동안 조밀한 탄소 도핑된 산화규소로 전환될 수 있고, 추가로 애싱 저항성을 향상시키는 것으로 생각된다.
또다른 실시양태에서는, 본 명세서에 기술된 하나 이상의 전구체 화합물을 포함하는 규소 함유 필름을 증착시키기 위한 용기가 기술되어 있다. 하나의 구체적인 실시양태에서, 상기 용기는 하나 이상의 가압성 용기(바람직하게는, 미국 특허 번호 US7334595; US6077356; US5069244; 및 US5465766에 개시되어 있는 바와 같은 디자인을 갖는 스테인레스강으로 된 것, 이들 미국 특허의 개시내용은 본 명세서에 참고 인용됨)를 포함한다. 상기 용기는, CVD 또는 ALD 공정을 위해 반응기로의 하나이상의 전구체의 전달을 허용하는 적당한 밸브 및 부품을 장착한, 유리(보로실리케이트 또는 석영 유리) 또는 유형 316, 316L, 304 또는 304L 스테인레스강 합금(UNS 등록명 S31600, S31603, S30400, S30403)을 포함할 수 있다. 이 실시양태 또는 다른 실시양태에서, 규소 전구체는 스테인레스강으로 구성된 가압성 용기 내에 제공되며, 전구체의 순도는 반도체 적용예에 적합한 98 중량% 이상 또는 99.5 중량% 이상이다. 규소 전구체 화합물은 금속 이온, 예컨대 Al3+, Fe2+, Fe3+, Ni2+, Cr3+ 이온을 실질적으로 함유하지 않는 것이 바람직하다, 본 명세에서 사용된 바와 같이, 용어 "실질적으로 함유하지 않는"이란 그것이 Al3+, Fe2+, Fe3+, Ni2+, Cr3+ 이온이 5 ppm(중량 기준) 미만, 바람직하게는 약 3 ppm 미만, 보다 바람직하게는 약 1 ppm 미만, 가장 바람직하게는 약 0.1 ppm 미만과 관련되어 있다는 것을 의미한다. 특정 실시양태에서, 그러한 용기는 또한 전구체를 필요한 경우 하나 이상의 추가 전구체와 혼합하기 위한 수단을 가질 수도 있다. 이 실시양태 또는 다른 실시양태에서, 용기(들)의 내용물은 추가 전구체와 예비 혼합될 수 있다. 대안으로, 규소 전구체 및/또는 다른 전구체는 별도의 용기 내에 유지될 수 있거나, 또는 규소 전구체를 유지하기 위한 별도의 수단을 갖는 단일 용기 내에 유지될 수 있으며, 다른 전구체는 보관 동안 분리된다.
규소 함유 필름은 기판, 예컨대 반도체 기판의 적어도 표면 상에 증착된다. 본 명세서에 기술된 방법에서, 기판은 규소, 예컨대 결정질 규소 또는 비결정질 규소, 산화규소, 질화규소, 비결정질 탄소, 옥시탄화규소, 옥시질화규소, 탄화규소, 게르마늄, 게르마늄 도핑된 규소, 붕소 도핑된 규소, 금속, 예컨대 구리, 텅스텐, 알루미늄, 코발트, 니켈, 탄탈, 금속 질화물, 예컨대 질화티탄, 질화탄탈, 금속 산화물, III/V족 금속 또는 준금속, 예컨대 GaAs, InP, GaP 및 GaN, 및 이들의 조합의 필름을 포함하는 기술 분야에서 공지된 다양한 재료로 구성될 수 있고/있거나, 그 재료로 코팅될 수 있다. 이러한 코팅은 반도체 기판을 완전 코팅할 수 있으며, 다양한 재료의 다층 내에 존재할 수 있고, 부분 에칭되어 재료의 하면 층을 노출시키게 될 수 있다. 그 표면은 또한 그 위에 포토레지스트 재료를 가질 수도 있으며, 그 포토레지스트는 일정 패턴으로 노출되고 현상되어 기판을 부분 코팅하게 된다. 특정 실시양태에서, 반도체 기판은 포어, 바이어스, 트렌치 및 이들의 조합으로 구성되는 군으로부터 선택되는 하나 이상의 표면 피처를 포함한다. 규소 함유 필름의 잠재적 적용예로는 FinFET 또는 나노시트를 위한 저 k 스페이서, 자가 정렬된 패터닝 공정(예컨대, SADP, SAQP, 또는 SAOP)을 위한 희생적 하드 마스크가 포포함되지만, 이에 국한되는 것은 아니다.
규소 함유 필름 또는 코팅을 형성시키는데 이용된 증착 방법은 증착 공정이다. 본 명세서에 개시된 방법을 위한 적합한 증착 공정의 예로는 화학 증착 공정 또는 원자 층 증착 공정이 포함되지만, 이에 국한되는 것은 아니다. 본 명세서에서 사용된 바와 같이, 용어 "화학 증착 공정"이란 기판이 하나 이상의 휘발성 전구체에 노출되는 임의의 공정을 의미하고, 그 휘발성 전구체는 기판 표면 상에서 반응 및/또는 분해되어 원하는 증착을 생성하게 된다. 본 명세서에서 사용된 바와 같이, 용어 "원자 층 증착 공정"이란 다양한 조성의 기판 상에서 재료의 필름을 증착시키는 자가 제한적(예를 들면, 각 반응 사이클에서 증착된 재료의 필름의 양이 일정한 것), 순차적 표면 화학을 의미한다. 본 명세서에서 사용된 바와 같이, 용어 "열적 원자 층 증착 공정"이란 실온 내지 600℃의 범위에 있는 기판 온도에서, 계내 또는 원거리 플라즈마를 사용하는 일 없이, 수행되는 원자 층 증착 공정을 의미한다. 본 명세서에서 사용된 전구체, 시약 및 공급원이 경우에 따라 "가스"로서 기술될지라도, 전구체는 비활성 가스의 사용 유무 하에 반응기 내로 직접 기화, 버블링 또는 승화를 통해 수송되는 액체 또는 고체일 수 있는 것으로 이해된다. 일부 사례에서, 기화된 전구체는 플라즈마 발생기를 통과할 수 있다.
하나의 실시양태에서, 규소 함유 필름은 ALD 공정을 이용하여 증착된다. 또다른 실시양태에서, 규소 함유 필름은 CCVD 공정을 이용하여 증착된다. 추가 실시양태에서, 규소 함유 필름은 열적 ALD 공정을 이용하여 증착된다. 본 명세서에서 사용된 바와 같이, 용어 "반응기"란 반응 챔버 또는 증착 챔버가 포함되지만, 이에 국한되지 않는다.
특정 실시양태에서, 본 명세서에서 개시된 방법은 반응기로의 도입 전에 및/또는 중에 전구체(들)을 분리하는 ALD 또는 CCVD 방법을 이용함으로써 전구체(들)의 예비 반응을 회피한다. 이와 관련하여, 증착 기법, 예컨대 ALD 또는 CCVD 공정은 규소 함유 필름을 증착시키는데 이용된다. 하나의 실시양태에서, 필름은, 기판 표면을 교대로 하나 이상의 규소 함유 전구체, 산소 공급원, 질소 함유 공급원, 또는 다른 전구체 또는 시약에 노출시킴으로써, 전형적인 단일 웨이퍼 ALD 반응기, 반-뱃치 ALD 반응기, 또는 뱃치 퍼니스 ALD 반응기에서 ALD 공정을 통해 증착된다. 필름 성장은 표면 반응, 각 전구체 또는 시약의 펼스 길이, 증착 온도의 자가 제한 제어에 의해 진행된다. 그러나, 일단 기판의 표면이 포화된 후에, 필름 성장은 중단한다. 또다른 실시양태에서, 규소 전구체 및 반응성 가스를 포합하는 각 반응물은 기판을 반응기의 상이한 섹션에 이동 또는 회전시킴으로써 기판에 노출되며, 각 섹션은 비활성 가스 커튼, 즉 공간적 ALD 반응기 또는 롤 ALD 반응기에 의해 분리된다.
특정 실시양태에서, 증착 방법에 따라, 본 명세서에 기술된 규소 전구체 및 임의로 다른 규소 함유 전구체는 반응기 내로 선결정된 몰 부피, 또는 약 0.1 내지 약 1000 마이크로몰로 도입될 수 있다. 이 실시양태 또는 다른 실시양태에서, 전구체는 반응기 내로 선결정된 시간 동안 도입될 수 있다. 특정 실시양태에서, 시간 범위는 약 0.001 내지 약 500 s이다.
특정 실시양태에서, 본 명세서에 기술된 방법을 이용하여 증착된 규소 함유 필름은 산소 공급원, 시약 또는 산소 함유 전구체, 즉 수증기와의 조합으로 촉매의 존재 하에 형성된다. 산소 공급원은 하나 이상의 산소 공급원의 형태로 반응기 내로 도입될 수 있고/있거나, 증착 공정에서 사용된 다른 전구체 내에 우연히 존재할 수 있다. 적합한 산소 공급원 가스로는, 예를 들면 물(H2O)(예를 탈이온수, 정제수, 증류수, 수증기, 수증기 플라즈마, 산소화 물, 공기, 물과 다른 유기 액체를 포함하는 조성물), 산소(O2), 산소 플라즈마, 오존(O3), 일산화질소(NO), 이산화질소(NO2), 일산화탄소(CO), 물을 포함하는 플라즈마, 물 및 아르곤을 포함하는 플라즈마, 과산화수소, 수소를 포함하는 조성물, 수소 및 산소를 포함하는 조성물, 이산화탄소(CO2), 공기 및 이들의 조합이 포함될 수 있지만, 이에 국한되는 것은 아니다. 특정 실시양태에서, 산소 공급원은 약 1 내지 약 10000 sccm(square cubic centimeter) 또는 약 1 내지 약 1000 sccm의 범위에 있는 유량으로 반응기 내로 도입되는 산소 공급원 가스를 포함한다. 산소 공급원은 약 0.1 내지 약 100 s의 범위에 있는 시간 동안 도입될 수 있다. 촉매는 루이스 염기, 예컨대 피리딘, 피페라진, 트리메틸아민, tert-부틸아민, 디에틸아민, 트리메틸아민, 에틸렌디아민, 암모니아, 또는 다른 유기 아민으로부터 선택된다.
필름이 ALD 또는 순환형 CVD 공정에 의해 증착되는 실시양태에서, 전구체 펄스는 0.01 s 초과인 펄스 지속시간을 가질 수 있으며, 산소 공급원은 0.01 s 미만인 펄스 지속시간을 가질 수 있고, 반면에 물 펄스 지속시간은 0.01 s 미만인 펄스 지속시간을 가질 수 있다.
특정 실시양태에서, 산소 공급원은 반응기 내로 연속적으로 흐르게 되고, 반면에 전구체 펄스 및 플라즈마는 순차적으로 도입된다. 전구체 펄스는 0.01 s 초과인 펄스 지속시간을 가질 수 있고, 반면에 플라즈마 지속시간은 0.01 s 내지 100 s의 범위일 수 있다.
특정 실시양태에서, 규소 함유 필름은 규소 및 질소를 포함한다. 이들 실시양태에서, 본 명세서에 기술된 방법을 이용하여 증착된 규소 함유 필름은 질소 함유 공급원의 존재 하에 형성된다. 질소 함유 공급원은 하나 이상의 질소 공급원의 형태로 반응기 내로 도입될 수 있고/있거나, 증착 공정에 사용된 다른 전구체 내에 우연히 존재할 수 있다.
적합한 질소 함유 공급원 또는 질소 공급원 가스로는, 예를 들면 암모니아, 히드라진, 모노알킬히드라진, 대칭성 또는 비대칭성 디알킬히드라진, 오가노아민, 예컨대 메틸아민, 에틸아민, 에틸렌디아민, 에탄올아민, 피페라진, N,N'-디메틸에틸렌디아민, 이미다졸리딘, 시클로트리메틸렌트리아민 및 이들의 조합이 포함될 수 있지만, 이에 국한되는 것은 아니다.
특정 실시양태에서, 질소 공급원은 약 1 내지 약 10000 sccm 또는 약 1 내지 약 1000 sccm의 범위에 있는 유량으로 반응기 내로 도입된다. 질소 함유 공급원은 0.1 내지 약 100 s의 범위에 있는 시간 동안 도입될 수 있다. 필름이 질소 및 산소 공급원 둘다를 사용하여 ALD 또는 순환형 CVD 공정에 의해 증착되는 실시양태에서, 전구체 펄스는 0.01 s 초과인 펄스 지속시간을 가질 수 있으며, 질소 공급원은 0.01 s 미만인 펄스 지속시간을 가질 수 있고, 반면에 물 펄스 지속시간은 0.01 s 미만인 펄스 지속시간을 가질 수 있다. 또다른 실시양태에서, 펄스 간의 펄스 지속시간은 0 s만큼 낮을 수 있거나 중간 퍼지를 사용하는 일 없이 연속적으로 펄스화된다.
본 명세서에 개시된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 미소모된 반응물 및/또는 반응 부산물을 퍼지하는데 사용되는 퍼지 가스는 전구체와 반응하지 않은 비활성 가스이다. 퍼지 가스의 예로는 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2), 및 이들의 조합이 포함되지만, 이에 국한되는 것은 아니다. 특정 실시양태에서, 퍼지 가스, 예컨대 Ar가 약 10 내지 약 10000 sccm의 범위에 있는 유량으로 약 0.1 내지 1000 s 동안 반응기 내로 공급됨으로써, 미반응된 물질 및 반응기 내에 잔류할 수 있는 미반응된 물질 및 임의의 부산물을 퍼지하게 된다.
전구체, 산소 공급원, 질소 함유 공급원 및/또는 다른 전구체, 공급원 가스 및/또는 시약을 공급하는 각 단계는 이들을 공급하는 시간을 변경함으로써 수행될 수 있어, 결과로 얻어지는 필름의 화학양론적 조성을 변경하게 된다.
에너지가 전구체, 질소 함유 공급원, 환원제, 다른 전구체 또는 이들의 조합에 인가되어, 반응을 유도하고 기판 상에 필름 또는 코팅을 형성하게 된다. 그러한 에너지는 열적, 플라즈마, 펄스화 플라즈마, 헬리콘 플라즈마, 고 밀도 플라즈마, 유도성 커플링 플라즈마, X선, 전자빔, 광자, 원격 플라즈마 방법, 및 이들의 조합에 의해 제공될 수 있지만, 이에 국한되는 것이 아니다.
특정 실시양태에서, RF 주파수 공급원이 기판 표면에서 플라즈마 특징을 변형시키는데 사용될 수 있다. 증착이 플라즈마를 포함하는 실시양태에서, 플라즈마 발생된 공정은 플라즈마가 반응기 내에서 직접 발생되는 직접 플라즈마 발생 공정, 또는 대안적으로 플라즈마가 반응기의 외부에서 발생되어 반응기 내로 공급되는 원격 플라즈마 발생 공정을 포함할 수 있다.
명세서 전반에 걸쳐, "ALD" 또는 "ALD 유사"라는 용어는 다음의 공정: (a) 규소 전구체 및 반응성 가스를 포함하는 각 반응물이 반응기, 예컨대 단일 웨이퍼 ALD 반응기, 세미-뱃치 ALD 반응기, 또는 뱃치 퍼니스 ALD 반응기 내로 순차적으로 도입되는 공정; (b) 규소 전구체 및 반응성 가스를 포함하는 각 반응물이 기판을 반응기의 상이한 섹션으로 이동 또는 회전시킴으로써 기판에 노출되고, 각 섹션이 비활성 가스 커튼, 즉 공간적 ALD 반응기 또는 롤 투 롤 ALD 반응기에 의해 분리되는 공정(이들에 국한되는 것은 아님)을 포함하는 공정을 의미한다.
규소 전구체 및/또는 다른 규소 함유 전구체는 반응 챔버, 예컨대 CVD 또는 ALD 반응기에 다양한 방식으로 전달될 수 있다. 하나의 실시양태에서는, 액체 전달 시스템이 이용될 수 있다. 대안적인 실시양태에서는, 낮은 휘발성 물질이 부피적으로 전달되는 것이 가능하도록 하고, 이로써 이것이 전구체의 열적 분해 없이 재현가능한 수송 및 증착을 유도하도록 하기 위해서, 액체 전달과 플래시 기화 공정 유닛, 예를 들면 터보 기화기(미네소타주 쇼어뷰에 소재하는 MSP 코포레이션에 의해 제조된 것과 같은 플래시 기화 공정 유닛과의 조합이 이용될 수 있다. 액체 전달 제제에서, 본 명세서에 기술된 전구체는 순수 액체 형태로 전달될 수 있거나, 대안으로 그 전구체를 포함하는 용매 제제 또는 조성물 내에 사용될 수 있다. 이로써, 특정 실시양태에서, 전구체 제제는 기판 상에 필름을 형성시키는 주어진 최종 사용 적용예에서 바람직하고 유리할 수 있기 때문에 적합한 특징의 용매 성분(들)을 포함할 수 있다.
이 실시양태 또는 다른 실시양태에서, 본 명세서에 기술된 방법의 단계들은 다양한 순서로 수행될 수 있으며 순차적으로 또는 동시적으로(예를 들면, 또다른 단계의 적어도 일부 동안) 수행될 수 있으며, 이들의 임의의 조합으로 수행될 수 있는 것으로 생각된다. 전구체 및 질소 함유 공급원 가스를 공급하는 각 단계는 이들을 공급하는 시간의 지속시간을 다양하게 함으로써 수행될 수 있어, 결과로 얻어지는 규소 함유 필름의 화학양론적 조성을 변경하게 된다.
본 명세서에 기술된 또다른 추가 실시양태에서, 필름 또는 증착된 그대로의 필름은 처리 단계에 의해 수행될 수 있다. 처리 단계는 증착 단계의 적어도 일부 중에, 증착 단계 후에 그리고 이들의 조합에 수행될 수 있다. 필름의 하나 이상의 특성에 영향을 미치도록 하기 위해서, 예시적인 처리 단계로는 고온 열적 어닐링을 통한 처리; 플라즈마 처리; 자외선(UV) 처리; 레이저; 전자 빔 처리; 및 이들의 조합이 포함되지만, 이에 국한되는 것은 아니다. 본 명세서에 기술된 1개 또는 2개의 Si-C-Si 연결부를 갖는 규소 전구체에 의해 증착된 필름은, 동일 조건 하에 종래 개시된 규소 전구체에 의해 증착된 필름과 비교할 때, 개선된 특성, 예컨대 처리 단계 전 필름의 습식 에칭율보다 더 낮은 습식 에칭율 또는 처리 단계 전 밀도보다 더 높은 밀도(이들에 국한되는 것은 아님)을 갖는다. 하나의 구체적인 실시양태에서, 증착 공정 동안, 증착된 그대로의 필름은 간헐적으로 처리된다. 이러한 간헐적 처리 또는 증착 중 처리는, 예를 들면 각 ALD 사이클 후에, 특정 회수의 ALD 사이클 후에, 예를 들어 1회(1번) ALD 사이클, 2회(2번) ALD 사이클, 5회(5번) 사이클(이들에 국한되는 것은 아님) 후에, 매 10회(10번) 이상의 사이클 후에 수행될 수 있다.
필름이 고온 어닐링 단계에 의해 처리되는 실시양태에서, 어닐링 온도는 증착 온도보다 적어도 100℃ 이상 더 높다. 이 실시양태 또는 다른 실시양태에서, 어닐링 온도는 약 400oC 내지 약 1000oC의 범위에 있다. 이 실시양태 또는 다른 실시양태에서, 어닐링 처리는 진공(< 760 Torr), 비활성 환경 또는 산소 함유 환경(예를 들면, H2O, N2O, NO2 또는 O2) 중에서 수행될 수 있다.
필름이 UV 처리에 의해 처리되는 실시양태에서, 필름은 넓은 밴드 UV에, 또는 대안으로 약 150 나노미터(nm) 내지 약 400 nm의 범위에 있는 파장을 갖는 UV 공급원에 노출된다. 하나의 구체적인 실시양태에서, 증착된 그대로의 필름은 원하는 필름 두께가 도달된 후에 증착 챔버와는 상이한 챔버에서 UV에 노출된다.
필름이 플라즈마에 의해 처리되는 실시양태에서, 후속 플라즈마 처리에서 염소 및 질소 오염물이 필름을 침투하는 것을 방지하도록, SiO2 또는 탄소 도핑된 SiO2와 같은 부동태화 층이 증착된다. 그 부동태화 층은 원자 층 증착 또는 순환식 화학 증착을 이용하여 증착될 수 있다.
필름이 플라즈마에 의해 처리되는 실시양태에서, 플라즈마 공급원은 수소 플라즈마, 수소 및 헬륨을 포함하는 플라즈마, 수소 및 아르곤을 포함하는 플라즈마로 이루어진 군으로부터 선택된다. 수소 플라즈마는 유전 상수를 저하시키고, 벌크 내의 탄소 함량을 거의 변경되지 않는 상태로 계속 유지하면서, 이어지는 플라즈마 애싱 공정에 대한 손상 저항성을 향상시킨다.
명세서 전반에 걸쳐, "ALD" 또는 "ALD 유사"라는 용어는 다음의 공정: (a) 규소 전구체 및 반응성 가스를 포함하는 각 반응물이 반응기, 예컨대 단일 웨이퍼 ALD 반응기, 세미-뱃치 ALD 반응기, 또는 뱃치 퍼니스 ALD 반응기 내로 순차적으로 도입되는 공정; (b) 규소 전구체 및 반응성 가스를 포함하는 각 반응물이 기판을 반응기의 상이한 섹션으로 이동 또는 회전시킴으로써 기판에 노출되고, 각 섹션이 비활성 가스 커튼, 즉 공간적 ALD 반응기 또는 롤 투 롤 ALD 반응기에 의해 분리되는 공정(이들에 국한되는 것은 아님)을 포함하는 공정을 의미한다.
명세서 전반에 걸쳐, "애싱"이라는 용어는 산소 공급원을 포함하는 플라즈마, 예컨대 O2/비활성 가스 플라즈마, O2 플라즈마, CO2 플라즈마, CO 플라즈마, H2/O2 플라즈마 또는 이들의 조합을 사용하여 반도체 제조 공정에서의 포토레지스트 또는 탄소 하드 마스크를 제거하는 공정을 의미한다.
명세서 전반에 걸쳐, "손상 저항성"이라는 용어는 산소 애싱 공정 후 필름 특성을 의미한다. 우수하거나 높은 손상 저항성은 다음의 산소 애싱 후 필름 특성: 4.5 미만의 필름 유전 상수; 애싱 전에 5 원자% 내에 있는 벌크내(필름 내로 50Å 초과의 깊이) 탄소 함량; 필름 순수 표면(50Å 깊이 미만)과 벌크(50Å 초과의 깊이) 사이에서의 희석된 HF 에칭율의 차이로 관찰되는 50Å 미만의 손상된 필름으로서 정의된다.
명세서 전반에 걸쳐, "알킬 탄화수소"라는 용어는 선형 또는 분지형 C1-C20 탄화수소, 환형 C6-C20 탄화수소를 의미한다. 예시적인 탄화수소로는 헵탄, 옥탄, 노난, 데칸, 도데칸, 시클로옥탄, 시클로부탄, 시클로데칸이 포함되지만, 이에 국한되는 것은 아니다.
명세서 전반에 걸쳐, "방향족 탄화수소"라는 용어는 C6 -C20 방향족 탄화수소를 의미한다. 예시적인 방향족 탄화수소의 예로는 톨루엔, 메시틸렌이 포함되지만, 이에 국한되는 것은 아니다.
명세서 전반에 걸쳐, "촉매"라는 용어는 열적 ALD 공정 동안 히드록실 기와 Si-Cl 결합 사이의 표면 반응을 촉진할 수 있는 증기 상에서의 루이스 염기를 의미한다. 예시적인 촉매로는 환형 아민계 가스, 에컨대 아미노피리딘, 피콜린, 루티딘, 피페라진, 피페리딘, 피리딘 또는 유기 아민계 가스, 메틸아민, 디메틸아민, 트리메틸아민, 에틸아민, 디에틸아민, 트리에틸아민, 프로필아민, iso-프로필아민, 디-프로필아민, 디-iso-프로필아민, tert-부틸아민 중 하나 이상이 포함되지만, 이에 국한되는 것은 아니다.
명세서 전반에 걸쳐, "유기 아민"이라는 용어는 C1-C20 탄화수소를 갖는 1차 아민, 2차 아민, 3차 아민, 환형 C6-C20 탄화수소를 의미한다. 예시적인 유기 아민으로는 메틸아민, 디메틸아민, 트리메틸아민, 에틸아민, 디에틸아민, 트리에틸아민, 프로필아민, iso-프로필아민, 디-프로필아민, 디-iso-프로필아민, tert-부틸아민이되지만, 이에 국한되는 것은 아니다.
명세서 전반에 걸쳐, "실록산"이라는 용어는 1개 이상의 Si-O-Si 연결부 및 C4-C20 탄소 원자를 갖는 선형, 분지형 또는 환형 화합물을 의미한다. 예시적인 실록산으로는 테트라메틸디실록산, 헥사메틸디실록산(HMDSO), 1,1,1,3,3,5,5,5-옥타메틸트리실록산, 옥타메틸시클로테트라실록산(OMCTS)이 포함되지만, 이에 국한되는 것은 아니다.
명세서 전반에 걸쳐, 본 명세서에 기술된 바와 같이 "단차 피복성(step coverage)"이라는 용어는 바이어스 또는 트렌치 또는 둘 다를 갖는 구조화 또는 피처화 기판 내에 증착된 필름의 2가지 두께의 백분율로서 정의되며, 여기서 저부 단차 피복성은 비율(%): 피처의 저부에서의 두께를 피처의 정상부에서의 두께로 나눈 것이고, 중간 단차 피복성은 비율(%): 피처의 측벽 상의 두께를 피처의 정상부에서의 두께로 나눈 것이다. 본 명세서에 기술된 방법을 이용하여 증착된 필름은 약 80% 초과 또는 약 90% 초과의 단차 피복성을 나타내며, 이는 필름이 정합성을 갖는다는 것을 제시하여 보여준다.
후술하는 실시예는 본 발명의 특정 양태를 예시한 것이고, 부가된 청구범위의 영역을 한정하기 위한 것이 아니다.
실시예
일반적인 필름 증착
필름 증착은 규소 전구체 및 질소 공급원으로서 암모니아를 사용하여 실험실 규모의 원자 층 증착(ALD) 반응기에서 수행하였다. ALD 사이클 단계들 및 공정 조건은 하기 표 3에 제공하였다.
Figure pat00004
증착 동안, 단계 3 내지 단계 10을 최대 2000회의 사이클 회수로 반복하여 원하는 두께의 증착된 그대로의 탄소 도핑된 질화규소 필름을 생성하였다. 결과로 얻어지는 증착된 그대로의 필름을, 계내 어닐링(증착된 그대로의 필름 상에서의 반응기 내부에서 수행된 어닐링) 또는 계외 어닐링(외부에서 또는 별도의 챔버에서 수행된 어닐링)으로 처리하여 그 필름을 탄소 도핑된 산화규소 필름으로 전환시켰다. 수행된 전형적인 어닐링 조건은 다음과 같이 하였다: 수분 어닐링은 30 Torr에서 진공 하에 수행하였고, 공기 어닐링은 주위 온도 (예를 들면, 25℃) 또는 약 300℃에서 핫 플레이트 상에서 수행하였다.
표준의 수소 함유 플라즈마를 사용하여 탄소 도핑된 산화규소 필름을 처리하였다. H2 플라즈마 처리 파라미터는 다음과 같았다:
a. H2 플라즈 단독:
플라즈마 주파수 = 13.56 MHz
H2 유량 = 135 sccm
챔버 압력 = 2 Torr
시간 = 5 min
b. H2/Ar 플라즈마
플라즈마 주파수 = 13.56 MHz
H2 유량 = 65 sccm
Ar 유량 = 65 sccm
챔버 압력 = 2 Torr
시간 = 5 min
굴절률 및 두께는 632.8 nm에서 타원계를 사용하여 증착후 직접 측정하였다. 벌크 필름 조성은 부정성 탄소(adventitious carbon)의 효과를 제거하기 위해서 표면으로부터 아래 수 나노미터(2-5 nm)에서 X선 광전자 분광법(XPS)를 이용하여 특성화하였다. 필름 밀도는 X선 반사광도계(XRR)를 사용하여 특성화하였다.
습식 에칭율 공정은 희석된 플루오르화수소산(dHF)의 2개의 상이한 농도( 1:199 49% HF 및 DI 수, 그리고 1:99 49% HF 및 DI 수) 하에 수행하였다. 보다 많은 희석된 HF 농도는 손상된 층에 대한 측정 정밀도를 증가시킨다. 그 공정 동안, 열적 산화규소 필름은 에칭하고 동시에 에칭 용액 컨시스턴스(consistency)를 보장하는데 사용하였다.
산소 애싱 공정은 상업적인 플라즈마 애서(asher) PVA TePLA M4L을 사용하여 실온에서 수행하였다. 그 공정 파라미터는 다음과 같았다: 전력 = 100 - 200W; He/O2 = 1:3; 압력 = 600 mTorr. 필름 유전 상수(k)는 HP4284 LCR 미터에 연결된 MDC 802b 머큐리 프로브를 사용하여 측정된 C-V 곡선으로부터 계산하였다. 측정은 프런트-컨택트 모드로 수행하였으며, 여기서 액체 금속(수은)을 사용하여 2개의 전기 전도성 컨택트를 형성시켰다.
실시예 1: 열적 ALD 증착을 통한 탄소 도핑된 산화규소 필름의 낮은 유전 상수 및 높은 산소 애싱 저항성
탄소 도핑된 산화규소 필름은 하기 표 3에 기술되어 있는 바와 같이 1,1,3,3-테트라클로로디실라시클로부탄(TCDSB) 및 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판(HCDSP) 및 암모니아를 사용하고 열적 ALD 공정을 이용하여 300℃에서 증착시켰다.
증착 후, 추가로 필름은 공기 중에서 3 h 동안 300℃에서 계외 처리하였다.
Figure pat00005
표 4는 HCDSP 및 TCDSB로부터 증착된 필름 간의 필름 조성 비교를 나타낸 것이다. TCDSB 필름은 HCDSP에 비하여 비교적 높은 탄소 함량을 가지며, 이는 TCDSM이 HCDSP보다 더 많은 탄소를 도입하는데 우수한 규소 전구체임을 입증해 보여준다.
열적 산화규소의 기준 에칭율에 있어서 dHF 에칭율은 0.48 ± 0.02 Å/s이었다. HCDSP 및 TCDSB 필름에 대한 에칭율은 연속적으로 0.10
Figure pat00006
/s 및 < 0.02
Figure pat00007
/s이었다.
TDCSB 필름 에칭율은 측정의 검사 한계 미만이었다. 보다 낮은 TDCSB 필름의 희석된 HF 에칭율(> 5 x 낮은 것)은 필름내 보다 높은 탄소 함량과 부합하였다.
HCDSP 또는 TCDSB로부터 증착되는 탄소 도핑된 산화규소 필름에 대한 필름의 유전 상수는 5 초과이었다.
HCDSP 또는 TCDSB 필름으로부터 증착되는, 결과로 얻어지는 탄소 도핑된 산화규소 필름은 상기 언급된 바와 같은 조건을 사용하고 H2/Ar 플라즈마를 사용하며 300 mm 시판용 PEALD 도구를 사용하여 수소 플라즈마에 의해 추가 처리하였다. HCDSP 및 TCDSB 필름 둘다는 플라즈마 처리 후 각각 3.5 및 3.4로 감소된 유전 상수를 가졌으며, 이는 수소를 포함하는 플라즈마가 유전 상수를 감소시키는데 효과적인 방식임을 입증해 보여준다.
이어서, 필름을 표준 산소 애싱과 이어서 희석된 HF 침지에 노출하여 손상을 측정하였다. 도 1을 다시 참조하면, 도 1은 희석된 HF 중에서의 침지시 시간의 함수로서 제거된 필름의 두께를 도시한 것이다.
HCDSP 및 TCDSB 필름 둘 다는 느려지기 전에 초반에서 급속한 에칭을 나타내었는데, 이는 산소 애싱으로부터의 표면 손상이 있다는 점을 보여준다. 산소 애싱은 필름으로부터의 탄소를 산화시켰는데, 이는 이로 인하여 급속한 에칭율을 야기하였다. 에칭율 프로파일은 TCDSB 필름의 경우 27Å의 손상된 층 및 HCDSP 필름의 경우 39Å의 손상된 층을 제시하였는데, 이는 TCDSB 필름이 동일 에칭 조건 하에서HCDSP 필름보다 더 큰 산소 애싱 저항성을 갖는다는 점을 입증해 보여준다.
실시예 2: 1,1,3,3-테트라클로로-1,3-디실라시클로부탄으로부터의 탄소 도핑된 산화규소 필름의 단차 피복성
패턴 구조 상에서의 탄소 도핑된 산화규소 필름은 표 3에 기술된 바와 같이 300℃에서 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아로부터 증착하고, 이어서 공기 환경에서 3 h 동안 300℃에서 계외 처리를 수행하였다.
1:9의 종힝비 및 180 nm의 트렌치 개구를 지닌 트렌치 구조 상에서 주사 전자 현미경(SEM)을 수행하였다.
Figure pat00008
1,1,3,3-테트라클로로-1,3-디실라시클로부탄으로부터 증착되는 탄소 도핑된 산화규소 필름에 대하여, 표 5에 제시된 단차 피복성은 > 99%이었다.
실시예 3. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄을 사용하여 열적 ALD 증착을 통한 규소 함유 필름의 증착
규소 함유 필름은 표 3에 기술된 공정 단계들을 사용하여 500℃의 기판 온도에서 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아로부터 증착시키고, 주위에서 보관하였다.
필름 특성, 예컨대 XPS 및 희석된 HF 중에서의 습식 에칭율은 필름 증착 후 대략 1주일에서 얻었다. 이들 시험의 결과들을 표 6에 제공하였다.
Figure pat00009
표 6을 참조하면, XPS 데이타는 탄소 도핑된 산화규소가 약간의 염소 함량(예를 들면, 0.5 원자% 미만)을 갖는 것으로 나타났다. 500℃에서 증착된 필름은 300℃와 비교시 더 많은 질소 함량을 가졌고, 동시에 필름 내에 유사한 양의 탄소를 유지하였다. 300℃의 보다 낮은 증착 온도에서, 그 공정은 산화되기 쉬운 보다 많은 Si-NH2 또는 Si-NH-Si 단편을 제공할 수 있는 것으로 생각된다. 다른 한편으로는, 보다 높은 500℃의 온도에서의 증착은 산화에 대하여 보다 큰 저항성을 갖는 보다 강한 Si-Nx 네트워크를 형성하기에 충분한 에너지를 제공할 수 있었다.
실시예 4. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄으로부터 증착되는 탄소 도핑된 산화규소 필름의 계내 어닐링
탄소 도핑된 산화규소 필름은 표 3에서 기술된 바와 같이 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아를 사용하여 300℃에서 증착시켰다. 그 필름 상에서 다음의 파라미터를 사용하여 계내 H2O 증기 처리를 수행하였다:
H2O 증기 배기;
H2O 캐니스터 온도 = 50℃;
챔버 압력 = 30 Torr;
T = 300℃.
사이클당 필름 성장은 0.48Å/사이클이었다. 결과로 얻어지는 필름은 1.55의 굴절율 및 1.55 g/cc의 밀도를 보유하였다. XPS에 의해 측정된 필름 조성은 다음과 같았다: O = 39.0%, N = 2.6%, C = 25.1% 및 Si = 33.2%. 필름 내에서는 염소가 검출되지 않았다.
실시예 5. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아를 사용하여 열적 ALD 증착과 이어서 열적 어닐링 및 플라즈마 처리를 통해 증착되는 탄소 도핑된 산화규소 필름의 산소 애싱 저항성
탄소 도핑된 산화규소 필름은 표 3에 기술된 바와 같이 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아를 사용하여 300℃에서 증착시키고, 이어서 공기 중에서 300℃의 열적 처리를 수행하였다. 탄소 도핑된 산화규소 필름은 앞에 기술된 H2/Ar 플라즈마 처리 전에 1 h 동안 200-400℃, 5 Torr에서 질소 중에서 추가 가열하였다.
이어서, 필름을 산소 애싱에 노출시키고, 이어서 희석된 HF 에칭에 노출시켜 손상 두께를 측정하였다.
표 7에는 필름 유전 상수을 제시하였고, 동시에 표 8에는 O2 애싱 후 손상 두께를 제시하였다.
Figure pat00010
Figure pat00011
H2/Ar 플라즈마 처리 전에 추가 어닐링은 H2/Ar 플라즈마 단독으로만 처리된 샘플의 유전 상수(k = 3.6)에 비하여 더 낮은 유전 상수(k = 2.8-3.2)를 나타내었다. 그 필름은 산소 애싱 후 손상된 두께 27-32Å를 보유하였다.
실시예 6. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아를 사용하여 300℃에서의 증착과, 이어서 고온 어닐링 처리를 수행함으로써 얻어지는 탄소 도핑된 산화규소 필름
탄소 도핑된 산화규소 필름은 규소 전구체로서 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아를 사용하고 300 nm 상업용 교차 흐름 반응기를 사용하여 ALD 모드로 300℃에서 증착시켰다. 표 9에 제시된 ALD 단계 2 내지 8을 반복하여 원하는 두께를 생성하였다.
Figure pat00012
증착된 그대로의 샘플을 주위에서 방치하여 탄소 도핑된 산화규소 필름으로 전환시켰다. 그 필름의 사이클당 성장(GPC: growth per cylce)은 0.45Å/사이클이었다.
탄소 도핑된 산화규소 필름을 질소 분위기 하에 300℃에서 1 h 동안 추가 처리하고, 이어서 앞서 기술된 바와 같이 수소 함유 플라즈마 처리(H2 플라즈마 단독 또는 H2/Ar 플라즈마)를 수행하였다.
플라즈마 처리 후, 그 필름을 O2 애싱에, 이어서 희석된 HF에 노출시켜 손상된 두께를 측정하였다. O2 애싱 후에 유전 상수 및 손상된 두께를 표 10에 제시하였다.
Figure pat00013
공정은 탄소 도핑된 산화규소 필름이 산소 애칭 공정 전과 후에 높은 산소 저항성 및 낮은 k를 보유한다는 것을 입증해 보여주었다. 높은 산소 저항성은 산소 애싱 후 낮은 손상 두께 뿐만 아니라 낮은 k(k < 4.0)에 의해 나타났다.
실시예 7. 플라즈마 처리 후 규소 함유 필름의 단차 피복성
탄소 도핑된 산화규소 필름은 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아를 사용하고 300 mm 상업용 교차 흐름 반응기를 사용하여 300℃에서의 증착을 ALD 모드로 수행하고, 이어서 실시예 6에서 기술된 바와 같이 H2/Ar 플라즈마를 수행하였다. 사용된 기판은 1:9의 종횡비 및 180 nm의 개구를 지닌 패턴화된 웨이퍼이었다.
투과 전자 현미경(TEM)을 사용하여 표면 피복성을 측정하였다. 표 11에는 구조 내 다양한 위치에서 필름 두께를 제시하였다.
Figure pat00014
필름 단차 피복성은 > 97%이었다.
실시예 8. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아로부터 증착된 탄소 함유 필름의 화학 처리
표 9에 기술된 바와 같이 300℃에서 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아로부터 증착되는 탄소 도핑된 산화규소 필름을 비활성 환경에서 1 h 동안 300℃에서 어닐링을 수행하고, 이어서 디에틸아미노트리메틸실란을 사용하는 화학 처리에 대한 노출을 수행하였다. 그 화학 처리 파라미터는 다음과 같았다:
T = 300℃;
시간 = 5 min 및 25 min;
챔버 압력 = 1 Torr
대조군으로서, 그 필름은 임의의 화학 노출 없이 300℃에서 어닐링만을 수행하였다.
처리 후, 필름의 유전 상수를 측정하고, 표 12에 제시하였다.
Figure pat00015
화학 처리는 필름 유전 상수에서의 개선, 즉 5.5에서 3.0 미만으로의 개선을 나타내었다.
실시예 9. 옥탄 중의 1,1,3,3-테트라클로로디실라시클로부탄 및 암모니아를 사용하는 탄소 함유 규소 필름의 증착
옥탄 중의 1,1,3,3-테트라클로로-1,3-디실라시클로부탄의 20 중량% 용액을 필름 증착에 사용하였다. 그 화합물은 직접 액체 주입(캐니스터 온도 = 60℃, 기화기를 통한 Ar 유량 = 100 sccm, 액체 유량 = ~200 mg/min)을 이용하여 전달하였다. 기화기 온도는 70oC이었다.
증착 공정은 하기 단계들로 구성되었다:
Figure pat00016
단계 5로 이동하기 전에 단계 3 및 단계 4를 5회 반복하고, 단계 3 내지 단계 8을 복수 반복하여 원하는 두께를 생성하였다. XPS에 의해 측정된 필름 조성은 다음과 같았다: Si = 35.7%; O = 36.5%; C = 23.0%; N = 4.5%; Cl = 0.3%.
증착된 필름은 비활성 환경에서 1 h 동안 300℃에서의 추가 어닐링을 수행하고, 이어서 H2/Ar 플라즈마 처리를 수행하였다.
처리된 필름을 표준의 O2 애싱에 노출시키고, 희석된 HF 중에 침지하여 손상된 두께를 측정하였다. O2 애싱 후 손상된 두께는 H2 플라즈마 단독 및 H2/Ar 플라즈마에 의해 처리된 필름에 대하여 연속적으로 38Å 및 37Å이었다.
실시예 10. 계내 처리로부터의 고 탄소 함량의 산화규소 필름
옥탄 중의 1,1,3,3-테트라클로로-1,3-디실라시클로부탄의 20 중량%의 용액을 필름 증착에 사용하였다. 그 화합물은 직접 액체 주입(캐니스터 온도 = 60℃, 기화기를 통한 Ar 유량 = 100 sccm, 액체 유량 = ~200 mg/min)을 사용하여 전달하였다. 기화기 온도는 70℃이었다.
증착 공정은 하기 단계들로 구성되었다:
Figure pat00017
단계 5로 이동하기 전에 단계 3 및 단계 4를 반복하고, 단계 3 내지 단계 8을 복수 반복하여 원하는 두께를 생성하였다. 단계 8, 9 및 10은 비교를 목적으로 임의적인 것이었다.
Figure pat00018
결과로 얻어지는 필름은 표 15에서의 필름 특성들을 보유하였다. 필름 에칭율은 어닐링 없이 증착된 그대로의 필름에 대하여 매우 낮은 에칭율, 즉 열적 산화물에 0.12배인 에칭율이었다. 에칭율은 추가 처리(N2 건조 또는 N2 건조 및 플라즈마) 후 검출 한계 미만의 수준으로 강하되었다.
증착된 그대로의 필름에 대한 필름 밀도는 추가의 N2 건조 또는 N2 건조 및 플라즈마 처리에 의해 약간의 치밀화를 지닌 1.34 g/cc이었다. 모든 사례에서, 그 필름은 높은 탄소 함량 25-29% 및 낮은 Cl 함량(< 2%)을 보유하였다.
실시예 11. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 물/피리딘으로부터 증착되는 저 유전 상수의 탄소 도핑된 산화규소 필름
1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 H2O을 필름 증착에 사용하였다. 피리딘을 촉매로서 사용하였다. 그 화합물(캐니스터 온도 = 70℃)은 전구체 캐니스터를 통과하는 Ar 스위핑에 의해 전달하였다. 물 온도는 17℃(증기 압력 = 15 Torr)이었고, 수증기는 증기 배기에 의해 전달하고, 피리딘 온도는 25~35℃(증기 압력 = 15~25 Torr)이었고, 피리딘 증기는 증기 배기에 의해 전달하였다. 주요 N2 유량은 200 sccm이었고, Ar 유량은 50 sccm이었다.
증착 공정은 표 16에 기술된 단계들로 구성되었다:
Figure pat00019
단계 3 내지 단계 6을 500회 반복하여 원하는 두께를 생성하였다.
증착된 그대로의 필름은 1.53의 굴절율 및 0.8Å/사이클의 GPC를 보유하였다. XPS에 의해 측정된 필름 조성은 다음과 같았다: Si = 35.3%, O = 34.0%, C = 28.9%, N = 0.6% 및 Cl = 1.3%. 필름 밀도는 1.8 g/cc이었고, 희석된 에칭율은 0.08
Figure pat00020
/s이었다.
이어서, 필름은 앞서 기술된 바와 같이 표준의 계외 H2/Ar 플라즈마 처리를 300℃에서 수행하였다. 플라즈마 저리 전 및 후에 측정된 필름의 유전 상수는 3.6이었고, 이것은 증착 그대로의 필름(k = 6.1)로부터 개선되었다.
실시예 12. 계내 처리로부터의 고 탄소 함량의 산화규소 필름
옥탄 중의 1,1,3,3-테트라클로로-1,3-디실라시클로부탄의 20% 용액을 필름 증착에 사용하였다. 그 화합물은 직접 액체 주입(캐니스터 온도 = 60℃, 기화기를 통한 Ar 유량 = 100 sccm, 액체 유량 = ~200 mg/min)을 이용하여 전달하였다. 기화기 온도는 70℃이었다.
증착 공정은 하기 표 17에 기술된 단계들로 구성되었다.
Figure pat00021
단계 5로 이동하기 전에, 단계 3 내지 단계 4를 반복하고, 단계 3 내지 단계 8을 복수회 반복하여 원하는 두께를 생성하였다. 단계 9는 계내 어닐링과 주위에서 전환 간의 비교를 얻기 위해서 일부 웨이퍼에 대하여 임의적인 것이었다.
표 18은 주위에서 전환되는 탄소 도핑된 산화규소와 계내 H2O를 수행한 탄소 도핑된 산화규소 둘 다에 대하여 XPS에 의해 측정된 바와 같이 유사한 필름 조성을 나타내었다.
Figure pat00022
실시예 13. 탄소 도핑된 산화규소 필름의 고온 어닐링
탄소 도핑된 산화규소 필름은 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아를 사용하고, 300 nm 상업용 교차 흐름 반응기를 사용하여 300℃에서 ALD 모드로 증착시켰다. 표 18에 제시된 ALD 단계들을 반복하여 원하는 두께를 생성하였다.
증착된 그대로의 탄소 도핑된 산화규소 필름을 비활성 환경에서 1 h 동안 500℃ 내지 800℃에서 어닐링하였다.
표 19에는 필름 유전 상수를 나타내었다.
Figure pat00023
고온 어닐링은 필름 유전 상수를 감소시키는데 효과적이었다.
비교예 1. 디에톡시메틸실란을 사용하여 PECVD에 의해 증착되는 탄소 도핑된 산화규소 필름에 미치는 수소 플라즈마 처리 및 산소 애싱의 효과
탄소 도핑된 산화규소 필름은 300℃에서 200 nm 상업용 PECVD 도구를 사용하고 디에톡시메틸실란(DEMS)을 사용하여 증착시켰다. 공장 파라미터는 다음과 같았다: 전력 = 500W; 압력 = 9 Torr; Si 전구체 유량 = 500 sccm; He 유량 = 300 sccm; 필름 두께 = 500Å)
증착된 그대로의 필름은 표 20에 제시된 조성을 보유하였다.
Figure pat00024
필름 밀도는 1.48 g/cc이었다. (H2 플라즈마 전에) 증착된 그대로의 필름에 대하여 희석된 HF(1:99 49% HF 및 DI 물) 중에서의 WER를 하기 표 21에 제시하였다. 필름은 매우 높은 희석된 HF 에칭 저항성을 나타냈으며, 이는 그 필름이 낮은 에칭율을 갖는다는 것을 보여준다.
Figure pat00025
이어서, 필름을 H2 플라즈마로 5 min 동안 300 W 및 300℃에서 처리하였다. H2 플라즈마 처리 후에, 샘플을 산소 애싱에 노출시켰다. 수소 플라즈마 처리 및 산소 애싱 공정은 앞서 기술된 바와 같이 동일하였다.
표 22는 PECVD DEMS 샘플의 유전 상수 측정을 도시한 것이다.
Figure pat00026
H2 플라즈마 후 유전 상수는 3.2에서 3.7로 증가하였는데, 이는 손상된 두께가 더 크다는 것을 나타낸다. 산소 애싱은 필름 유전 상수를 5.5로 더 증가시켰다. H2 플라즈마와 이어서 산소 애싱 후 그 희석된 HF(1:99 49% HF 및 DI 물) 특성화를 표 17에 작성하였다.
Figure pat00027
탄소 도핑된 산화규소 필름은 100Å 초과의 손상된 층 두께를 명백히 나타내었다. 산소 애싱 후 필름에 대한 필름 애칭율은 증착된 그대로의 필름보다 훨씬 더 높았다(> 10배). 산소 애싱 공정에 대한 노출 후 높은 유전 상수는 산소 애싱으로부터의 두꺼운 손상된 층과 부합하였다.
비교예 2. 증착후 처리 없이 규소 함유 필름 제어
탄소 도핑된 산화규소 필름은 표 3에 기술되어 있는 바와 같이 1,1,3,3-테트라클로로-1,3-디실라시클로부탄 및 암모니아를 사용하고 열적 ALD 공정을 이용하여 300℃에서 증착시켰다. 이어서, 증착 후 필름을 공기 중에서 3 h 동안 실온 및 300℃에서 어닐링하였다. 그 탄소 도핑된 산화규소 필름 상에서 표준 산소 애싱을 수행하였다. 희석된 HF를 사용하여 손상된 두께를 측정하고, 표 24에 나타내었다.
Figure pat00028
표면으로부터의 제1 ~260Å의 에칭율은 증착된 그대로의 필름의 것(0.01Å/s)과 비교하여 매우 높은 에칭율을 나타내었는데, 이는 탄소가 제거된다는 점을 보여준다. 탄소 제거는 산소 애싱으로부터의 손상된 필름과 부합하였다.
실시예 10. 1,1,3,3-테트라클로로-1,3-디실라시클로부탄의 제제
Figure pat00029
본 발명이 특정 실시양태를 참조하여 기술되어 있긴 하지만, 해당 기술 분야의 당업자라면, 다양한 변경예가 이루어질 수 있으며, 균등물이 본 발명의 영역으로부터 벗어나는 일 없이 그의 요소에 대하여 대체될 수 있다는 것을 이해할 수 있을 것이다. 더구나, 수 많은 변형예가 본 발명의 기본 영역으로부터 벗어나는 일 없이 본 발명의 교시내용에 구체적인 상황 또는 물질을 채택하도록 이루어질 수 있다. 본 발명은 본 발명을 수행하기 위해 고려된 최고 모드로서 개시된 구체적인 실시양태에 국한되는 것이 아니라 부가된 청구범위의 영역 내에 속하는 모든 실시양태를 포함하는 것으로 의도된다.

Claims (20)

  1. (a) 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판, 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 1개의 Si-C-Si 또는 2개의 Si-C-Si 연결부를 갖는 하나 이상의 선형 또는 환형 규소 전구체 화합물; 및
    (b) 하나 이상의 용매
    를 포함하는 조성물.
  2. 제1항에 있어서, 용매가 에테르, tert-아민, 실록산, 알킬 탄화수소, 방향족 탄화수소, 및 tert-아미노에테르로 이루어진 군으로부터 선택된 하나 이상의 구성원을 포함하는 것인 조성물.
  3. 제1항에 있어서, 규소 전구체의 비점과 용매의 비점 간의 차이가 약 40℃ 이상인 조성물.
  4. 제1항에 있어서, Al3+, Fe2+, Fe3+, Ni2+, 및 Cr3+ 이온으로 이루어진 군으로부터 선택되는 하나 이상의 금속 이온을 5 ppm 미만으로 포함하는 조성물.
  5. 제1항에 있어서, 용매가 헵탄, 옥탄, 노난, 데칸, 도데칸, 시클로옥탄, 시클로노난, 시클로데칸, 톨루엔 및 메시틸렌으로 이루어진 군으로부터 선택된 하나 이상의 구성원을 포함하는 것인 조성물.
  6. 열적 ALD 공정을 통해 15 원자% 내지 30 원자%의 범위에 있는 탄소 함량을 갖는 탄소 도핑된 산화규소 필름을 형성시키는 방법으로서,
    (a) 표면 피처를 포함하는 하나 이상의 기판을 반응기 내에 배치하는 단계;
    (b) 반응기를 주위 온도 내지 약 550℃의 범위에 있는 하나 이상의 온도로 가열하고, 임의로 반응기를 100 torr 이하의 압력으로 유지하는 단계;
    (c) 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 2개의 Si-C-Si 연결부를 갖는 하나 이상의 규소 전구체를 반응기 내로 도입하는 단계;
    (d) 비활성 가스로 퍼지하는 단계;
    (e) 반응기 내로 질소 공급원을 제공하여 표면과 반응시킴으로써 탄소 도핑된 질화규소 필름을 형성시키는 단계;
    (f) 비활성 가스로 퍼지하여 반응 부산물을 제거하는 단계;
    (g) 단계 (c) 내지 단계 (f)를 반복하여 원하는 두께의 탄소 도핑된 질화규소를 제공하는 단계;
    (h) 결과로 얻어지는 탄소 도핑된 질화규소 필름을 약 주위 온도 내지 1000℃ 또는 약 100℃ 내지 약 400℃의 범위에 있는 하나 이상의 온도에서 산소 공급원으로 처리하여 탄소 도핑된 질화규소 필름을 탄소 도핑된 산화규소 필름으로 전환시키는 단계; 및
    (i) 수소를 포함하는 플라즈마에 대한 탄소 도핑된 산화규소 필름의 증착후 노출을 제공하는 단계
    를 포함하는 방법.
  7. 제6항에 있어서, 규소 전구체가 제1항의 조성을 포함하는 것인 방법.
  8. 약 4 미만의 k 및 약 10 원자% 이상의 탄소 함량을 갖는, 제6항의 방법에 따라 형성된 필름
  9. 열적 산화규소보다 적어도 0.5배 더 적은 에칭율을 갖는, 제6항의 방법에 따라 형성된 필름.
  10. 열적 산화규소보다 적어도 0.1배 더 적은 에칭율을 갖는, 제6항의 방법에 따라 형성된 필름.
  11. 열적 산화규소보다 적어도 0.05배 더 적은 에칭율을 갖는, 제6항의 방법에 따라 형성된 필름.
  12. 열적 산화규소보다 적어도 0.01배 더 적은 에칭율을 갖는, 제6항의 방법에 따라 형성된 필름.
  13. 산소 애싱 공정에 대한 노출시 보다 적은 손상 층(50Å 이하)을 갖는, 제6항의 방법에 따라 형성된 필름.
  14. 산소 애싱 공정에 대한 노출시 보다 적은 손상 층(20Å 이하)을 갖는, 제6항의 방법에 따라 형성된 필름.
  15. 산소 애싱 공정에 대한 노출시 보다 적은 손상 층(10Å 이하)을 갖는, 제6항의 방법에 따라 형성된 필름.
  16. 산소 애싱 공정에 대한 노출시 보다 적은 손상 층(5Å 이하)을 갖는, 제6항의 방법에 따라 형성된 필름.
  17. 제1항의 조성물을 수용하는 스테인레스강 용기.
  18. 열적 ALD 공정을 통해 15 원자% 내지 30 원자%의 범위에 있는 탄소 함량을 갖는 탄소 도핑된 산화규소 필름을 형성시키는 방법으로서,
    (a) 표면 피처를 포함하는 하나 이상의 기판을 반응기 내에 배치하는 단계;
    (b) 반응기를 주위 온도 내지 약 150℃의 범위에 있는 하나 이상의 온도로 가열하고, 임의로 반응기를 100 torr 이하의 압력으로 유지하는 단계;
    (c) 1,1,1,3,3,3-헥사클로로-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2,2-디메틸-1,3-디실라프로판, 1,1,1,3,3,3-헥사클로로-2-에틸-1,3-디실라프로판, 1-클로로-1,3-디실라시클로부탄, 1-브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-1,3-디실라시클로부탄, 1,3-디브로모-1,3-디실라시클로부탄, 1,1,3-트리클로로-1,3-디실라시클로부탄, 1,1,3-트리브로모-1,3-디실라시클로부탄, 1,1,3,3-테트라클로로-1,3-디실라시클로부탄, 1,1,3,3-테트라브로모-1,3-디실라시클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라시클로부탄, 1,3-브로모-1,3-디메틸-1,3-디실라시클로부탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,3,5-트리실라펜탄, 1,1,1,3,3,5,5,5-옥타클로로-1,5-디메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-3,3-디메틸-1,3,5-트리실라펜탄, 1,1,3,5,5,5-펜타클로로-1,3,5-트리메틸-1,3,5-트리실라펜탄, 1,1,1,5,5,5-헥사클로로-1,3,5-트리실라펜탄, 1,1,5,5-테트라클로로-1,3,5-트리실라펜탄으로 이루어진 군으로부터 선택되는 1개 또는 2개의 Si-C-Si 연결부를 갖는 하나 이상의 규소 전구체 및 촉매를 반응기 내로 도입하는 단계;
    (d) 비활성 가스로 퍼지하는 단계;
    (e) 반응기 내로 수증기를 제공하여 전구체뿐만 아니라 촉매와 반응시켜 증착된 그대로의 탄소 도핑된 산화규소 필름을 형성시키는 단계;
    (f) 비활성 가스로 퍼지하여 반응 부산물을 제거하는 단계;
    (g) 단계 (c) 내지 단계 (f)를 반복하여 원하는 두께의 탄소 도핑된 산화규소를 제공하는 단계
    를 포함하는 방법.
  19. 제18항에 있어서, 300℃ 내지 700℃의 온도에서 열적 어닐링에 의한 탄소 도핑된 산화규소 필름의 증착후 처리를 수행하는 단계를 더 포함하는 방법.
  20. 제18항에 있어서, 수소 함유 플라즈마에 의한 탄소 도핑된 산화규소 필름의 수소 플라즈마 처리를 수행하는 단계를 더 포함하는 방법.
KR1020217026923A 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법 KR102345918B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020217042716A KR102510722B1 (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법
KR1020217042708A KR102456373B1 (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662367260P 2016-07-27 2016-07-27
US62/367,260 2016-07-27
US15/654,426 2017-07-19
US15/654,426 US20180033614A1 (en) 2016-07-27 2017-07-19 Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
PCT/US2017/043890 WO2018022719A1 (en) 2016-07-27 2017-07-26 Compositions and methods using same for carbon doped silicon containing films
KR1020197006067A KR20190025060A (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197006067A Division KR20190025060A (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020217042708A Division KR102456373B1 (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법
KR1020217042716A Division KR102510722B1 (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법

Publications (2)

Publication Number Publication Date
KR20210107178A true KR20210107178A (ko) 2021-08-31
KR102345918B1 KR102345918B1 (ko) 2022-01-03

Family

ID=61011718

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020227035778A KR20220143781A (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법
KR1020217042716A KR102510722B1 (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법
KR1020237041108A KR20230170109A (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법
KR1020217026923A KR102345918B1 (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법
KR1020217042708A KR102456373B1 (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법
KR1020197006067A KR20190025060A (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020227035778A KR20220143781A (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법
KR1020217042716A KR102510722B1 (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법
KR1020237041108A KR20230170109A (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020217042708A KR102456373B1 (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법
KR1020197006067A KR20190025060A (ko) 2016-07-27 2017-07-26 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법

Country Status (9)

Country Link
US (4) US20180033614A1 (ko)
EP (2) EP3491167B1 (ko)
JP (2) JP6849788B2 (ko)
KR (6) KR20220143781A (ko)
CN (1) CN109689928A (ko)
IL (2) IL291934B2 (ko)
SG (1) SG11201900319PA (ko)
TW (3) TWI683025B (ko)
WO (1) WO2018022719A1 (ko)

Families Citing this family (262)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10316407B2 (en) * 2014-10-24 2019-06-11 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) * 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10615169B2 (en) 2017-08-04 2020-04-07 Lam Research Corporation Selective deposition of SiN on horizontal surfaces
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
JP6806721B2 (ja) * 2018-02-20 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システムおよびプログラム
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US20220037144A1 (en) * 2018-09-24 2022-02-03 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
WO2020072625A1 (en) 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI721588B (zh) * 2018-10-05 2021-03-11 美商慧盛材料美國責任有限公司 含矽膜的高溫原子層沉積
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20220056249A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102157137B1 (ko) * 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6910387B2 (ja) * 2019-03-05 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7224217B2 (ja) 2019-03-15 2023-02-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7326912B2 (ja) * 2019-06-20 2023-08-16 株式会社リコー 液体吐出ヘッド、液体吐出ユニット及び液体を吐出する装置
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20230028418A (ko) * 2020-06-23 2023-02-28 엔테그리스, 아이엔씨. 규소-함유 필름을 형성시키기 위한 규소 전구체 화합물 및 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JP2022065560A (ja) * 2020-10-15 2022-04-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7444182B2 (ja) 2022-01-28 2024-03-06 トヨタ自動車株式会社 車両用スロープ展開装置
WO2023220650A1 (en) * 2022-05-13 2023-11-16 Versum Materials Us, Llc Compositions and methods using same for carbon doped silicon containing films

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101030019B1 (ko) * 2009-12-31 2011-04-20 제일모직주식회사 봉지재용 투광성 수지 및 이를 포함하는 전자 소자
US20130052836A1 (en) * 2010-04-09 2013-02-28 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
US20130071580A1 (en) * 2011-09-13 2013-03-21 Applied Materials, Inc. Activated Silicon Precursors For Low Temperature Deposition

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02145590A (ja) 1988-11-26 1990-06-05 Shin Etsu Chem Co Ltd 新規ジシラシクロヘキサン化合物及びその製造方法
US5141817A (en) * 1989-06-13 1992-08-25 International Business Machines Corporation Dielectric structures having embedded gap filling RIE etch stop polymeric materials of high thermal stability
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
WO1998027247A1 (en) 1996-12-17 1998-06-25 Advanced Technology Materials, Inc. Reagent supply vessel for chemical vapor deposition
KR100365641B1 (ko) * 2000-07-29 2002-12-26 삼성전자 주식회사 배선에 의한 기생 용량을 줄일 수 있는 반도체 장치 및 그형성방법
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
KR100854809B1 (ko) * 2003-11-11 2008-08-27 도쿄엘렉트론가부시키가이샤 기판 처리 방법과, 프로그램을 기록한 기억 매체
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2007204626A (ja) * 2006-02-02 2007-08-16 Jsr Corp ポリマーの製造方法、ポリマー、絶縁膜形成用組成物、絶縁膜の製造方法、およびシリカ系絶縁膜
WO2007119554A1 (ja) * 2006-03-29 2007-10-25 Jsr Corporation ポリマーの製造方法、ポリマー、ポリマー膜形成用組成物、ポリマー膜の形成方法およびポリマー膜
WO2007114144A1 (ja) * 2006-03-29 2007-10-11 Daiso Co., Ltd. 修飾シリカゲル及びその利用
EP2095446A1 (en) * 2006-12-20 2009-09-02 Dow Corning Corporation Composite article including a cation-sensitive layer
WO2009123032A1 (ja) * 2008-04-02 2009-10-08 Jsr株式会社 含ケイ素重合体を含む組成物およびその硬化物
US8241624B2 (en) 2008-04-18 2012-08-14 Ecolab Usa Inc. Method of disinfecting packages with composition containing peracid and catalase
KR100928942B1 (ko) * 2008-05-26 2009-11-30 제이에스아이실리콘주식회사 직선형이나 고리형의 트리실라알칸의 제조방법
CN101407466B (zh) 2008-12-08 2013-11-27 山西玉龙化工有限公司 乙二胺的纯化方法
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
WO2010113340A1 (en) * 2009-03-30 2010-10-07 Nec Corporation Single instruction multiple data (simd) processor having a plurality of processing elements interconnected by a ring bus
KR20120060843A (ko) 2009-08-14 2012-06-12 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 박막 증착용 실란 블렌드
JP5188529B2 (ja) 2010-03-30 2013-04-24 株式会社日立ハイテクノロジーズ 電子ビーム照射方法、及び走査電子顕微鏡
TWI483995B (zh) * 2010-08-18 2015-05-11 Cheil Ind Inc 聚有機矽氧烷與由該聚有機矽氧烷獲得之封裝材料以及包含該封裝材料之電子元件
US8440571B2 (en) * 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
WO2012128044A1 (ja) * 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
KR20140083619A (ko) * 2012-12-26 2014-07-04 제일모직주식회사 실록산 모노머, 봉지재 조성물, 봉지재 및 전자 소자
US9643844B2 (en) * 2013-03-01 2017-05-09 Applied Materials, Inc. Low temperature atomic layer deposition of films comprising SiCN or SiCON
JP6155063B2 (ja) * 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6112928B2 (ja) 2013-03-19 2017-04-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5864637B2 (ja) 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9071726B2 (en) * 2013-06-28 2015-06-30 Cellco Partnership Videocast service architecture
US8979549B2 (en) 2013-08-08 2015-03-17 Kuei-Yang Lin Rotating plug
JP5788448B2 (ja) * 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
JP6068661B2 (ja) * 2013-09-30 2017-01-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、基板処理システム及びプログラム
CN103539675B (zh) 2013-10-21 2015-05-13 西安近代化学研究所 一种乙二胺和水共沸物的分离方法
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
WO2016027369A1 (ja) * 2014-08-22 2016-02-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US10316407B2 (en) * 2014-10-24 2019-06-11 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing films
KR20190141034A (ko) * 2015-02-06 2019-12-20 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
WO2019055393A1 (en) * 2017-09-14 2019-03-21 Versum Material Us, Llc COMPOSITIONS AND METHODS FOR DEPOSITION OF FILMS CONTAINING SILICON
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101030019B1 (ko) * 2009-12-31 2011-04-20 제일모직주식회사 봉지재용 투광성 수지 및 이를 포함하는 전자 소자
US20130052836A1 (en) * 2010-04-09 2013-02-28 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate and substrate processing apparatus
US20130071580A1 (en) * 2011-09-13 2013-03-21 Applied Materials, Inc. Activated Silicon Precursors For Low Temperature Deposition

Also Published As

Publication number Publication date
TWI637075B (zh) 2018-10-01
US20220037151A1 (en) 2022-02-03
IL264379B (en) 2022-06-01
EP3491167A4 (en) 2020-01-01
KR20230170109A (ko) 2023-12-18
WO2018022719A1 (en) 2018-02-01
JP2019527483A (ja) 2019-09-26
TWI683025B (zh) 2020-01-21
US11742200B2 (en) 2023-08-29
TW201804012A (zh) 2018-02-01
KR102456373B1 (ko) 2022-10-18
US20180033614A1 (en) 2018-02-01
JP6849788B2 (ja) 2021-03-31
IL291934A (en) 2022-06-01
US11152206B2 (en) 2021-10-19
EP4253597A2 (en) 2023-10-04
KR20220000992A (ko) 2022-01-04
TW201900916A (zh) 2019-01-01
KR20220002730A (ko) 2022-01-06
EP3491167A1 (en) 2019-06-05
KR102510722B1 (ko) 2023-03-15
CN109689928A (zh) 2019-04-26
US20230377874A1 (en) 2023-11-23
IL264379A (en) 2019-02-28
JP2021097240A (ja) 2021-06-24
KR20190025060A (ko) 2019-03-08
EP3491167B1 (en) 2023-09-06
IL291934B1 (en) 2023-09-01
EP4253597A3 (en) 2023-12-06
KR102345918B1 (ko) 2022-01-03
IL291934B2 (en) 2024-01-01
TW202014546A (zh) 2020-04-16
TWI720741B (zh) 2021-03-01
US20200203155A1 (en) 2020-06-25
KR20220143781A (ko) 2022-10-25
SG11201900319PA (en) 2019-02-27
JP7153100B2 (ja) 2022-10-13

Similar Documents

Publication Publication Date Title
KR102456373B1 (ko) 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이 조성물을 사용하는 방법
TWI762809B (zh) 具有高碳含量的含矽膜的製造方法
TWI814264B (zh) 用於含有矽及硼的膜之組合物及使用其之方法
TW202348590A (zh) 用於碳摻雜的含矽膜的組合物及使用其的方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant