CN109689928A - 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法 - Google Patents

用于碳掺杂含硅膜的组合物以及使用所述组合物的方法 Download PDF

Info

Publication number
CN109689928A
CN109689928A CN201780055135.XA CN201780055135A CN109689928A CN 109689928 A CN109689928 A CN 109689928A CN 201780055135 A CN201780055135 A CN 201780055135A CN 109689928 A CN109689928 A CN 109689928A
Authority
CN
China
Prior art keywords
bis
chloro
film
silacyclobutane
sila
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780055135.XA
Other languages
English (en)
Inventor
H·钱德拉
雷新建
A·马力卡琼南
金武性
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN109689928A publication Critical patent/CN109689928A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electromagnetism (AREA)
  • Silicon Compounds (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Prostheses (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)
  • Silicon Polymers (AREA)

Abstract

本文公开了一种组合物和在电子器件的制造中使用该组合物的方法。公开了用于沉积低介电常数(<4.0)和高氧灰化抗性的含硅膜(例如但不限于碳掺杂氧化硅)的化合物、组合物和方法。

Description

用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
相关申请的交叉引用
本申请要求于2017年7月19日提交的美国申请No 15/654,426和2016年7月27日提交的美国临时申请No.62/367,260的优先权。该美国申请No 15/654,426和美国临时申请No.62/367,260的公开内容在此通过引用并入本文。
本公开的主题与2016年2月4日提交的专利合作条约申请No.PCT/US2016/016514相关。申请No.PCT/US2016/016514的公开内容通过引用并入本文。
背景技术
本文描述的是用于制造电子器件的组合物和方法。更具体地,本文描述的是用于沉积低介电常数(<4.0)和高氧灰化抗性的含硅膜的化合物及包含所述化合物的组合物和方法,所述含硅膜例如是但不限于碳掺杂氧化硅膜、碳掺杂氮化硅膜、碳掺杂氮氧化硅膜。
本领域需要提供用于沉积用于电子行业中的某些应用的高碳含量(例如,通过X射线光电子能谱(XPS)测量的约10原子%或更大的碳含量)掺杂的含硅膜的组合物和使用所述组合物的方法。
美国专利No.8,575,033描述了在衬底表面上沉积碳化硅膜的方法。所述方法包括使用气相碳硅烷前体,并且可以采用等离子体增强原子层沉积工艺。
美国公开No.2013/022496教导了通过原子层沉积(ALD)在半导体衬底上形成具有Si-C键的介电膜的方法,包括:(i)在衬底的表面上吸附前体;(ii)使吸附的前体与反应物气体在表面上反应;和(iii)重复步骤(i)和(ii)以在衬底上形成具有至少Si-C键的介电膜。
PCT申请No.WO14134476A1描述了用于沉积包含SiCN和SIOCN的膜的方法。某些方法包括将衬底表面暴露于第一和第二前体,第一前体具有式(XyH3-ySi)zCH4-z、(XyH3-ySi)(CH2)(SiXpH2-p)(CH2)(SiXyH3-y)或(XyH3-ySi)(CH2)n(SiXyH3-y),其中X是卤素,y具有1和3之间的值,z具有1和3之间的值,p具有0和2之间的值,并且n具有2和5之间的值,并且第二前体包含还原性胺。某些方法还包括将衬底表面暴露于氧源以提供包含碳掺杂氧化硅的膜。
Hirose,Y.,Mizuno,K.,Mizuno,N.,Okubo,S.,Okubo,S.,Yanagida,K.和Yanagita,K.(2014)“method of manufacturing semiconductor device,substrateprocessing apparatus,and recording medium”美国申请No.2014287596A描述了一种制造半导体装置的方法,其包括通过执行预定次数的循环在衬底上形成包含硅、氧和碳的膜,该循环包括:向衬底提供含有硅、碳和卤素元素和具有Si-C键的前体气体,以及第一催化气体;和向衬底提供氧化气体和第二催化气体。
Hirose,Y.,Mizuno,N.,Yanagita,K.和Okubo,S.(2014)“Method ofmanufacturing semiconductor device,substrate processing apparatus,andrecording medium.”美国专利No.9,343,290B描述了一种制造半导体装置的方法,其包括通过执行预定次数的循环在衬底上形成氧化物膜。该循环包括向衬底提供前体气体;并向衬底提供臭氧气体。在提供前体气体的过程中,该前体气体在不向衬底提供催化气体的状态下被提供到衬底,且在提供臭氧气体的过程中,该臭氧气体在将基于胺的催化气体提供到衬底的状态下提供于衬底。
美国专利No.9,349,586B公开了一种具有所需蚀刻抗性和低介电常数的薄膜。
美国公开No.2015/0044881A描述了一种形成含有以高浓度添加的碳的膜的方法,其以高可控性形成。一种制造半导体装置的方法包括通过执行预定次数的循环在衬底上形成包含硅、碳和预定元素的膜。该预定元素是氮和氧之一。该循环包括向衬底提供含有每1mol至少两个硅原子,含有碳和卤素元素,以及具有Si-C键合的前体气体,和向衬底提供包含预定元素的改性气体。
题为“Highly Stable Ultrathin Carbosiloxane Films by MolecularLayerDeposition”的参考文献(Han,Z.等,Journal of Physical ChemistryC,2013,117,19967)教导了使用1,2-双[(二甲基氨基)二甲基甲硅烷基]乙烷和臭氧生长碳硅氧烷膜。热稳定性显示膜在高达40℃下稳定,在60℃下具有很少的厚度损失。
Liu等,Jpn.J.Appl.Phys.,1999,Vol.38,3482–3486教导了在用旋涂技术沉积的聚倍半硅氧烷上使用H2等离子体。H2等离子体提供稳定的介电常数并改善膜热稳定性和O2灰化(等离子体)处理。
Kim等,Journal of the Korean Physical Society,2002,Vol.40,94教导了在PECVD碳掺杂氧化硅膜上的H2等离子体处理改善了漏电流密度(4-5个数量级),同时介电常数从2.2增加到2.5。H2等离子体后的碳掺杂氧化硅膜在氧灰化过程中损伤较小。
Posseme等,Solid State Phenomena,2005,Vol.103-104,337教导了在碳掺杂氧化硅PECVD膜上的不同H2/惰性等离子体处理。在H2等离子体处理之后k没有改善,表明没有本体改性(bulk modification)。
先前确定的专利、专利申请和出版物的公开内容在此引入作为参考。
发明内容
本文所述的组合物和方法通过提供用于沉积具有一种或多种以下性质的保形含硅膜的组合物或制剂而克服现有技术的问题:i)如在稀氢氟酸中测量的比热氧化硅(例如,在1:99稀HF中)低至少0.5倍的蚀刻速率,以及如通过X射线光谱法(XPS)测量的约为10原子重量百分比(原子%)或更高的碳含量;ii)在氧灰化工艺中或暴露于氧等离子体过程中介电常数和稀HF(dHF)中的湿蚀刻速率对损害的较低敏感性,氧灰化抗性可通过O2灰化后通过 的损伤厚度以及O2灰化后低于4.0的膜介电常数来量化;iii)介电常数小于4.0;和(iv)所得膜中的氯杂质小于2.0原子%,优选小于1.0原子%,最优选小于0.5原子%。在下面的实施例中更详细地说明了本发明可以实现的所需性能。
在一个特定实施方式中,本文所述的组合物可用于使用热原子层沉积(ALD)来沉积碳掺杂氧化硅膜的方法中。
在一个方面,用于沉积含硅膜的组合物包含:(a)至少一种列于表1和2中具有一个Si-C-Si或两个Si-C-Si键的线性或环状硅前体化合物。
表1.具有一个Si-C-Si键的硅前体
表2.具有两个Si-C-Si键的硅前体
和在本发明的至少一个方面中,包含(b)至少一种溶剂。在本文所述组合物的某些实施方式中,示例性溶剂可包括但不限于醚、叔胺、烷基烃、芳烃、硅氧烷、叔氨基醚及其组合。在某些实施方式中,硅化合物的沸点与溶剂的沸点之间的差异为40℃或更小,小于约30℃,以及在一些情况下小于约20℃,优选小于10℃。
在另一方面,提供了用于在衬底的至少表面上沉积选自碳掺杂氧化硅膜和碳掺杂氮氧化硅膜的膜的方法,其包括:
将所述衬底置于反应器中;
将所述反应器加热至约25℃至约550℃范围内的一个或多个温度;
将包含至少一种选自表1和2中列出的硅前体的化合物及其组合的前体引入反应器中;
向反应器中引入氮源以与至少一部分前体反应而形成碳掺杂氮化硅膜;和
在足以将碳掺杂氮化硅膜转变成所述膜的条件下,在从约25℃至1000℃或从约100℃至400℃的范围内的一个或多个温度下用氧源处理碳掺杂氮化硅膜。在某些实施方式中,碳掺杂氧化硅膜或碳掺杂的氧氮化硅膜具有通过XPS测量的约10原子重量百分比(原子%)或更大的碳含量,以及在稀氢氟酸中测量的比热氧化硅低至少0.5倍的蚀刻速率。
如果需要,本发明还包括在25℃至600℃下用氢或氢/惰性等离子体处理碳掺杂的含硅膜。
本发明的一个方面涉及一种组合物,其包含:
(a)至少一种具有一个Si-C-Si或两个Si-C-Si键的直链或环状硅前体化合物,其选自1,1,1,3,3,3-六氯-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二硅杂丙烷、1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷;和;
(b)至少一种溶剂。
本发明的另一方面涉及一种用于通过热ALD工艺形成具有15原子%至30原子%范围的碳含量的碳掺杂氧化硅膜的方法,该方法包括:
a)将包含表面特征的一个或多个衬底放入反应器中;
b)加热反应器至环境温度至约550℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
c)将至少一种具有两个Si-C-Si键的硅前体引入反应器中,其选自1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷;
d)用惰性气体吹扫;
e)向反应器中提供氮源以与表面反应而形成碳掺杂氮化硅膜;
f)用惰性气体吹扫以除去反应副产物;
g)重复步骤c至f,以提供所需厚度的碳掺杂氮化硅;
h)在从大致环境温度至1000℃或从约100℃至400℃范围内的一个或多个温度下用氧源处理所得的碳掺杂氮化硅膜,以将碳掺杂氮化硅膜转化为碳掺杂氧化硅膜;和
i)提供将碳掺杂氧化硅膜暴露于包含氢的等离子体的沉积后处理。
在本发明的一个方面,衬底包括硅或锗掺杂的硅或硼掺杂的硅或高k材料,并且在沉积本发明的碳掺杂氧化硅膜之后,沉积包括氮化硅或氧化硅的膜。
本发明的另一方面涉及具有小于约4的k,基于XPS测量至少约10原子%,优选15原子%或更大,最优选20原子%或更高,的碳含量的膜,并且在另一方面,本发明的膜可以根据任何本发明的方法形成。由于碳含量是用于降低湿蚀刻速率以及提高灰化抗性的重要因素,因此本发明的碳含量范围为如通过XPS所测量的10原子%到40原子%,优选15原子%到30原子%,和最优选20原子%到35原子%。
本发明的另一方面涉及容纳本发明组合物的不锈钢容器。
本发明的实施方式可以单独使用或彼此以各种组合使用。
附图说明
图1描述了等离子体处理接着氧灰化后,1,1,3,3,3-六氯-1,3-二硅杂丙烷(HCDSP)和1,1,3,3-四氯-1,3-二硅杂环丁烷(TCDSB)碳掺杂氧化硅膜的蚀刻特征的对比,证明来自TCDSB的碳掺杂氧化硅膜比来自HCDSP的碳掺杂氧化硅膜提供更高的灰化抗性。
具体实施方式
本文描述了用于经由沉积工艺(例如但不限于热原子层沉积工艺)沉积碳掺杂(例如,如通过XPS测量的约10原子%或更大的碳含量)含硅膜的硅前体化合物,及包含所述硅前体化合物的组合物和方法。使用本文所述的组合物和方法沉积的膜表现出极低蚀刻速率,例如比在稀氢氟酸中测量的热氧化硅低至少0.5倍的蚀刻速率(例如,在稀HF(0.5重量%)中约或更低或者约或更低),或者比热氧化硅低至少0.1倍的蚀刻速率,或者比热氧化硅低至少0.05倍的蚀刻速率,或者比热氧化硅低至少0.01倍的蚀刻速率,同时表现出其它可调节性质(例如但不限于密度、介电常数、折射率和元素组成)的可变性。
在某些实施方式中,本文所述的硅前体和使用所述硅前体的方法以下列方式赋予下列特征中的一个或多个。首先,如此沉积的反应性碳掺杂氮化硅膜使用包含Si-C-Si键的硅前体和氮源形成。不希望受任何理论或解释的束缚,据信来自该硅前体的Si-C-Si键保留在所得的如此沉积的膜中,并提供如通过XPS测量的至少10原子%或更高的高碳含量(例如,约20至约30原子%,约10至约20原子%,和在一些情况下约10至约15原子%的碳)。第二,在将如此沉积的膜在沉积工艺过程中间歇地、作为沉积后处理或作为其组合方式暴露于氧源(例如水)时,膜中的氮含量的至少一部分或全部被转化为氧以提供选自碳掺杂氧化硅膜或碳掺杂氮氧化硅膜的膜。如此沉积的膜中的氮作为一种或多种含氮副产物(如氨或胺基)释放。
在该实施方式或其它实施方式中,最终的膜是多孔的,并且具有约1.7克/立方厘米(g/cc)或更小的密度和在0.5重量%稀氟化氢中或更低的蚀刻速率。
在一个方面,用于沉积含硅膜的组合物包含:(a)至少一种具有一个Si-C-Si或两个Si-C-Si键的硅前体化合物,其选自1,1,1,3,3,3-六氯-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二硅杂丙烷、1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷;和;(b)至少一种溶剂。在本文所述组合物的某些实施方式中,示例性溶剂可包括但不限于醚、叔胺、烷基烃、芳烃、叔氨基醚、硅氧烷及其组合。在某些实施方式中,具有一个Si-C-Si或两个Si-C-Si键的化合物的沸点与溶剂的沸点之间的差异为40℃或更小。溶剂中硅前体化合物的重量%可以从1至99重量%,或10至90重量%,或20至80重量%,或30至70重量%,或40至60重量%,或50至50重量%变化。在一些实施方式中,可以使用常规的直接液体注射设备和方法,将组合物通过直接液体注射递送到用于含硅膜的反应室中。
在本文所述方法的一个实施方式中,使用热ALD工艺沉积具有碳含量范围为5原子%至20原子%的碳掺杂氧化硅膜和使用包含氢的等离子体以改善膜特性。在该实施方式中,该方法包括:
a.将包含表面特征的一个或多个衬底放入反应器中;
b.加热反应器至环境温度至约550℃范围内的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
c.向反应器中引入至少一种具有一个Si-C-Si键的硅前体,其选自1,1,1,3,3,3-六氯-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二硅杂丙烷;
d.用惰性气体吹扫,从而除去未反应的硅前体和形成含有吹扫气体和硅前体的组合物;
e.向反应器中提供氮源以与表面反应而形成碳氮化硅膜;
f.用惰性气体吹扫以除去反应副产物;
g.重复步骤c至f以提供所需厚度的碳掺杂氮化硅;
h.提供在从大致环境温度至1000℃或从约100℃至400℃的范围内的一个或多个温度下用氧源对碳掺杂氮化硅膜的沉积后处理,以原位地或在另一个室中将碳掺杂氮化硅膜转化为碳掺杂氧化硅膜;和
i.提供将碳掺杂氧化硅膜暴露于包含氢的等离子体的沉积后处理以改善膜特性以改善至少一种膜性质;
j.任选地,使用在400℃至1000℃的温度下的尖峰退火或UV光源对碳掺杂氧化硅膜进行沉积后处理。在该实施方式或其他实施方式中,UV暴露步骤可以在膜沉积期间进行,或者一旦沉积完成就进行。
在一个实施方式中,衬底包括至少一个特征,其中该特征包括纵横比(aspect)为1:9,开口为180nm的图案沟槽。
在本文所述方法的一个实施方式中,使用热ALD工艺来沉积具有15原子%至30原子%范围的碳含量的碳掺杂氧化硅膜和使用包含氢的等离子体以改善膜特性。在该实施方式中,该方法包括:
a.将包含表面特征的一个或多个衬底放入反应器中(例如,放入常规的ALD反应器中);
b.加热反应器到环境温度至约550℃范围的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
c.向反应器中引入至少一种具有两个Si-C-Si键的硅前体,其选自1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷;
d.用惰性气体吹扫;
e.向反应器中提供氮源以与表面反应而形成碳掺杂氮化硅膜;
f.用惰性气体吹扫以除去反应副产物;
g.重复步骤c至f以提供所需厚度的碳掺杂氮化硅;
h.提供在从大致环境温度至1000℃或从约100℃至400℃范围内的一个或多个温度下用氧源对碳掺杂氮化硅膜的沉积后处理,以原位地或在另一个室中将碳掺杂氮化硅膜转化为碳掺杂氧化硅膜;
i.提供将碳掺杂氧化硅膜暴露于包含氢的等离子体的沉积后处理以改善膜的至少一种物理性质。
j.任选地,使用在400℃至1000℃的温度下的尖峰退火或UV光源对碳掺杂氧化硅膜进行沉积后处理。在该实施方式或其他实施方式中,UV暴露步骤可以在膜沉积期间进行,或者一旦沉积完成就进行。
在本文所述方法的又一进一步实施方式中,使用热ALD工艺及包含氨或有机胺的催化剂沉积含硅膜。在该实施方式中,该方法包括:
a.将包含表面特征的一个或多个衬底放入反应器中;
b.加热反应器到环境温度至约150℃范围内的一个或多个温度,并任选地将反应器保持在100托或更低的压力下;
c.向反应器中引入至少一种具有一个或两个Si-C-Si键的硅前体和催化剂,该硅前体选自1,1,1,3,3,3-六氯-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二硅杂丙烷、1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷;
d.用惰性气体吹扫;
e.向反应器中提供水蒸气以与前体及催化剂反应,从而形成如此沉积的碳掺杂氧化硅膜;
f.用惰性气体吹扫以除去反应副产物;
g.重复步骤c至f以提供所需厚度的碳掺杂氧化硅;
h.提供使加工的膜暴露于包含氢的等离子体的沉积后处理以改善膜特性,从而改善膜的至少一种特性;
i.任选地,使用400℃至1000℃温度下的尖峰退火或UV光源对碳掺杂氧化硅膜进行沉积后处理。在该实施方式或其他实施方式中,UV暴露步骤可以在膜沉积期间进行,或者一旦沉积完成就进行。
在该实施方式或其他实施方式中,催化剂选自路易斯碱,例如吡啶、哌嗪、氨、三乙胺或其他有机胺。路易斯碱蒸气的量是至少相当于步骤c中硅前体蒸气量的量。
在某些实施方式中,将所得的碳掺杂氧化硅膜暴露于具有Si-Me或Si-H或两者的氯硅烷或有机氨基硅烷,以在暴露于氢等离子体处理之前形成疏水性薄层。合适的有机氨基硅烷包括,但不限于,二乙基氨基三甲基硅烷、二甲基氨基三甲基硅烷、乙基甲基氨基三甲基硅烷、叔丁基氨基三甲基硅烷、异丙基氨基三甲基硅烷、二异丙基氨基三甲基硅烷、吡咯烷基三甲基硅烷、二乙基氨基二甲基硅烷、二甲基氨基二甲基硅烷、乙基甲基氨基二甲基硅烷、叔丁基氨基二甲基硅烷、异丙基氨基二甲基硅烷、二异丙基氨基二甲基硅烷、吡咯烷基二甲基硅烷、双(二乙基氨基)二甲基硅烷、双(二甲基氨基)二甲基硅烷、双(乙基甲基氨基)二甲基硅烷、双(二异丙基氨基)二甲基硅烷、双(异丙基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、二吡咯烷基二甲基硅烷、双(二乙基氨基)二乙基硅烷、双(二乙基氨基)甲基乙烯基硅烷、双(二甲基氨基)甲基乙烯基硅烷、双(乙基甲基氨基)甲基乙烯基硅烷、双(二异丙基氨基)甲基乙烯基硅烷、双(异丙基氨基)甲基乙烯基硅烷、双(叔丁基氨基)甲基乙烯基硅烷、二吡咯烷基甲基乙烯基硅烷、2,6-二甲基哌啶基甲基硅烷、2,6-二甲基哌啶基三甲基硅烷、三(二甲基氨基)苯基硅烷,三(二甲基氨基)甲基硅烷、二异丙基氨基硅烷、二仲丁基氨基硅烷、氯二甲基硅烷、氯三甲基硅烷、二氯甲基硅烷和二氯二甲基硅烷。
在另一个实施方式中,将所得的碳掺杂氧化硅膜暴露于具有Si-Me或Si-H或两者的环状烷氧基硅烷或烷氧基硅烷,以在暴露于氢等离子体处理之前形成疏水性薄层。合适的烷氧基硅烷或环状烷氧基硅烷包括但不限于二乙氧基甲基硅烷、二甲氧基甲基硅烷、二乙氧基二甲基硅烷、二甲氧基二甲基硅烷、2,4,6,8-四甲基环四硅氧烷或八甲基环四硅氧烷。不希望受任何理论或解释的束缚,据信由有机氨基硅烷或烷氧基硅烷或环状烷氧基硅烷形成的薄层可在等离子体灰化过程中转化为致密的碳掺杂氧化硅,从而进一步提高灰化抗性。
在另一个实施方式中,用于沉积含硅膜的容器包含一种或多种本文所述的硅前体化合物。在一个特定的实施方式中,容器包括至少一个可加压容器(优选地是具有诸如美国专利No.US7334595;US6077356;US5069244;和US5465766中公开的设计的不锈钢可加压容器,其公开内容通过引用结合于此)。容器可以包括玻璃(硼硅酸盐或石英玻璃)或316、316L、304或304L型不锈钢合金(UNS名称S31600、S31603、S30400、S30403),配有适当的阀门和配件以允许将一种或多种前体输送到反应器用于CVD或ALD工艺。在该实施方式或其他实施方式中,硅前体在由不锈钢构成的可加压容器中提供,并且前体的纯度为98重量%或更高或者99.5%或更高,这适合于半导体应用。硅前体化合物优选基本上不含金属离子,例如Al3+离子、Fe2+、Fe3+、Ni2+、Cr3+。如本文所用,术语“基本上不含”当与Al3+离子、Fe2+、Fe3+、Ni2 +、Cr3+相关时意味着小于约5ppm(重量),优选小于约3ppm,和更优选小于约1ppm,以及最优选约0.1ppm。在某些实施方式中,如果需要,此类容器还可具有用于将前体与一种或多种另外的前体混合的装置。在这些或其他实施方式中,容器的内容物可以与另外的前体预混合。或者,硅前体和/或其他前体可以保持在单独的容器中或在具有分隔装置的单一容器中用于在储存期间保持硅前体和其它前体分离。
含硅膜沉积在衬底如半导体衬底的至少一个表面上。在本文所述的方法中,衬底可以由本领域公知的各种材料构成和/或被本领域公知的各种材料涂覆,包括硅(例如晶体硅或非晶硅),氧化硅,氮化硅,无定形碳,碳氧化硅,氮氧化硅,碳化硅,锗,锗掺杂的硅,硼掺杂的硅,金属(如铜、钨、铝、钴、镍、钽),金属氮化物如(氮化钛、氮化钽),金属氧化物,III/V族金属或类金属例如GaAs、InP、GaP和GaN及其组合的膜。这些涂层可以完全涂覆半导体衬底,可以是各种材料的多个层,并且可以被部分蚀刻以暴露下面的材料层。表面也可以在其上具有已经以图案暴露并显影以部分涂覆衬底的光致抗蚀剂材料。在某些实施方式中,半导体衬底包含选自孔隙、通孔、沟槽及其组合的至少一种表面特征。含硅膜的潜在应用包括但不限于用于FinFET或纳米片的低k间隔物,用于自对准图案化工艺(self alignedpatterning process)的牺牲硬掩模(例如SADP、SAQP或SAOP)。
用于形成含硅膜或涂层的沉积方法是沉积工艺。用于本文公开的方法的适合沉积工艺的实例包括但不限于化学气相沉积或原子层沉积工艺。如本文所用,术语“化学气相沉积工艺”是指其中衬底暴露于一种或多种挥发性前体的任何工艺,所述挥发性前体在衬底表面上反应和/或分解以产生期望的沉积。如本文所用,术语“原子层沉积工艺”是指自限式(例如,在每个反应周期中沉积的膜材料的量是恒定的)顺序表面化学过程,其将材料的膜沉积到不同组成的衬底上。如本文所用,术语“热原子层沉积工艺”是指在没有原位或远程等离子体的情况下,在室温至600℃范围内的衬底温度下的原子层沉积工艺。尽管本文使用的前体、试剂和源有时可以被描述为“气态的”,但应理解,前体可以是液体或固体,其通过直接蒸发、鼓泡或升华在惰性气体存在或不存在的情况下输送到反应器中。在一些情况下,蒸发的前体可以通过等离子体发生器
在一个实施方式中,使用ALD工艺沉积含硅膜。在另一个实施方式中,使用CCVD工艺沉积含硅膜。在又一个实施方式中,使用热ALD工艺沉积含硅膜。如本文所用,术语“反应器”包括但不限于反应室或沉积室。
在某些实施方式中,本文公开的方法通过使用在将前体引入反应器之前和/或期间将前体分隔的ALD或CCVD方法而避免前体的预反应。在这个方面,使用沉积技术如ALD或CCVD工艺沉积含硅膜。在一个实施方式中,通过将衬底表面交替地暴露于一种或多种含硅前体、氧源、含氮源或其它前体或试剂,在典型的单晶片ALD反应器、半分批式ALD反应器或分批式炉ALD反应器中通过ALD工艺沉积膜。膜生长通过表面反应的自限式控制、每种前体或试剂的脉冲长度以及沉积温度来进行。然而,一旦衬底表面饱和,则膜生长停止。在另一个实施方式中,包括硅前体和反应性气体的每种反应物通过将衬底移动或旋转到反应器的不同区段而暴露于衬底,并且每个区段通过惰性气体幕分隔,即空间ALD反应器或卷对卷ALD反应器。
取决于沉积方法,在某些实施方式中,本文所述的硅前体和任选地其它含硅前体可以以预定摩尔体积或约0.1至约1000微摩尔引入反应器中。在这个或其他实施方式中,前体可以引入反应器中预定的时间段。在某些实施方式中,该时间段在约0.001至约500秒范围内。
在某些实施方式中,使用本文所述方法沉积的含硅膜在催化剂与氧源、包含氧的试剂或前体(即水蒸气)组合的存在下形成。氧源可以以至少一种氧源的形式引入反应器中,和/或可以附带地存在于沉积工艺中使用的其它前体中。适合的氧源气体可包括例如水(H2O)(例如,去离子水、纯化水、蒸馏水、水蒸气、水蒸气等离子体、含氧水、空气、包含水和其它有机液体的组合物)、氧气(O2)、氧等离子体、臭氧(O3)、一氧化氮(NO)、二氧化氮(NO2)、一氧化碳(CO)、包含水的等离子体、包含水和氩的等离子体、过氧化氢、包含氢的组合物、包含氢和氧的组合物、二氧化碳(CO2)、空气及其组合。在某些实施方式中,氧源包括以约1至约10000标准立方厘米(sccm)或约1至约1000sccm范围内的流速引入反应器中的氧源气体。氧源可以引入约0.1至约100秒范围内的时间。催化剂选自路易斯碱,例如吡啶、哌嗪、三甲胺、叔丁胺、二乙胺、三甲胺、乙二胺、氨或其它有机胺。
在其中通过ALD或循环CVD工艺沉积膜的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,并且氧源可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。
在某些实施方式中,氧源连续流入反应器中,同时依次引入前体脉冲和等离子体。前体脉冲可以具有大于0.01秒的脉冲持续时间,而等离子体持续时间可以在0.01秒至100秒范围内。
在某些实施方式中,含硅膜包含硅和氮。在这些实施方式中,使用本文所述方法沉积的含硅膜在含氮源的存在下形成。含氮源可以以至少一种氮源的形式引入反应器中,和/或可以附带地存在于沉积过程中使用的其它前体中。
适合的含氮源或氮源气体可以包括例如氨,肼,单烷基肼,对称或不对称二烷基肼,有机胺如甲胺、乙胺、乙二胺、乙醇胺、哌嗪、N,N'-二甲基乙二胺、咪唑烷、环三亚甲基三胺及其组合。
在某些实施方式中,氮源以约1至约10000标准立方厘米(sccm)或约1至约1000sccm范围的流速引入反应器中。含氮源可以引入约0.1至约100秒范围的时间。在其中通过使用氮源和氧源二者的ALD或循环CVD工艺沉积膜的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且氮源可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒,或者连续脉冲而没有其间的吹扫。
本文公开的沉积方法可涉及一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性吹扫气体包括但不限于氩气(Ar)、氮气(N2)、氦气(He)、氖气、氢气(H2)及其组合。在某些实施方式中,吹扫气体如Ar以约10至约10000sccm范围内的流速供应到反应器中约0.1至1000秒,由此吹扫可能保留在反应器中的未反应的材料和任何副产物。
供应前体、氧源、含氮源和/或其它前体、源气体和/或试剂的相应步骤可以通过改变供应它们的时间而进行,以改变所得膜的化学计量组成。
将能量施加到前体、含氮源、还原剂、其它前体或其组合中的至少一种以引起反应并在衬底上形成膜或涂层。这样的能量可以由但不限于热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。
在某些实施方式中,次级RF射频源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生工艺可以包括直接等离子体发生工艺(其中等离子体在反应器中直接发生)或者远程等离子体发生工艺(其中等离子体在反应器外部发生并供应到反应器中)。
在整个说明书中,术语“ALD或ALD样”是指包括但不限于以下过程的工艺:a)将包括硅前体和反应性气体的每种反应物依次引入反应器,例如单晶片ALD反应器、半分批式ALD反应器或分批式炉ALD反应器;b)包括硅前体和反应性气体的每种反应物通过将衬底移动或旋转到反应器的不同区段而暴露于衬底,并且每个区段通过惰性气体幕分隔,即空间ALD反应器或卷对卷ALD反应器。
硅前体和/或其它含硅前体可以以各种方式递送到反应室,例如CVD或ALD反应器。在一个实施方式中,可以使用液体递送系统。在替代实施方式中,可以使用组合的液体递送和闪蒸工艺单元,例如由MSP Corporation,Shoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够被定量地(volumetrically)递送,这导致可重复的运输和沉积而没有前体的热分解。在液体递送配置中,本文所述的前体可以以纯液体形式递送,或者可选地可以以溶剂制剂或包含溶剂制剂的组合物使用。因此,在某些实施方式中,前体制剂可以包含具有适合特性的一种或多种溶剂组分,如可以在给定最终用途应用中在衬底上形成膜所期望和有利的适合特性。
在这个或其他实施方式中,应理解,本文所述方法的步骤可以以各种顺序进行,可以按顺序地或同时地(例如,在另一步骤的至少一部分的过程中)进行,及以其任何组合形式进行。供应前体和含氮源气体的相应步骤可以通过改变供应它们的持续时间进行以改变所得含硅膜的化学计量组成。
在本文所述方法的又一个实施方式中,对膜或如此沉积的膜进行处理步骤。处理步骤可以在沉积步骤的至少一部分的过程中,在沉积步骤之后及以其组合方式进行。示例性处理步骤包括但不限于通过高温退火的处理;等离子体处理;紫外(UV)光处理;激光;电子束处理及其组合,以影响膜的一个或多个性质。当与在相同条件下用以前公开的硅前体沉积的膜相比时,用本文所述的具有一个或两个Si-C-Si键的硅前体沉积的膜具有改进的性质,例如但不限于低于处理步骤前的膜的湿蚀刻速率的湿蚀刻速率,或者高于处理步骤前的密度的密度。在一个具体实施方式中,在沉积工艺过程中,间歇地处理如此沉积的膜。这些间歇性或沉积中处理可以例如在每个ALD循环之后,在特定数量的ALD之后(例如但不限于一(1)个ALD循环,两(2)个ALD循环,五(5)个ALD循环,或者每十(10)个或更多个ALD循环之后)进行。
在其中用高温退火步骤处理膜的实施方式中,退火温度为至少100℃或高于沉积温度。在这个或其他实施方式中,退火温度在约400℃至约1000℃范围内。在这个或其他实施方式中,退火处理可以在真空(<760托)、惰性环境或含氧环境(例如H2O、N2O、NO2或O2)中进行。
在其中用UV处理来处理膜的实施方式中,将膜暴露于宽谱带UV,或者具有约150纳米(nm)至约400nm范围内的波长的UV源。在一个具体实施方式中,在达到期望的膜厚度之后,将如此沉积的膜在与沉积室不同的室中暴露于UV。
在其中用等离子体处理膜的实施方式中,沉积诸如SiO2或碳掺杂的SiO2的钝化层以防止氯和氮污染物在随后的等离子体处理中渗透膜。可以使用原子层沉积或循环化学气相沉积来沉积钝化层。
在其中用等离子体处理膜的实施方式中,等离子体源选自氢等离子体、包含氢和氦的等离子体、包含氢和氩的等离子体。氢等离子体降低了膜介电常数并提高了对后续等离子体灰化过程的损伤抗性,同时仍保持本体中的碳含量几乎不变。
在整个说明书中,术语“ALD或ALD样”是指包括但不限于以下过程的工艺:a)将包括硅前体和反应性气体的每种反应物依次引入反应器中,例如单晶片ALD反应器、半分批式ALD反应器或分批式炉ALD反应器;b)包括硅前体和反应性气体的每种反应物通过将衬底移动或旋转到反应器的不同区段而暴露于衬底,并且每个区段通过惰性气体幕分隔,即空间ALD反应器或卷对卷ALD反应器。
在整个说明书中,术语“灰化”是指在半导体制造工艺中使用包含氧源(例如O2)的等离子体/惰性气体等离子体、O2等离子体、CO2等离子体、CO等离子体、H2/O2等离子体或其组合去除光致抗蚀剂或碳硬掩模的工艺。
在整个说明书中,术语“损伤抗性”是指在氧灰化工艺之后的膜特性。良好或高损伤抗性定义为氧灰化后的下列膜特性:膜介电常数低于4.5;本体中(膜中超过深处)的碳含量与灰化前的相差5原子%内;通过表面附近(小于深)和本体(大于深)的膜之间的稀HF蚀刻速率的差异观察到的小于的膜被损伤。
在整个说明书中,术语“烷基烃”是指直链或支链的C1至C20烃、环状C6至C20烃。示例性的烃包括但不限于庚烷、辛烷、壬烷、癸烷、十二烷、环辛烷、环壬烷、环癸烷。
在整个说明书中,术语“芳烃”是指C6至C20芳烃。示例性的芳烃n包括但不限于甲苯、三甲基苯。
在整个说明书中,术语“催化剂”是指气相的路易斯碱,其可在热ALD工艺中催化羟基和Si-Cl键之间的表面反应。示例性催化剂包括但不限于基于环胺的气体如氨基吡啶、甲基吡啶、二甲基吡啶、哌嗪、哌啶、吡啶或基于有机胺的气体甲胺、二甲胺、三甲胺、乙胺、二乙胺、三乙胺、丙胺、异丙胺、二丙胺、二异丙胺、叔丁胺中的至少一种。
在整个说明书中,术语“有机胺”是指具有C1至C20烃,环状C6至C20烃的伯胺、仲胺、叔胺。示例性的有机胺包括但不限于甲胺、二甲胺、三甲胺、乙胺、二乙胺、三乙胺、丙胺、异丙胺、二丙胺、二异丙胺、叔丁胺。
在整个说明书中,术语“硅氧烷”是指具有至少一个Si-O-Si键和C4-C20碳原子的直链、支链或环状液体化合物。示例性的硅氧烷包括但不限于四甲基二硅氧烷、六甲基二硅氧烷(HMDSO)、1,1,1,3,3,5,5,5-八甲基三硅氧烷、八甲基环四硅氧烷(OMCTS)。
在整个说明书中,这里使用的术语“阶梯覆盖率”定义为具有通孔或沟槽或两者的结构化或特征化衬底中沉积膜的两个厚度的百分比,底部阶梯覆盖率是以下比率(以%计):特征底部的厚度除以特征顶部的厚度,中间阶梯覆盖率为以下比率(以%计):特征侧壁的厚度除以特征顶部的厚度。使用本文所述方法沉积的膜表现出约80%或更高,或约90%或更高的阶梯覆盖率,这表明膜是保形的。
以下实施例说明了本发明的某些方面,但不限制所附权利要求的范围。
实施例
一般膜沉积
使用硅前体和氨(作为氮源氨)在实验室规模的原子层沉积(ALD)反应器中进行膜沉积。ALD循环步骤和工艺条件在下表3中提供:
表3:ALD循环步骤和工艺条件
在沉积过程中,将步骤3至10重复至多2000次的多个循环以获得如此沉积的碳掺杂氮化硅膜的期望厚度。对所得的如此沉积的膜进行原位退火(在反应器内在如此沉积的膜上进行的退火)或非原位退火(在外部或在单独的室中的退火)以将膜转化为碳掺杂氧化硅膜。所执行的典型退火条件如下:在30托的真空下进行湿气退火(moistureannealing);在环境温度(例如,25℃)或约300℃下在热板上进行空气退火。
使用标准含氢等离子体处理碳掺杂氧化硅膜。H2等离子体处理参数是:
a.仅H2等离子体:
等离子体频率=13.56MHz
H2流量=135sccm
室压力=2托
时间=5分钟
b.H2/Ar等离子体
等离子频率=13.56MHz
H2流量=65sccm
Ar流量=65sccm
室压力=2托
时间=5分钟
沉积后使用椭圆偏振计在632.8nm处直接测量折射率和厚度。本体膜组成使用X射线光电子能谱(XPS)在表面下方数纳米(2-5nm)处表征以消除外来的碳的影响。使用X射线反射测量术(XRR)表征膜密度。
在两种不同浓度的稀氢氟酸(dHF)(1:199的49%HF和去离子水以及1:99的49%HF和去离子水)下进行湿蚀刻速率过程。更稀释的HF浓度提高损伤层的测量精度。在此过程中,同时蚀刻热氧化硅膜以确保蚀刻溶液一致性。
使用商业等离子体灰化仪PVA TePLAM4L在室温下进行氧灰化过程。工艺参数如下:功率=100-200W;He/O2=1:3;压力=600毫托。膜介电常数(k)由使用连接到HP4284LCR仪的MDC 802b MercuryProbe测量的C-V曲线计算。测量以正接触模式进行,该液态金属(汞)用于形成两个导电触点。
实施例1:通过热ALD沉积的碳掺杂氧化硅膜的低介电常数和高氧灰化抗性。
使用1,1,3,3-四氯二硅杂环丁烷(TCDSB)和1,1,1,3,3,3-六氯-1,3-二硅杂丙烷(HCDSP)和氨在300℃下,使用热ALD工艺沉积碳掺杂氧化硅膜,如表3中所述。
沉积后,然后在300℃下空气中将膜进一步非原位处理3小时。
表4.由1,1,3,3-四氯-1,3-二环丁烷和氨沉积的碳掺杂氧化硅膜在退火后通过XPS测量的膜组成
表4显示了从HCDSP和TCDSB沉积的膜之间的膜组成比较。与HCDSP相比,TCDSB膜具有相对大的碳含量,证明TCDSM是比HCDSP引入更多碳的更好的硅前体。
热氧化硅参考蚀刻速率的dHF蚀刻速率为HCDSP和TCDSB膜的蚀刻速率相继地为
TDCSB膜蚀刻速率低于我们的测量的检测极限。较低的TDCSB膜稀HF蚀刻速率(低>5x)与膜中较高的碳含量一致。
从HCDSP或TCDSB沉积的碳掺杂氧化硅膜的膜介电常数大于5。
利用如上所述的条件,使用H2/Ar等离子体,从HCDSP或TCDSB膜沉积所得的碳掺杂氧化硅膜使用300mm商业PEALD设备进一步用氢等离子体处理。在等离子体处理之后,HCDSP和TCDSB膜的介电常数分别降低到3.5和3.4,证明包含氢的等离子体是降低介电常数的有效方式。
然后将膜暴露于标准氧灰化,接着稀HF浸渍以测定损伤。现在参考图1,图1显示随着浸入稀HF中的时间而除去的膜厚度。
HCDSP和TCDSB膜在开始时显示快速蚀刻速率,之后减慢,表明来自氧灰化的表面损伤。氧灰化氧化膜中的碳,因此导致快速的蚀刻速率。蚀刻速率曲线表明对于TCDSB膜的的损伤层以及对于HCDSP膜的的损伤层,这表明在相同的蚀刻条件下,TCDSB膜的氧灰化抗性高于HCDSP膜。
实施例2.来自1,1,3,3-四氯-1,3-二硅杂环丁烷的碳掺杂氧化硅膜的阶梯覆盖率
如表3所述,在300℃下从1,1,3,3-四氯-1,3-二硅杂环丁烷和氨在图案结构上沉积碳掺杂氧化硅膜,然后在空气环境中非原位300℃处理3小时。
在纵横比为1:9和沟槽开口为180nm的沟槽结构上进行扫描电子显微分析(SEM)。
表5.由1,1,3,3-四氯-1,3-二硅杂环丁烷沉积的碳掺杂氧化硅膜的表面覆盖率
表5中所示的,由1,1,3,3-四氯-1,3-二硅杂环丁烷沉积的碳掺杂氧化硅膜的阶梯覆盖率>99%。
实施例3.使用1,1,3,3-四氯-1,3-二硅杂环丁烷通过热ALD沉积来沉积含硅膜
使用表3中所述的工艺步骤,在500℃的衬底温度下由1,1,3,3-四氯-1,3-二硅杂环丁烷和氨沉积含硅膜,并储存在环境中。
在膜沉积后约一周获得膜特性,例如XPS和稀HF中的湿蚀刻速率。表6中提供了这些测试的结果。
表6.ALD膜的膜组成和WER
温度(℃) %O %N %C %Cl %Si 相对热氧化物的dHF WER
500 20.1 18.1 23.9 0.4 37.6 0.12
参见表6,XPS数据显示碳掺杂氧化硅具有很少的氯含量(例如,小于0.5原子%)。在500℃下沉积的膜与300℃相比具有更高的氮含量,而同时在膜中保持相似的碳量。据信,在300℃的较低沉积温度下,该方法可以提供更多的易于氧化的Si-NH2或Si-NH-Si片段。另一方面,在较高的500℃温度下沉积可以提供足够的能量以形成更抗氧化的更强的Si-Nx网络。
实施例4.由1,1,3,3-四氯-1,3-二硅杂环丁烷沉积的碳掺杂氧化硅膜的原位退火
如表3中所述,使用1,1,3,3-四氯-1,3-二硅杂环丁烷和氨在300℃下沉积碳掺杂氧化硅膜。使用以下参数对该膜进行原位H2O蒸气处理:
H2O蒸汽抽吸;H2O罐温度=50℃;室压=30托;T=300℃。
每个循环的膜生长为/循环。所得膜的折射率为1.55,和密度为1.55g/cc。通过XPS测量的膜组成为O=39.0%,N=2.6%,C=25.1%和Si=33.2%。膜中未检测到氯。
实施例5.通过热ALD沉积使用1,1,3,3-四氯-1,3-二硅杂环丁烷和氨沉积然后热退火和等离子体处理的碳掺杂氧化硅膜的氧灰化抗性
如表3所述,使用1,1,3,3-四氯-1,3-二硅杂环丁烷和氨在300℃下沉积碳掺杂氧化硅膜,然后在300℃下在空气中进行热处理。在先前描述的H2/Ar等离子体处理之前,将碳掺杂氧化硅膜在氮气中在200-400℃,5托下进一步加热1小时。
然后将膜暴露于氧灰化,然后进行稀HF蚀刻以测定损伤的厚度。
表7中示出了膜介电常数,而表8中示出了O2灰化损伤的厚度。
表7.通过H2/Ar等离子体处理的碳掺杂氧化硅膜的介电常数。
表8.暴露于O2灰化后的碳掺杂氧化硅膜的损伤厚度。
在H2/Ar等离子体处理之前的另外退火显示出比仅用H2/Ar等离子体处理的样品(k=3.6)更低的介电常数(k=2.8-3.2)。该膜的氧灰化损伤厚度为
实施例6.使用1,1,3,3-四氯-1,3-二硅杂环丁烷和氨在300℃下的碳掺杂氧化硅膜随后进行高温退火
使用300mm商业交叉流反应器以ALD模式使用1,1,3,3-四氯-1,3-二硅杂环丁烷(作为硅前体)和氨在300℃下沉积碳掺杂氧化硅膜。重复表9中所示的ALD步骤2至8以获得所需的厚度。
表9.碳掺杂氧化硅膜沉积的ALD步骤
将如此沉积的样品置于环境中,转化成碳掺杂氧化硅膜。膜的每循环生长(GPC)为/循环。
如上所述,将碳掺杂氧化硅膜在300℃、氮气氛下进一步处理1小时,然后进行含氢等离子体处理(仅H2等离子体或H2/Ar等离子体)。
在等离子体处理之后,将膜暴露于O2灰化,然后暴露于稀HF以测定损伤的厚度。O2灰化后的介电常数和损伤厚度如表10中所示。
表10.O2灰化后碳掺杂氧化硅膜的介电常数和损伤厚度
该过程证明了在氧灰化处理之前和之后具有高氧抗性和低k的碳掺杂氧化硅膜。氧灰化后的低损伤厚度以及低k(k<4.0)表明高氧抗性。
实施例7.等离子体处理后含硅膜的阶梯覆盖率
如在实施例6中所述的,使用300mm商业交叉流反应器以ALD模式使用1,1,3,3-四氯-1,3-二硅杂环丁烷和氨在300℃下沉积碳掺杂氧化硅膜,然后进行H2/Ar等离子体处理。使用的衬底是图案化的晶片,具有1:9的纵横比为和180nm的开口。
透射电子显微镜(TEM)用于测定表面覆盖率。表11显示了结构中各个不同位置处的膜厚度。
表11.由1,1,3,3-四氯-1,3-二硅杂环丁烷沉积随后进行H2/Ar等离子体处理的碳掺杂氧化硅的厚度
膜阶段覆盖率>97%。
实施例8.由1,1,3,3-四氯-1,3-二硅杂环丁烷和氨沉积的含碳膜的化学处理
如表9中所述的在300℃下由1,1,3,3-四氯-1,3-二硅杂环丁烷和氨沉积的碳掺杂氧化硅膜在300℃下在惰性气氛中退火1小时,然后暴露于使用二乙基氨基三甲基硅烷的化学处理。化学处理参数是:
T=300℃;时间=5分钟和25分钟;室压=1托
作为对照,膜仅在300℃下退火而没有任何化学品暴露。
处理后,测量膜介电常数并示于表12中。
表12.二乙基氨基三甲基硅烷处理后的膜介电常数
温度(℃) 时间(分钟) 介电常数(k)
无化学处理,仅在300℃下退火 25 5.5
300 5 2.9
300 25 2.7
化学处理显示出膜介电常数的改善,从5.5到低于3.0。
实施例9.使用辛烷中的1,1,3,3-四氯二甲基环丁烷和氨沉积含碳硅膜
将1,1,3,3-四氯-1,3-二硅杂环丁烷在辛烷中的20重量%溶液用于膜沉积。使用直接液体注射(罐温度=60℃,通过蒸发器的Ar流量为100sccm。液体流速为~200mg/min)递送化学品。蒸发器温度为70℃。
沉积过程包括以下步骤:
表13.使用1,1,3,3-四氯-1,3-二硅杂环丁烷溶液用于沉积含硅膜的步骤
在进行到步骤5之前,将步骤3至4重复5次,并且重复步骤3至8多次以获得所需的厚度。通过XPS分析的膜组成是:
Si=35.7%;O=36.5%;C=23.0%;N=4.5%;Cl=0.3%。
将沉积的膜在惰性环境中在300℃下进一步退火1小时,然后进行H2/Ar等离子体处理。
将经处理的膜暴露于标准O2灰化并浸入稀HF中以测定损伤的厚度。对于用仅H2等离子体和H2/Ar等离子体连续处理的膜,O2灰化后的损伤厚度为
实施例10.来自原位加工的高碳含量氧化硅膜
将辛烷中的20重量%的1,1,3,3-四氯-1,3-二硅杂环丁烷溶液用于膜沉积。使用直接液体注射(罐温度=60℃,通过蒸发器的Ar流量为100sccm。液体流速为~200mg/min)递送化学品。蒸发器温度为70℃。
沉积过程包括以下步骤:
表14.使用1,1,3,3-四氯-1,3-二硅杂环丁烷溶液用于沉积含硅膜的步骤
在进行至步骤5之前,将步骤3至4重复5次,并且重复步骤3至6多次以获得所需的厚度。步骤8、9和10是任选的用于比较。
表15.使用1,1,3,3-四氯-1,3-二硅杂环丁烷原位加工的碳掺杂氧化硅膜的膜特性。
所得膜具有表15中的膜特性。对于没有退火的如此沉积的膜,膜蚀刻速率非常低,即0.12倍于热氧化物。在另外的处理(N2干燥或N2干燥和等离子体)之后,蚀刻速率降至我们的检测极限以下的水平。
如此沉积的膜的膜密度为1.34g/cc,其中具有使用另外的N2干燥或N2干燥和H2等离子体处理的轻微致密化。在所有情况下,该膜具有25-29%的高碳含量和低Cl含量(<2%)。
实施例11.由1,1,3,3-四氯-1,3-二硅杂环丁烷和水/吡啶沉积的低介电常数碳掺杂氧化硅膜
使用1,1,3,3-四氯-1,3-二硅杂环丁烷和H2O进行膜沉积。吡啶用作反应催化剂。化学品(罐温度=70℃)随着Ar扫过前体罐而输送。水温为17℃(蒸气压=15托),和水蒸气用蒸汽抽吸输送,和吡啶温度为25~35℃(蒸气压=15~25托),和吡啶蒸气用蒸汽抽吸输送。主N2流速为200sccm,和Ar流速为50sccm。
沉积过程包括表16中描述的步骤。
表16.用于从1,1,3,3-四氯-1,3-二硅杂环丁烷和水/吡啶沉积含Si膜的沉积步骤。
将步骤3至6重复500次以获得所需的厚度。
如此沉积的膜的折射率为1.53,和GPC为/循环。通过XPS测量的膜组成为:Si=35.3%,O=34.0%,C=28.9%,N=0.6%和Cl=1.3%。膜密度为1.8g/cc,和稀蚀刻速率为
然后如前所述,将膜在300℃下进行标准的非原位H2/Ar等离子体处理。在等离子体处理之前和之后测量膜介电常数为3.6,这从沉积的膜(k=6.1)得到改善。
实施例12.来自原位加工的高碳含量氧化硅膜
将辛烷中的20重量%的1,1,3,3-四氯-1,3-二硅杂环丁烷溶液用于膜沉积。使用直接液体注射(罐温度=60℃,通过蒸发器的Ar流为100sccm。液体流速为~200mg/min)递送化学品。蒸发器温度为70℃。
沉积过程包括表17中描述的步骤。
表17.使用1,1,3,3-四氯-1,3-二硅杂环丁烷溶液沉积含硅膜的沉积步骤
在进行至步骤5之前,将步骤3至4重复5次,并且重复步骤3至8多次以获得所需的厚度。对于一些晶片,步骤9是任选的,以便在H2O原位退火和环境中的转换之间进行比较。
表18显示了对于在环境中转化的碳掺杂氧化硅和具有原位H2O处理的碳掺杂氧化硅两者,通过XPS测量的类似的膜组成。
表18.碳掺杂氧化硅的膜组成的比较
Si O C N Cl
环境转化 34.69 41.4 21.82 1.74 0.35
原位H<sub>2</sub>O 35.2 38.22 23.49 2.82 0.27
实施例13.碳掺杂氧化硅膜的高温退火
使用300mm商业交叉流反应器,碳掺杂氧化硅膜使用1,1,3,3-四氯-1,3-二硅杂环丁烷和氨在300℃下以ALD模式沉积。重复表8中所示的ALD步骤以获得所需的厚度。
将如此沉积的碳掺杂氧化硅膜在500℃至800℃下在惰性气氛中退火1小时。
膜介电常数示于表19中。
表19.使用1,1,3,3-四氯-1,3-二硅杂环丁烷和氨沉积的含Si膜在热退火后的介电常数
退火温度(℃) 退火后(氧灰化前)的介电常数(k)
无退火(室温) 5.7
500℃ 2.6
600℃ 2.3
700℃ 2.6
800℃ 3.9
高温退火在降低膜介电常数方面是有效的。
比较例1:氢等离子体处理和氧灰化对使用二乙氧基甲基硅烷通过PECVD沉积的碳掺杂氧化硅膜的影响
使用200mm商用PECVD设备在300℃下使用二乙氧基甲基硅烷(DEMS)沉积碳掺杂氧化硅膜。工艺参数如下:功率=500W;压力=9托;Si前体流=500sccm;He流=300sccm;
如此沉积后的膜具有表20中所示的组成。
表20.通过XPS测量的使用DEMSPECVD工艺的碳掺杂氧化硅膜的膜组成
膜密度为1.48g/cc。原样沉积(在H2等离子体之前)的稀HF(1:99的49%HF和DI水)中WER在表21中。该膜显示出非常高的稀HF蚀刻抗性,由低蚀刻速率表明的。
表21.来自原样沉积的PECVD DEMS(在H2等离子体之前)的碳掺杂氧化硅的稀HF(1:99,0.5重量%)中的WER。热氧化硅参考蚀刻速率为
然后将膜用H2等离子体在300W和300℃下处理5分钟。在H2等离子体处理之后,将样品暴露于氧灰化。氢等离子体处理和氧灰化处理都与前面描述的相同。
表22显示了PECVD DEMS样品的介电常数测量。
表22.在H2等离子体处理和H2等离子体接着氧灰化之后的PECVD DEMS介电常数
在H2等离子体之后介电常数从3.2增加到3.7,表明更高的损伤厚度。氧灰化进一步将膜介电常数增加到5.5。在H2等离子体接着氧灰化后稀HF(1:99的49%HF和DI水)表征列于表17中。
表23.在H2等离子体接着氧灰化后来自PECVD DEMS的碳掺杂氧化硅的稀HF(1:99)中的WER。热氧化硅参考蚀刻速率为
碳掺杂氧化硅膜清楚地显示大于的损伤层厚度。氧灰化后膜的膜蚀刻速率远高于(>10x)如此沉积的膜。暴露于氧灰化处理后的高膜介电常数与来自氧灰化的厚损伤层一致。
比较例2.没有沉积后处理的含硅膜对照。
如表3中所述,使用热ALD工艺使用1,1,3,3-四氯-1,3-二硅杂环丁烷和氨在300℃下沉积碳掺杂氧化硅膜。沉积后,然后将膜在室温下在空气中退火300℃下3小时。在碳掺杂氧化硅膜上进行标准氧灰化。将稀HF用于测定损伤的厚度,如表24中所示。
表24.在暴露于氧灰化之后碳掺杂氧化硅膜的稀HF蚀刻速率
与如此沉积的膜相比,从表面的前的蚀刻速率显示出非常高的蚀刻速率,表明碳被去除。碳去除与氧灰化导致的损伤的膜一致。
实施例10.1,1,3,3-四氯-1,3-二硅杂环丁烷的制剂
表25总结了作为用于通过直接液体注入输送蒸气的潜在制剂,1,1,3,3-四氯-1,3-二硅杂环丁烷在各种溶剂中的溶解度,因为1,1,3,3-四氯-1,3-二硅杂环丁烷在室温下是固体。
尽管已经参考某些实施方式描述了本发明,但是本领域技术人员将理解,在不脱离本发明的范围的情况下,可以进行各种改变并且可以用等同物替换其元件。另外,在不脱离本发明的实质范围的情况下,可以进行许多修改以使特定情况或材料适应本发明的教导。因此,本发明不意在限于作为实施本发明的最佳方式公开的特定实施方式,而是本发明将包括落入所附权利要求范围内的所有实施方式。

Claims (20)

1.一种组合物,其包含:
(a)至少一种具有一个Si-C-Si或两个Si-C-Si键的硅前体化合物,其选自1,1,1,3,3,3-六氯-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二硅杂丙烷、1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷;和
(b)至少一种溶剂。
2.如权利要求1的组合物,其中所述溶剂包括至少一个选自醚、叔胺、硅氧烷、烷基烃、芳烃和叔氨基醚的成员。
3.如权利要求1的组合物,其中所述硅前体的沸点与所述溶剂的沸点之间的差异为约40℃或更小。
4.如权利要求1的组合物,其包含少于5ppm的至少一种选自Al3+离子、Fe2+、Fe3+、Ni2+和Cr3+的金属离子。
5.如权利要求1的组合物,其中所述溶剂包括至少一个选自庚烷、辛烷、壬烷、癸烷、十二烷、环辛烷、环壬烷、环癸烷、甲苯和均三甲苯的成员。
6.一种用于通过热ALD工艺形成具有从15原子%至30原子%范围的碳含量的碳掺杂氧化硅膜的方法,该方法包括:
a)将包含表面特征的一个或多个衬底放入反应器中;
b)加热反应器到从环境温度至约550℃范围内的一个或多个温度,并任选地将所述反应器保持在100托或更低的压力下;
c)将至少一种具有两个Si-C-Si键的硅前体引入所述反应器中,该硅前体选自1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷;
d)用惰性气体吹扫;
e)向所述反应器中提供氮源以与所述表面反应形成碳掺杂氮化硅膜;
f)用惰性气体吹扫以除去反应副产物;
g)重复步骤c至f,以提供所需厚度的碳掺杂氮化硅;
h)在从大约环境温度至1000℃或从约100℃至400℃范围内的一个或多个温度下用氧源处理所得的碳掺杂氮化硅膜,以将所述碳掺杂氮化硅膜转化为碳掺杂氧化硅膜;和
i)将所述碳掺杂氧化硅膜沉积后暴露于包含氢的等离子体。
7.如权利要求6的方法,其中所述硅前体包含权利要求1的组合物。
8.根据权利要求6的方法形成的膜,其k小于约4,碳含量为至少约10原子%。
9.根据权利要求6的方法形成的膜,其蚀刻速率比热氧化硅低至少0.5倍。
10.根据权利要求6的方法形成的膜,其蚀刻速率比热氧化硅低至少0.1倍。
11.根据权利要求6的方法形成的膜,其蚀刻速率比热氧化硅低至少0.05倍。
12.根据权利要求6的方法形成的膜,其蚀刻速率比热氧化硅低至少0.01倍。
13.根据权利要求6的方法形成的膜,当其暴露于氧灰化工艺时具有较小的损伤层(或更小)。
14.根据权利要求6的方法形成的膜,当其暴露于氧灰化工艺时具有较小的损伤层(或更小)。
15.根据权利要求6的方法形成的膜,当其暴露于氧灰化工艺时具有较小的损伤层(或更小)。
16.根据权利要求6的方法形成的膜,当其暴露于氧灰化工艺时具有较小的损伤层(或更小)。
17.一种容纳如权利要求1的组合物的不锈钢容器。
18.一种用于通过热ALD工艺形成具有从15原子%至30原子%范围的碳含量的碳掺杂氧化硅膜的方法,该方法包括:
a)将包含表面特征的一个或多个衬底放入反应器中;
b)加热所述反应器到从环境温度至约150℃范围的一个或多个温度,并任选地将所述反应器保持在100托或更低的压力下;
c)将至少具有两个Si-C-Si键的前体和催化剂引入所述反应器中,该前体选自1-氯-1,3-二硅杂环丁烷、1-溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-1,3-二硅杂环丁烷、1,3-二溴-1,3-二硅杂环丁烷、1,1,3-三氯-1,3-二硅杂环丁烷、1,1,3-三溴-1,3-二硅杂环丁烷、1,1,3,3-四氯-1,3-二硅杂环丁烷、1,1,3,3-四溴-1,3-二硅杂环丁烷、1,3-二氯-1,3-二甲基-1,3-二硅杂环丁烷、1,3-溴-1,3-二甲基-1,3-二硅杂环丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三硅杂戊烷、1,1,1,3,3,5,5,5-八氯-1,5-二甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三硅杂戊烷、1,1,3,5,5,5-五氯-1,3,5-三甲基-1,3,5-三硅杂戊烷、1,1,1,5,5,5-六氯-1,3,5-三硅杂戊烷、1,1,5,5-四氯-1,3,5-三硅杂戊烷;
d)用惰性气体吹扫;
e)向所述反应器中提供水蒸气以与所述前体以及催化剂反应,形成如此沉积的碳掺杂氧化硅膜;
f)用惰性气体吹扫以除去反应副产物;
g)重复步骤c至f,以提供所需厚度的碳掺杂氧化硅。
19.如权利要求18的方法,其还包括在从300至700℃的温度下用热退火对所述碳掺杂氧化硅膜进行沉积后处理。
20.如权利要求18的方法,其还包括用包含氢的等离子体对所述碳掺杂氧化硅膜进行氢等离子体处理。
CN201780055135.XA 2016-07-27 2017-07-26 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法 Pending CN109689928A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662367260P 2016-07-27 2016-07-27
US62/367,260 2016-07-27
US15/654,426 US20180033614A1 (en) 2016-07-27 2017-07-19 Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US15/654,426 2017-07-19
PCT/US2017/043890 WO2018022719A1 (en) 2016-07-27 2017-07-26 Compositions and methods using same for carbon doped silicon containing films

Publications (1)

Publication Number Publication Date
CN109689928A true CN109689928A (zh) 2019-04-26

Family

ID=61011718

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780055135.XA Pending CN109689928A (zh) 2016-07-27 2017-07-26 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法

Country Status (9)

Country Link
US (4) US20180033614A1 (zh)
EP (2) EP3491167B1 (zh)
JP (2) JP6849788B2 (zh)
KR (6) KR102345918B1 (zh)
CN (1) CN109689928A (zh)
IL (2) IL291934B2 (zh)
SG (1) SG11201900319PA (zh)
TW (3) TWI637075B (zh)
WO (1) WO2018022719A1 (zh)

Families Citing this family (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
SG10202000545RA (en) * 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) * 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
WO2019028136A1 (en) 2017-08-04 2019-02-07 Lam Research Corporation SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP6806721B2 (ja) * 2018-02-20 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システムおよびプログラム
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20210047966A (ko) * 2018-09-24 2021-04-30 버슘머트리얼즈 유에스, 엘엘씨 실리콘 및 질소 함유 막의 제조 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
WO2020072625A1 (en) 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20210380418A1 (en) * 2018-10-05 2021-12-09 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing film
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102157137B1 (ko) * 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6910387B2 (ja) * 2019-03-05 2021-07-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7224217B2 (ja) * 2019-03-15 2023-02-17 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7326912B2 (ja) * 2019-06-20 2023-08-16 株式会社リコー 液体吐出ヘッド、液体吐出ユニット及び液体を吐出する装置
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2023531513A (ja) * 2020-06-23 2023-07-24 インテグリス・インコーポレーテッド ケイ素前駆体化合物及びケイ素含有膜を形成するための方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
JP2022065560A (ja) * 2020-10-15 2022-04-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7444182B2 (ja) 2022-01-28 2024-03-06 トヨタ自動車株式会社 車両用スロープ展開装置
WO2023220650A1 (en) * 2022-05-13 2023-11-16 Versum Materials Us, Llc Compositions and methods using same for carbon doped silicon containing films

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200745268A (en) * 2006-03-29 2007-12-16 Jsr Corp Method for producing polymer, polymer, composition for forming polymer film, method for forming polymer film, and polymer film
US20110077420A1 (en) * 2008-05-26 2011-03-31 Samsung Fine Chemicals Co., Ltd. Production method for linear and cyclic trisilaalkane
CN103451619B (zh) * 2012-06-01 2016-04-13 气体产品与化学公司 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02145590A (ja) 1988-11-26 1990-06-05 Shin Etsu Chem Co Ltd 新規ジシラシクロヘキサン化合物及びその製造方法
US5141817A (en) * 1989-06-13 1992-08-25 International Business Machines Corporation Dielectric structures having embedded gap filling RIE etch stop polymeric materials of high thermal stability
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
DE69738136T2 (de) 1996-12-17 2008-06-12 Advanced Technology Materials, Inc., Danbury Reagenzzuführbehälter für cvd
KR100365641B1 (ko) * 2000-07-29 2002-12-26 삼성전자 주식회사 배선에 의한 기생 용량을 줄일 수 있는 반도체 장치 및 그형성방법
KR100432704B1 (ko) * 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
KR100900587B1 (ko) * 2003-11-11 2009-06-02 도쿄엘렉트론가부시키가이샤 기판 처리 방법
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2007204626A (ja) * 2006-02-02 2007-08-16 Jsr Corp ポリマーの製造方法、ポリマー、絶縁膜形成用組成物、絶縁膜の製造方法、およびシリカ系絶縁膜
JP4962490B2 (ja) * 2006-03-29 2012-06-27 ダイソー株式会社 修飾シリカゲル及びその利用
EP2095446A1 (en) * 2006-12-20 2009-09-02 Dow Corning Corporation Composite article including a cation-sensitive layer
WO2009123032A1 (ja) * 2008-04-02 2009-10-08 Jsr株式会社 含ケイ素重合体を含む組成物およびその硬化物
US8241624B2 (en) 2008-04-18 2012-08-14 Ecolab Usa Inc. Method of disinfecting packages with composition containing peracid and catalase
CN101407466B (zh) 2008-12-08 2013-11-27 山西玉龙化工有限公司 乙二胺的纯化方法
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US20120030448A1 (en) * 2009-03-30 2012-02-02 Nec Corporation Single instruction multiple date (simd) processor having a plurality of processing elements interconnected by a ring bus
US20130022745A1 (en) 2009-08-14 2013-01-24 American Air Liquide, Inc. Silane blend for thin film vapor deposition
KR101030019B1 (ko) * 2009-12-31 2011-04-20 제일모직주식회사 봉지재용 투광성 수지 및 이를 포함하는 전자 소자
JP5188529B2 (ja) 2010-03-30 2013-04-24 株式会社日立ハイテクノロジーズ 電子ビーム照射方法、及び走査電子顕微鏡
KR101366002B1 (ko) * 2010-04-09 2014-02-21 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
TWI483995B (zh) * 2010-08-18 2015-05-11 Cheil Ind Inc 聚有機矽氧烷與由該聚有機矽氧烷獲得之封裝材料以及包含該封裝材料之電子元件
US8440571B2 (en) * 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
KR101378478B1 (ko) * 2011-03-23 2014-03-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US20130224964A1 (en) * 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
KR20140083619A (ko) * 2012-12-26 2014-07-04 제일모직주식회사 실록산 모노머, 봉지재 조성물, 봉지재 및 전자 소자
US9643844B2 (en) * 2013-03-01 2017-05-09 Applied Materials, Inc. Low temperature atomic layer deposition of films comprising SiCN or SiCON
JP6112928B2 (ja) 2013-03-19 2017-04-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5864637B2 (ja) 2013-03-19 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6155063B2 (ja) * 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9071726B2 (en) * 2013-06-28 2015-06-30 Cellco Partnership Videocast service architecture
US8979549B2 (en) 2013-08-08 2015-03-17 Kuei-Yang Lin Rotating plug
JP5788448B2 (ja) * 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
KR101846850B1 (ko) * 2013-09-30 2018-04-09 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치, 기판 처리 시스템 및 기록 매체
CN103539675B (zh) 2013-10-21 2015-05-13 西安近代化学研究所 一种乙二胺和水共沸物的分离方法
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
WO2016027369A1 (ja) * 2014-08-22 2016-02-25 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
SG10202000545RA (en) * 2014-10-24 2020-03-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing films
TWI585230B (zh) * 2015-02-06 2017-06-01 氣體產品及化學品股份公司 用於碳摻雜的含矽膜的組合物及其方法
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US11851756B2 (en) * 2017-09-14 2023-12-26 Versum Materials Us, Llc Methods for depositing silicon-containing films
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200745268A (en) * 2006-03-29 2007-12-16 Jsr Corp Method for producing polymer, polymer, composition for forming polymer film, method for forming polymer film, and polymer film
US20110077420A1 (en) * 2008-05-26 2011-03-31 Samsung Fine Chemicals Co., Ltd. Production method for linear and cyclic trisilaalkane
CN103451619B (zh) * 2012-06-01 2016-04-13 气体产品与化学公司 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法

Also Published As

Publication number Publication date
TW201804012A (zh) 2018-02-01
TWI683025B (zh) 2020-01-21
US20200203155A1 (en) 2020-06-25
KR102510722B1 (ko) 2023-03-15
EP4253597A2 (en) 2023-10-04
TWI637075B (zh) 2018-10-01
TW201900916A (zh) 2019-01-01
EP3491167B1 (en) 2023-09-06
US20220037151A1 (en) 2022-02-03
IL291934B2 (en) 2024-01-01
KR20230170109A (ko) 2023-12-18
US11152206B2 (en) 2021-10-19
KR20220002730A (ko) 2022-01-06
KR20220143781A (ko) 2022-10-25
KR20220000992A (ko) 2022-01-04
KR20190025060A (ko) 2019-03-08
IL264379A (en) 2019-02-28
TWI720741B (zh) 2021-03-01
JP6849788B2 (ja) 2021-03-31
JP2021097240A (ja) 2021-06-24
SG11201900319PA (en) 2019-02-27
IL291934A (en) 2022-06-01
IL291934B1 (en) 2023-09-01
EP3491167A4 (en) 2020-01-01
US20180033614A1 (en) 2018-02-01
JP2019527483A (ja) 2019-09-26
TW202014546A (zh) 2020-04-16
US20230377874A1 (en) 2023-11-23
EP4253597A3 (en) 2023-12-06
JP7153100B2 (ja) 2022-10-13
KR102456373B1 (ko) 2022-10-18
WO2018022719A1 (en) 2018-02-01
IL264379B (en) 2022-06-01
KR102345918B1 (ko) 2022-01-03
KR20210107178A (ko) 2021-08-31
EP3491167A1 (en) 2019-06-05
US11742200B2 (en) 2023-08-29

Similar Documents

Publication Publication Date Title
CN109689928A (zh) 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
KR102543855B1 (ko) 규소 및 질소 포함 필름의 제조 방법
TWI762809B (zh) 具有高碳含量的含矽膜的製造方法
KR20210047966A (ko) 실리콘 및 질소 함유 막의 제조 방법
TWI767661B (zh) 含矽及氮膜的製造方法
TWI814264B (zh) 用於含有矽及硼的膜之組合物及使用其之方法
TW202348590A (zh) 用於碳摻雜的含矽膜的組合物及使用其的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination