KR20170106272A - 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법 - Google Patents

실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법 Download PDF

Info

Publication number
KR20170106272A
KR20170106272A KR1020170115958A KR20170115958A KR20170106272A KR 20170106272 A KR20170106272 A KR 20170106272A KR 1020170115958 A KR1020170115958 A KR 1020170115958A KR 20170115958 A KR20170115958 A KR 20170115958A KR 20170106272 A KR20170106272 A KR 20170106272A
Authority
KR
South Korea
Prior art keywords
bis
plasma
iso
film
oxygen
Prior art date
Application number
KR1020170115958A
Other languages
English (en)
Inventor
아누파마 말리카주난
하리핀 찬드라
만차오 시아오
신지안 레이
커크 스코트 컷힐
마크 레오나르드 오네일
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20170106272A publication Critical patent/KR20170106272A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/04Esters of silicic acids
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K11/00Luminescent, e.g. electroluminescent, chemiluminescent materials
    • C09K11/06Luminescent, e.g. electroluminescent, chemiluminescent materials containing organic luminescent materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

본원에서는 실리콘 옥사이드 필름을 형성시키기 위한 조성물 및 방법을 기재하고 있다. 한 가지 양태로, 상기 필름은 하기 화학식을 지니는 하나 이상의 전구체로부터 증착된다:
R1 nSi(NR2R3)mH4-m-n
상기 식에서, R1은 독립적으로 선형 C1 내지 C6 알킬기, 분지형 C2 내지 C6 알킬기, C3 내지 C6 사이클릭 알킬기, C2 내지 C6 알케닐기, C3 내지 C6 알키닐기, 및 C4 내지 C10 아릴기로부터 선택되고; R2 및 R3는 각각 독립적으로 수소, 선형 C1 내지 C6 알킬기, 분지형 C2 내지 C6 알킬기, C3 내지 C6 사이클릭 알킬기, C2 내지 C6 알케닐기, C3 내지 C6 알키닐기, 및 C4 내지 C10 아릴기로부터 선택되고, R2와 R3은 연결되어 사이클릭 고리 구조를 형성하거나, 연결되지 않고; n=1, 2, 3; 및 m=1, 2이다.

Description

실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법{COMPOSITIONS AND METHODS FOR THE DEPOSITION OF SILICON OXIDE FILMS}
본 발명은 본원에서 전체 내용이 참조로 통합되는 2014년 3월 26일자 출원된 미국 가특허출원 제61/970,602호의 우선권 및 이익을 주장한다.
본 발명은 플라즈마 강화 ALD, 플라즈마 강화 사이클릭 화학적 기상 증착(plasma enhanced cyclic chemical vapor deposition: PECCVD), 플라즈마 강화 ALD-유사 공정, 또는 산소 반응물 공급원에 의한 ALD 공정으로, 비교적 저온, 예를 들어, 300℃ 또는 그 미만 중 하나 이상의 온도에서 화학양론적 또는 비화학양론적 실리콘 옥사이드 물질 또는 필름, 예컨대, 이로 제한되는 것은 아니지만, 실리콘 옥사이드, 탄소 도핑된 실리콘 옥사이드, 실리콘 옥시니트라이드 필름 또는 탄소 도핑된 실리콘 옥시니트라이드 필름을 증착시키는 방법에 관한 것이다.
본원에서는 규소 및 옥사이드 함유 필름을 형성시키기 위한 조성물 및 방법이 기재되고 있다. 더욱 특히, 본원에서는 약 300 ℃ 또는 그 미만 중 하나 이상의 증착 온도, 또는 약 25 ℃ 내지 약 300 ℃ 범위에서 화학양론적 또는 비화학양론적 실리콘 옥사이드 필름 또는 물질을 형성시키기 위한 조성물 및 방법이 기재된다.
원자층 증착(Atomic Layer Deposition: ALD) 및 플라즈마 강화 원자층 증작(Plasma Enhanced Atomic Layer Deposition: PEALD)은 저온(
Figure pat00001
500 ℃)에서 실리콘 옥사이드 컨포멀 필름을 증착시키기 위해서 이용되는 공정이다. ALD 및 PEALD 공정 둘 모두에서, 전구체 및 반응 가스(예컨대, 산소 또는 오존)는 특정의 사이클 수로 별도로 펄싱되어 각각의 사이클에서 실리콘 옥사이드의 단층을 형성시킨다. 그러나, 이들 공정을 이용하여 저온에서 증착된 실리콘 옥사이드는 불순물 수준, 예컨대, 이로 제한되는 것은 아니지만, 특정의 반도체 적용에서 유해할 수 있는 질소(N)를 함유할 수 있다. 이를 처리하기 위해서, 하나의 가능한 해결책은 증착 온도를 500 ℃ 또는 그 초과로 증가시키는 것이다. 그러나, 이들의 더 높은 온도에서, 반도체 산업에서 사용되는 통상의 전구체는 자체 반응하고, 열적으로 분해되고, ALD 모드가 아닌 화학적 기상 증착(chemical vapor deposition (CVD) 모드로 증착되는 경향이 있다. CVD 모드 증착은, 특히 많은 반도체 적용에서 요구되는 높은 가로세로 비 구조에 대해서, ALD 증착에 비해서 컨포멀리티(conformality)를 감소시킨다. 또한, CVD 모드 증착은 ALD 모드 증착보다 필름 또는 물질 두께를 덜 조절한다.
명칭이 "Some New Alkylaminosilanes"인 참조 논문[Abel, E.W. et al., J J. Chem. Soc., (1961), Vol. 26, pp. 1528-1530]은 트리메틸클로로실란(Me3SiCl)과 적절한 아민의 직접적인 상호작용으로부터의 다양한 아미노실란 화합물, 예컨대, Me = 메틸, Bu-2차 = 2차-부틸, 및 Pr-이소 = 이소프로필인 Me3SiNHBu-이소, Me3SiNHBu-2차, Me3SiN(Pr-이소)2, 및 Me3SiN(Bu-2차)2의 제법을 기재하고 있다.
명칭이 "SiO2 Atomic Layer Deposition Using Tris(dimethylamino)silane and Hydrogen Peroxide Studied by in Situ Transmission FTIR Spectroscopy"인 참조 논문[Burton, B. B., et al., The Journal of Physical Chemistry (2009), Vol. 113, pp. 8249-57]은 산화제로서 H2O2에 의한 다양한 규소 전구체를 사용한 실리콘 디옥사이드(SiO2)의 원자층 증착(ALD)을 기재하고 있다. 그러한 규소 전구체는 (N,N-디메틸아미노)트리메틸실란) (CH3)3SiN(CH3)2, 비닐tri메톡시실란 CH2CHSi(OCH3)3, 트리비닐메톡시실란 (CH2CH)3SiOCH3, 테트라키스(디메틸아미노)실란 Si(N(CH3)2)4, 및 트리스(디메틸아미노)실란 (TDMAS) SiH(N(CH3)2)3이다. TDMAS가 이들 전구체 중 가장 효과적인 것으로 측정되었다. 그러나, 추가의 연구는 TDMAS로부터의 SiH* 표면 종이 단지 H2O를 사용하여 제거하기가 어렵다는 것을 측정하였다. 후속 연구는 TDMAS와 산화제로서의 H2O2를 사용하고, 150-550 ℃의 온도 범위에서의 SiO2 ALD를 시험하였다. TDMAS와 H2O2 표면 반응을 완료시키기 위해서 요구되는 노출이 동일반응계내 FTIR 분광분석을 이용하여 모니터링되었다. TDMAS 노출 후의 FTIR 진동 스펙트럼은 O-H 신축 진동에 대한 흡수의 상실 및 C-Hx 및 Si-H 신축 진동에 대한 흡수의 획득을 나타냈다. H2O2 노출 후의 FTIR 진동 스펙트럼은 C-Hx 및 Si-H 신축 진동에 대한 흡수의 상실 및 O-H 신축 진동에 대한 흡수의 증가를 나타냈다. SiH*는
Figure pat00002
450 ℃ 온도에서만 완전히 제거되었다. SiO2 의 벌크 진동 모드는 1000-1250 cm-1 에서 관찰되었으며, TDMAS 및 H2O2 반응 사이클의 수와 함께 점진적으로 성장하였다. 투과 전자 현미경 분석(Transmission electron microscopy: TEM)을 150-550 ℃의 온도에서 ZrO2 나노입자에 대한 50회의 TDMAS와 H2O2 반응 사이클 후에 수행하였다. 각각의 온도에서 TEM에 의해서 측정된 필름 두께를 이용하여 SiO2 ALD 성장 속도를 얻었다. 사이클 당 성장 속도는 150 ℃에서의 0.8
Figure pat00003
/사이클 내지 550 ℃에서의 1.8
Figure pat00004
/사이클로 다양하였으며, SiH* 표면 종의 제거와 관련되었다. TDMAS와 H2O2를 사용한 SiO2 ALD는
Figure pat00005
450 ℃ 온도에서의 SiO2 ALD를 위해서 가치있을 것이다.
JP2010275602호 및 JP2010225663호는 300-500 ℃의 온도 범위에서 화학적 기상 증착(CVD) 공정에 의해서 Si 함유 박막, 예컨대, 실리콘 옥사이드를 형성시키기 위한 원료의 사용을 개시하고 있다. 원료는 화학식 (a) HSi(CH3)(R1)(NR2R3)(여기서, R1은 NR4R5 또는 1C-5C 알킬 기를 나타내고; R2 및 R4는 각각 1C-5C 알킬 기 또는 수소 원자를 나타내고; R3 및 R5는 각각 1C-5C 알킬 기를 나타낸다); 또는 (b) HSiCl(NR1R2)(NR3R4) (여기서, R1 및 R3은 독립적으로 1 내지 4 개의 탄소 원자를 지니는 알킬 기, 또는 수소 원자를 나타내고; R2 및 R4는 독립적으로 1 내지 4 개의 탄소 원자를 지니는 알킬 기를 나타낸다)로 표현되는 유기 규소 화합물이다. 유기 규소 화합물은 H-Si 결합을 함유하였다.
미국특허 제5,424,095호는 탄화수소의 산업적 열분해 동안에 코크스 형성률을 감소시키기 위한 방법을 기재하고 있으며, 반응기의 내부 표면은 균일한 층의 세라믹 물질로 코팅되어 있고, 그러한 층은 옥사이드 세라믹을 형성시키기 위해서 스팀 함유 가스 대기 중에서 증기 상의 비-알콕실화된 유기규소 전구체의 열적 분해에 의해서 증착된다.
미국특허 공보 제2010/0291321호는 집적회로 기판의 유전 필름과 금속 인터커넥트 사이에 고품질 Si 카르보니트릴 장벽 유전 필름을 형성시키는 PECVD 공정으로서, 유전 필름 또는 금속 인터커넥트를 지니는 집적회로 기판을 제공하는 단계; 기판을 RxRy(NRR')zSi(여기서, R, R', R 및 R'는 각각 독립적으로 H, 선형 또는 분지형의 포화 또는 불포화 알킬, 또는 방향족 기로부터 선택되고; x+y+z = 4; z = 1 내지 3이지만; R, R'은 둘 모두가 H가 아닐 수 있고; z = 1 또는 2이면, x와 y의 각각은 1 이상이다)을 포함하는 장벽 유전 필름 전구체와 접촉시키는 단계; C/Si 비율
Figure pat00006
0.8 및 N/Si 비율
Figure pat00007
0.2를 지니는 Si 카르보니트라이드 장벽 유전 필름을 집적회로 기판상에 형성시키는 단계를 포함하는 공정을 기재하고 있다.
미국특허 공보 제2013/0295779 A는 하기 화학식(I) 및 화학식(II)를 지니는 규소 전구체를 사용하여
Figure pat00008
500 ℃ 증착 온도에서 실리콘 옥사이드 필름을 형성시키는 원자층 증착(ALD) 공정을 기재하고 있다:
I. R1R2 mSi(NR3R4)nXp
II. R1R2 mSi(OR3)n(OR4)qXp
상기 화학식(I)에서,
R1, R2, 및 R3은 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 및 C6 내지 C10 아릴 기로부터 선택되고; R4는 선형 또는 분지형 C1 내지 C10 알킬 기, 및 C6 to C10 아릴 기, C3 내지 C10 알킬실릴 기로부터 선택되고; R3 및 R4은 연결되어 사이클릭 고리 구조를 형성하거나, R3 및 R4는 연결되지 않아서 사이클릭 고리 구조를 형성하지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; m은 0 내지 3이고; n은 0 내지 2이고; p는 0 내지 2이고, m+n+p = 3이고;
상기 화학식(II)에서,
R1 및 R2은 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬 기, 및 C6 내지 C10 아릴 기로부터 선택되고; R3 및 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬 기, 및 C6 내지 C10 아릴 기로부터 선택되고; R3 및 R4는 연결되어 사이클릭 고리 구조를 형성하거나, R3 및 R4는 연결되지 않아서 사이클릭 고리 구조를 형성하지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드 원자이고; m은 0 내지 3이고; n은 0 내지 2이고; q는 0 내지 2이고, p는 0 내지 2이고, m+n+q+p = 3이다.
미국특허 제7,084,076호는 실리콘 디옥사이드를 형성시키기 위해서 500 ℃ 미만에서의 ALD 증착을 위한 촉매로서 피리딘과 관련하여 사용되는 할로겐화된 실록산, 예컨대, 헥사클로로디실록산(HCDSO)을 개시하고 있다.
미국특허 제6,992,019호는 둘 이상의 규소 원자를 지니는 규소 화합물로 이루어진 첫 번째 반응 성분을 사용하거나 촉매 성분으로서 3차 지방족 아민을 사용하거나, 이들 둘을 조합하여, 반도체 기판 상에 우수한 성질을 지니는 실리콘 디옥사이드 층을 형성시키기 위한 촉매-보조 원자층 증착(ALD) 방법을 관련된 퍼징 방법 및 시퀀싱과 함께 개시하고 있다. 사용된 전구체는 헥사클로로디실란이다. 증착 온도는 25-150 ℃이다.
따라서, 더욱 저렴하고 반응성이며 더욱 안정한 유기 아미노실란을 사용하여 플라즈마 강화 원자층 증착(ALD) 공정 또는 플라즈마 강화 ALD-유사 공정으로 다음 속성, 약 2.1g/cc 또는 그 초과의 밀도, 낮은 화학적 불순물, 및/또는 높은 컨포멀리티 중 하나 이상을 지니는 실리콘 옥사이드 필름을 형성시키는 방법을 개발할 필료가 여전히 존재한다. 또한, 예를 들어, 실리콘 옥사이드로부터 탄소 도핑된 실리콘 옥사이드까지 이르는 조정 가능한 필름을 제공할 수 있는 전구체를 개발할 필요가 있다.
본원에서는 플라즈마 강화 ALD, 플라즈마 강화 사이클릭 화학적 기상 증착(plasma enhanced cyclic chemical vapor deposition: PECCVD), 플라즈마 강화 ALD-유사 공정, 또는 산소 반응물 공급원에 의한 ALD 공정으로, 비교적 저온, 예를 들어, 300℃ 또는 그 미만 중 하나 이상의 온도에서 화학양론적 또는 비화학양론적 실리콘 옥사이드 본원에서는 플라즈마 강화 ALD, 플라즈마 강화 사이클릭 화학적 기상 증착(plasma enhanced cyclic chemical vapor deposition: PECCVD), 플라즈마 강화 ALD-유사 공정, 또는 산소 반응물 공급원에 의한 ALD 공정으로, 비교적 저온, 예를 들어, 300℃ 또는 그 미만 중 하나 이상의 온도에서 화학양론적 또는 비화학양론적 실리콘 옥사이드 물질 또는 필름, 예컨대, 이로 제한되는 것은 아니지만, 실리콘 옥사이드, 탄소 도핑된 실리콘 옥사이드, 실리콘 옥시니트라이드 필름 또는 탄소 도핑된 실리콘 옥시니트라이드 필름을 증착시키는 방법이 기재된다.
한 가지 양태로, 규소 및 옥사이드를 포함하는 필름을 기판상에 증착시키기 위한 방법으로서,
a) 기판을 반응기에 제공하는 단계;
b) 하기 화학식(A)을 지니는 화합물을 포함하는 하나 이상의 규소 전구체를 반응기 내로 도입하는 단계;
c) 반응기를 퍼지 가스로 퍼징하는 단계;
d) 산소-함유 공급원을 반응기 내로 도입하는 단계; 및
e) 반응기를 퍼지 가스로 퍼징하는 단계를 포함하고;
단계 b 내지 단계 e가 요망되는 두께의 필름이 증착될 때까지 반복되고; 그러한 방법이 약 25 ℃ 내지 300 ℃ 범위의 하나 이상의 온도에서 수행되는 방법이 제공된다:
R1 nSi(NR2R3)mH4-m-n (A)
상기 식에서, R1은 독립적으로 선형 C1 내지 C6 알킬 기, 분지형 C3 내지 C6 알킬 기, C3 내지 C6 사이클릭 알킬 기, C2 내지 C6 알케닐 기, C3 내지 C6 알키닐 기, 및 C4 내지 C10 아릴 기로부터 선택되고; R2 및 R3은 각각 독립적으로 수소, C1 내지 C6 선형 알킬 기, 분지형 C3 내지 C6 알킬 기, C3 내지 C6 사이클릭 알킬 기, C2 내지 C6 알케닐 기, C3 내지 C6 알키닐 기, 및 C4 내지 C10 아릴 기로 이루어진 군으로부터 선택되고, 화학식(A) 내의 R2와 R3은 연결되어 사이클릭 고리 구조를 형성하는 R2와 R3 및 연결되지 않아서 사이클릭 고리 구조를 형성하지 않는 R2와 R3으로부터 선택되고; n=1, 2, 3이고; m=1, 2이다.
이러한 구체예 또는 다른 구체예에서, 산소-함유 공급원은 산소 플라즈마, 수증기, 수증기 플라즈마, 불활성 가스를 함유하거나 함유하지 않는 니트로겐 옥사이드(예, N2O, NO, NO2) 플라즈마, 카본 옥사이드(예, CO2, CO) 플라즈마 및 이들의 조합물로 이루어진 군으로부터 선택된 공급원이다. 특정의 구체예에서, 산소 공급원은 추가로 불활성 가스를 함유한다. 이들 구체예에서, 불활성 가스는 아르곤, 헬륨, 질소, 수소 및 이들의 조합물로 이루어진 군으로부터 선택된다. 대안적인 구체예에서, 산소-공급원은 불활성 가스를 포함하지 않는다. 또 다른 구체예에서, 산소-함유 공급원은 플라즈마 조건 하에서 시약과 반응하여 실리콘 옥시니트라이드 필름을 제공하는 질소를 포함한다.
상기 기재된 하나 이상의 구체예에서, 하나 이상의 규소 전구체는 상기 기재된 화학식을 지니며 그러한 식에서 n=3 및 m=1인 모노아미노알킬실란 화합물을 포함한다. 한 가지 특정의 구체예에서, 식 중의 R1은 C1 또는 메틸 기를 포함한다.
상기 기재된 하나 이상의 구체예에서, 하나 이상의 규소 전구체는 상기 기재된 화학식을 지니며 그러한 식에서 n=2 및 m=1인 모노아미노알킬실란 화합물을 포함한다. 한 가지 특정의 구체예에서, 식 중의 R1은 C1 또는 메틸 기를 포함한다.
상기 기재된 하나 이상의 구체예에서, 하나 이상의 규소 전구체는 상기 기재된 화학식을 지니며 그러한 식에서 n=1 및 m=1인 모노아미노알킬실란 화합물을 포함한다. 한 가지 특정의 구체예에서, 식 중의 R1은 C1 또는 메틸 기를 포함한다.
상기 기재된 하나 이상의 구체예에서, 하나 이상의 규소 전구체는 상기 기재된 화학식을 지니며 그러한 식에서 n=1 및 m=2인 모노아미노알킬실란 화합물을 포함한다. 한 가지 특정의 구체예에서, 식 중의 R1은 C1 또는 메틸 기를 포함한다.
상기 기재된 하나 이상의 구체예에서, 하나 이상의 규소 전구체는 하기 화학식(B)을 지니는 비스아미노알킬실란 화합물을 포함한다:
R1 nSi(NR2H)mH4-m-n (B)
상기 식에서,
R1은 독립적으로 선형 C1 내지 C2 알킬 기로부터 선택되고, R2는 C1 내지 C6 선형 알킬 기, 분지형 C3 내지 C6 알킬 기로부터 선택되고; n=1 또는 2이고; m=2이다.
상기 기재된 하나 이상의 구체예에서, 퍼지 가스는 질소, 헬륨 및 아르곤으로 이루어진 군으로부터 선택된다.
또 다른 양태로, 기판 상에 실리콘 옥사이드 필름 및 탄소 도핑된 실리콘 옥사이드 필름으로부터 선택된 필름을 증착시키는 방법으로서,
a. 기판을 반응기에 제공하는 단계;
b. 하기 화학식을 지니는 화합물을 포함하는 하나 이상의 규소 전구체를 반응기 내로 도입하는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산소-함유 공급원을 반응기 내로 도입하는 단계; 및
e. 반응기를 퍼지 가스로 퍼징하는 단계를 포함하고;
단계 b 내지 e가 요망되는 두께의 필름이 증착될 때까지 반복되고; 방법이 약 25 ℃ 내지 약 300 ℃ 범위의 하나 이상의 온도에서 수행되는 방법이 제공된다:
R1 nSi(NR2R3)mH4-m-n
상기 식에서,
R1은 독립적으로 선형 C1 내지 C2 알킬 기로부터 선택되고, R2는 C1 내지 C6 선형 알킬 기, 분지형 C3 내지 C6 알킬 기로부터 선택되고; R3은 수소이고; n=1 또는 2이고; m=2이다.
상기 기재된 하나 이상의 구체예에서, 산소-함유 플라즈마 공급원은 불활성 가스를 함유하거나 함유하지 않는 산소 플라즈마, 불활성 가스를 함유하거나 함유하지 않는 수증기 플라즈마, 불활성 가스를 함유하거나 함유하지 않는 니트로겐 옥사이드(예, N2O, NO, NO2) 플라즈마, 불활성 가스를 함유하거나 함유하지 않는 카본 옥사이드(예, CO2, CO) 플라즈마 및 이들의 조합물로 이루어진 군으로부터 선택된다. 특정의 구체예에서, 산소-함유 플라즈마 공급원은 추가로 불활성 가스를 포함한다. 이들 구체예에서, 불활성 가스는 아르곤, 헬륨, 질소, 수소 또는 이들의 조합물로 이루어진 군으로부터 선택된다. 대안적인 구체예에서, 산소-함유 플라즈마 공급원은 불활성 가스를 포함하지 않는다.
또 다른 양태로, 증기 증착 공정을 이용하여 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드 필름으로부터 선택된 필름을 증착시키기 위한 조성물로서, 하기 화학식(B)을 지니는 화합물을 포함하는 조성물이 제공된다:
R1 nSi(NR2H)mH4-m-n B
상기 식에서,
R1은 독립적으로 선형 C1 내지 C2 알킬 기로부터 선택되고, R2 는 독립적으로 C1 내지 C6 선형 알킬 기 및 분지형 C3 내지 C6 알킬 기로부터 선택되고; n=1 또는 2이고; m=2이다.
상기 기재된 조성물의 한 가지 구체예에서, 조성물은 아민, 할라이드, 고분자량 종 및 미량의 금속으로부터 선택된 것 중 하나 이상을 실질적으로 함유하지 않은 하나 이상의 규소 전구체를 포함한다.
도 1은, C-H 또는 Si-CH3 결합의 증거가 없음을 보이고 있는, 실시예 6에서 기재된 바와 같이 증착된 필름의 퓨리에 변환 적외선(Fourier Transform Infrared: FTIR) 스펙트럼을 나타내고 있다.
도 2는 디메틸아미노트리메틸실란 (DMATMS)에 의해서 100 ℃에서 실시예 6에서 기재된 바와 같이 증착된 실리콘 옥사이드 필름 대 열적 옥사이드에 대한 전류 대 전기장을 제공하고 있다.
도 3은 다음 전구체, 즉, 비스(디에틸아미노)실란 (BDEAS), 비스(2차-부틸아미노)메틸실란 (BSBAMS), 및 비스(디에틸아미노)메틸실란 (BDEAMS) 및 표 11에 제공된 공정 조건을 이용하여 증착된 필름에 대한 사이클 당 성장 거동을 예시하고 있다.
도 4는 0.2 내지 2 초 범위의 다양한 전구체 펄스 시간으로 100 ℃의 온도에서 표 10에 제공된 공정 조건에 따른 BSBAMS 및 BDEAMS 증착된 필름에 대한 포화 거동을 나타내고 있다.
본원에서는 300℃ 또는 그 미만, 또는 약 25 ℃ 내지 약 300 ℃중 하나 이상의 온도에서 규소 및 옥사이드, 예컨대, 이로 제한되는 것은 아니지만, 실리콘 옥사이드, 탄소 도핑된 실리콘 옥사이드 필름, 실리콘 옥시니트라이드, 탄소 도핑된 실리콘 옥시니트라이드 필름 또는 이들의 조합을 포함한 화학양론적 또는 비화학양론적 필름 또는 물질의 형성과 관련된 방법이 기재된다. 본원에서 기재되는 필름은 증착 공정, 예컨대, 원자층 증착(ALD) 또는 ALD-유사 공정, 예컨대, 이로 한정되는 것은 아니지만, 플라즈마 강화 ALD, 플라즈마 강화 사이클릭 화학적 기상 증착(CCVD)으로 증착된다. 본원에서 기재된 저온 증착(예, 대략 주위 온도에서 300 ℃까지의 범위에서 하나 이상의 증착 온도) 방법은 다음 이점, 즉, 약 2.1g/cc 또는 그 초과의 밀도, 낮은 화학적 불순물, 플라즈마 강화 원자층 증착(ALD) 공정 또는 플라즈마 강화 ALD-유사 공정에서의 높은 컨포멀리티, 생성되는 필름에서의 탄소 함량을 조절하는 능력; 및/또는 묽은 HF에서 측정하는 경우 초당 5 옹스트롬(Angstroms per second:
Figure pat00009
/sec) 또는 그 미만의 에칭 속도를 지니는 필름 중 하나 이상을 나타내는 필름 또는 물질을 제공한다. 탄소-도핑된 실리콘 옥사이드 필름의 경우에, 1% 초과의 탄소가, 다른 특징, 예컨대, 이로 한정되는 것은 아니지만, 약 1.8g/cc 또는 그 초과 또는 약 2.0g/cc 또는 그 초과의 밀도 외에, 에칭속도를 2
Figure pat00010
/sec 미만의 값으로 조정하기 위해서 요구된다.
본원에 기재된 방법의 한 가지 구체예에서, 방법은 불활성 가스를 추가로 포함할 수 있는 플라즈마, 예컨대, 불활성 가스를 함유하거나 함유하지 않는 산소 플라즈마, 불활성 가스를 함유하거나 함유하지 않는 수증기 플라즈마, 불활성 가스를 함유하거나 함유하지 않는 니트로겐 옥사이드(예, N2O, NO, NO2) 플라즈마, 불활성 가스를 함유하거나 함유하지 않는 카본 옥사이드(예, CO2, CO) 플라즈마 및 이들의 조합물 중 하나 이상을 포함하는 산소-함유 공급원을 사용하는 ALD 공정을 통해서 수행된다. 이러한 구체예에서, 기판의 하나 이상의 표면 상에 실리콘 옥사이드 필름을 증착시키는 방법은
a. 기판을 반응기에 제공하는 단계;
b. 본원에 기재된 화학식(A) 또는 (B)을 지니는 하나 이상의 규소 전구체를 반응기 내로 도입하는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 플라즈마를 포함하는 산소-함유 공급원을 반응기에 도입하는 단계; 및
e. 반응기를 퍼지 가스로 퍼징하는 단계를 포함한다.
상기 기재된 방법에서, 단계 b 내지 e는 요망되는 두께의 필름이 기판상에 증착될 때까지 반복된다. 산소-함유 플라즈마 공급원은 동일반응계 내에서 또는 대안적으로 원격지에서 생성될 수 있다. 한 가지 특정의 구체예에서, 산소-함유 공급원은 산소를 포함하고, 다른 시약, 예컨대, 이로 한정되는 것은 아니지만, 하나 이상의 규소 전구체 및 임의의 불활성 가스와 함께 방법 단계 b 내지 e 동안에 흐르거나 도입된다.
본원에 기재된 방법의 또 다른 구체예에서, 방법은
a. 기판을 반응기에 제공하는 단계;
b. 본원에 기재된 화학식(A) 또는 (B)을 지니는 하나 이상의 규소 전구체를 반응기 내로 도입하는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산소-함유 공급원을 반응기 내로 도입하는 단계;
e. 반응기를 퍼지 가스로 퍼징하는 단계를 포함하여 기판의 하나 이상의 표면상에 탄소-도핑된 실리콘 옥사이드 필름을 증착시키기 위해서 사용되며,
여기서, 단계 b 내지 e는 요망되는 두께의 탄소 도핑된 실리콘 옥사이드가 증착될 때까지 반복되며, 그러한 방법은 약 300 ℃ 또는 그 미만 중 하나 이상의 온도에서 수행된다.
이러한 또는 다른 구체예에서, 산소-함유 공급원은 오존, 불활성 가스를 함유하거나 함유하지 않는 산소 플라즈마, 불활성 가스를 함유하거나 함유하지 않는 수증기 플라즈마, 불활성 가스를 함유하거나 함유하지 않는 니트로겐 옥사이드(예, N2O, NO, NO2) 플라즈마, 불활성 가스를 함유하거나 함유하지 않는 카본 옥사이드(예, CO2, CO) 플라즈마 및 이들의 조합물로 이루어진 군으로부터 선택된다. 한 가지 특정의 구체예에서, 산소-함유 공급원은 이산화탄소 플라즈마를 포함한다. 이러한 또는 다른 구체예에서, 산소-함유 공급원은 아르곤, 헬륨, 질소, 수소 및 이들의 조합물로 이루어진 군으로부터 선택된 불활성 가스를 포함한다. 산소-함유 공급원이 플라즈마를 포함하는 구체예에서, 플라즈마는 반응기 내의 동일반응계 내에서 생성되거나 원격지에서 생성되고 이어서 반응기 내로 도입될 수 있다. 한 가지 특정의 구체예에서, 산소-함유 공급원은 산소를 포함하고, 다른 시약, 예컨대, 이로 한정되는 것은 아니지만, 하나 이상의 규소 전구체 및 임의의 불활성 가스와 함께 방법 단계 b 내지 e 동안에 흐르거나 도입된다.
한 가지 구체예에서, 본원에 기재된 하나 이상의 규소 함유 전구체는 하기 화학식(A)을 지니는 화합물이다:
R1 nSi(NR2R3)mH4-m-n (A)
상기 식에서, R1은 독립적으로 선형 C1 내지 C6 알킬 기, 분지형 C3 내지 C6 알킬 기, C3 내지 C6 사이클릭 알킬 기, C2 내지 C6 알케닐 기, C3 내지 C6 알키닐 기, 및 C4 내지 C10 아릴 기로부터 선택되고; R2 및 R3은 각각 독립적으로 수소, C1 내지 C6 선형 알킬 기, 분지형 C3 내지 C6 알킬 기, C3 내지 C6 사이클릭 알킬 기, C2 내지 C6 알케닐 기, C3 내지 C6 알키닐 기, 및 C4 내지 C10 아릴 기로 이루어진 군으로부터 선택되고, R2와 R3은 연결되어 사이클릭 고리 구조를 형성하거나, R2와 R3는 연결되지 않아서 사이클릭 고리 구조를 형성하지 않고; n=1, 2, 3이고; m=1, 2이다.
화학식(A)의 한 가지 특정의 구체예에서, 치환체 R1은 독립적으로 선형 C1 내지 C2 알킬 기로부터 선택되고, R2는 C1 내지 C6 선형 알킬 기, 분지형 C3 내지 C6 알킬 기로부터 선택되고; R3은 수소이고; n=1 또는 2이고; m=2이다.
또 다른 구체예에서, 하나 이상의 규소 전구체는 하기 화학식(B)을 지니는 비스아미노알킬실란 화합물을 포함한다:
R1 nSi(NR2H)mH4-m-n (B)
상기 식에서, R1은 독립적으로 선형 C1 내지 C2 알킬 기로부터 선택되고, R2는 C1 내지 C6 선형 알킬 기, 분지형 C3 내지 C6 알킬 기로부터 선택되고; n=1 또는 2이고; m=2이다.
하나 이상의 구체예에서, 하나 이상의 규소 전구체는 상기 기재된 화학식을 지니며 n=3 및 m=1인 모노아미노알킬실란 화합물을 포함한다. 한 가지 특정의 구체예에서, 화학식 내의 R1은 C1 선형 알킬 기 또는 메틸을 포함한다. 추가의 예시적인 전구체는 표 1에 열거된 하기 화합물로 열거된다.
표 1. n=3 및 m=1인 화학식(A)을 지니는 모노아미노알킬실란 화합물
Figure pat00011
Figure pat00012
하나 이상의 구체예에서, 하나 이상의 규소 전구체는 상기 기재된 화학식을 지니며 n=2 및 m=1인 모노아미노알킬실란 화합물을 포함한다. 한 가지 특정의 구체예에서, 화학식 내의 R1은 C1 선형 알킬 기 또는 메틸을 포함한다. 추가의 예시적인 전구체는 표 2에 열거된 하기 화합물로 열거된다.
표 2. n=2 및 m=1인인 화학식(A)의 모노아미노알킬실란 화합물
Figure pat00013
Figure pat00014
Figure pat00015
하나 이상의 구체예에서, 하나 이상의 규소 전구체는 상기 기재된 화학식을 지니며 n=1 및 m=1인 모노아미노알킬실란 화합물을 포함한다. 한 가지 특정의 구체예에서, 화학식 내의 R1은 C1 선형 알킬 기 또는 메틸을 포함한다. 추가의 예시적인 전구체는 표 3에 열거된 하기 화합물로 열거된다.
표 3. n=1 및 m=1인 화학식(A)의 모노아미노알킬실란 화합물
*
Figure pat00016
Figure pat00017
하나 이상의 구체예에서, 하나 이상의 규소 전구체는 본원에 기재된 화학식(A) 또는 (B)을 지니며 n=1 및 m=2인 비스아미노알킬실란 화합물을 포함한다. 한 가지 특정의 구체예에서, 화학식 내의 R1은 C1 선형 알킬 또는 메틸 기를 포함한다. 화학식(A)을 지니며 n=1 및 m=2인 추가의 예시적인 전구체는 이로 한정되는 것은 아니지만, 하기 표 4에서 열거되고 있다.
표 4. 화학식(A) 또는 (B)을 지니며 n=1 및 m=2인 비스아미노알킬실란 화합물
Figure pat00018
Figure pat00019
Figure pat00020
하나 이상의 구체예에서, 하나 이상의 규소 전구체는 본원에 기재된 화학식(A) 또는 (B)을 지니며 n=2 및 m=2인 비스아미노알킬실란 화합물을 포함한다. 한 가지 특정의 구체예에서, 화학식 내의 R1은 C1 선형 알킬 또는 메틸 기를 포함한다. 화학식(A)을 지니며 n=2 및 m=2인 추가의 예시적인 전구체는, 이로 한정되는 것은 아니지만, 하기 표 5에서 열거되고 있다.
표 5. 화학식(A) 또는 (B)을 지니며 n=2 및 m=2인 비스아미노알킬실란 화합물
Figure pat00021
Figure pat00022
상기 화학식 및 명세서 전체에 걸친 화학식에서, 용어 "알킬"은 1 내지 6 개의 탄소 원자를 지니는 선형 또는 분지형 작용기를 나타낸다. 예시적인 선형 알킬 기는, 이로 한정되는 것은 아니지만, 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실 기를 포함한다. 예시적인 분지형 알킬 기는, 이로 한정되는 것은 아니지만, 이소-프로필, 이소-부틸, 2차-부틸, 3차-부틸, 이소-펜틸, 3차-펜틸, 이소-헥실, 및 neo-헥실을 포함한다. 특정의 구체예에서, 알킬 기는 이에 결합된 하나 이상의 작용기, 예컨대, 이로 한정되는 것은 아니지만, 알콕시 기, 디알킬아미노 기 또는 이들의 조합을 지닐 수 있다. 다른 구체예에서, 알킬 기는 이에 결합된 하나 이상의 작용기를 지니지 않는다. 알킬 기는 포화되거나, 대안적으로 불포화될 수 있다.
상기 화학식 및 명세서 전체에 걸친 화학식에서, 용어 "사이클릭 알킬"은 4 내지 10 개의 탄소 원자를 지니는 사이클릭 작용기를 나타낸다. 예시적인 사이클릭 알킬 기는, 이로 한정되는 것은 아니지만, 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함한다.
상기 화학식 및 명세서 전체에 걸친 화학식에서, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 지니며 2 내지 10 또는 2 내지 6 개의 탄소 원자를 지니는 기를 나타낸다.
상기 화학식 및 명세서 전체에 걸친 화학식에서, 용어 "알키닐 기" 용어 "알케닐 기"는 하나 이상의 탄소-탄소 삼중 결합을 지니며 3 내지 10, 또는 2 내지 10 또는 2 내지 6 개의 탄소 원자를 지니는 기를 나타낸다.
상기 화학식 및 명세서 전체에 걸친 화학식에서, 용어 "아릴"은 4 내지 10 개의 탄소 원자, 5 내지 10 개의 탄소 원자, 6 내지 10 개의 탄소 원자를 지니는 방향족 사이클릭 작용기를 나타낸다. 예시적인 아릴 기는, 이로 한정되는 것은 아니지만, 페닐, 벤질, 클로로벤질, 톨릴, o-자일릴, 1,2,3-트리아졸릴, 피롤릴, 및 푸라닐을 포함한다.
상기 화학식 및 명세서 전체에 걸친 화학식에서, 용어 "아미노"는 화학식 HNR2R3을 지니는 유기아민으로부터 유래된 1 내지 10 개의 탄소 원자를 지니는 유기아미노기를 나타낸다. 예시적인 아미노 기는, 이로 한정되는 것은 아니지만, 이차 아민으로부터 유래된 이차 아미노 기, 예컨대, 디메틸아미노(Me2N-), 디에틸아미노(Et2N-), 디-이소-프로필아미노(iPr2N-); 일차 아민으로부터 유래된 일차 아미노 기, 예컨대, 메틸아미노(MeNH-), 에틸아민(EtNH-), 이소-프로필아미노(iPrNH-), 2차-부틸아미노 (sBuNH-), 3차-부틸아미노(tBuNH-)을 포함한다.
특정의 구체예에서, 화학식 내의 치환체 R2와 R3은 함께 연결되어 고리 구조를 형성시킬 수 있다. 당업자라면 이해할 수 있는 바와 같이, R2와 R3이 함께 연결되어 고리를 형성시키는 경우에는, R2가 R3 에 연결되기 위한 결합을 포함할 수 있거나 그 반대일 수 있다. 이들 구체예에서, 고리 구조는 포화된 고리, 예를 들어, 사이클릭 알킬 고리일 수 있거나, 불포화된 고리, 예를 들어, 아릴 고리일 수 있다. 추가로, 이들 구체예에서, 고리 구조는 또한 하나 이상의 원자 또는 기에 의해서 치환되거나 비치환될 수 있다. 예시적인 사이클릭 고리 기는, 이로 한정되는 것은 아니지만, 피롤리디노, 피페리디노, 및 2,6-디메틸피페리디노 기를 포함한다. 그러나, 다른 구체예에서, 치환체 R2와 R3은 연결되지 않아서 고리 구조를 형성시키지 않는다.
특정의 구체예에서, 본원에서 기재된 방법을 이용하여 증착된 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드 필름은 오존, 물(H2O)(예, 탈이온수, 정제수 및/또는 증류수), 산소(O2), 산소 플라즈마, NO, N2O, NO2, 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합물을 포함한 산소-함유 공급원의 존재하에 형성된다. 산소-함유 공급원은 산소, 예컨대, 산소 플라즈마, 산소와 아르곤을 포함하는 플라즈마, 산소와 헬륨을 포함하는 플라즈마, 오존 플라즈마, 물 플라즈마, 아산화질소 플라즈마, 또는 이산화탄소 플라즈마를 포함하는 산소-함유 플라즈마 공급원을 제공하도록 동일반응계내 또는 원격지의 플라즈마 발생장치를 통해서 통과된다. 특정의 구체예에서, 산소-함유 플라즈마 공급원은 약 1 내지 약 2000 표준 입방센티미터(standard cubic centimeter: sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기 내로 도입되는 산소 공급원 가스를 포함한다. 산소-함유 플라즈마 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입될 수 있다. 한 가지 특정의 구체예에서, 산소-함유 플라즈마 공급원은 10 ℃ 또는 그 초과의 온도를 지니는 물을 포함한다. 필름이 PEALD 또는 플라즈마 강화 사이클릭 CVD 공정에 의해서 증착되는 구체예에서, 전구체 펄스는 0.01초 초과인 펄스 기간을 지닐 수 있고, 산소-함유 플라즈마 공급원은 0.01초 미만인 펄스 기간을 지닐 수 있다.
본원에서 개시되는 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징해 내기 위해서 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다. 예시적인 퍼지 가스는, 이로 한정되는 것은 아니지만, 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2), 및 이들의 혼합물을 포함한다. 특정의 구체예에서, 퍼지 가스, 예컨대, Ar은 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 반응기 내로 공급되어서, 반응기 내에 유지될 수 있는 미반응된 물질 및 어떠한 부산물을 퍼징한다.
전구체, 산소 공급원, 및/또는 다른 전구체, 공급원 가스 및/또는 시약을 공급하기 위한 각각의 단계는 이들을 공급하는 시간을 변화시킴으로써 수행되어서 생성되는 유전 필름의 화학양론적 조성을 변화시킬 수 있다.
에너지가 규소 전구체, 산소 함유 공급원 또는 이들의 조합물 중 하나 이상에 적용되어 반응을 유도하고 기판 상에 유전 필름 또는 코팅을 형성시킨다. 그러한 에너지는, 이로 한정되는 것은 아니지만, 열적 에너지, 플라즈마, 펄스식 플라즈마, 헬리콘 플라즈마(helicon plasma), 고밀도 플라즈마, 유도 결합 플라즈마(inductively coupled plasma), X-선, e-빔, 광자, 원격 플라즈마 방법 및 이들의 조합에 의해서 제공될 수 있다. 특정의 구체예에서, 이차 RF 주파수 공급원이 사용되어서 기판 표면에서의 플라즈마 특성을 변화시킬 수 있다. 증착이 플라즈마와 연루되는 구체예에서, 플라즈마-생산된 공정은 플라즈마가 반응기 내에서 직접적으로 생성되는 직접 플라즈마-생성된 공정, 또는 대안적으로는, 플라즈마가 반응기의 외부에서 생성되고 반응기 내로 공급되는 원격 플라즈마-생성된 공정을 포함할 수 있다.
하나 이상의 규소 전구체는 다양한 방식으로 반응 챔버, 예컨대, 플라즈마 강화 사이클릭 CVD 또는 PEALD 반응기 또는 배치로(batch furnace) 유형 반응기에 전달될 수 있다. 한 가지 구체예에서, 액체 전달 시스템이 사용될 수 있다. 대안적인 구체예에서, 조합된 액체 전달 및 플래시 기화 공정 유닛, 예컨대, 미국 미네소타 쇼어뷰 소재의 MSP Corporation에 의해서 제작된 터보 기화기가 사용되어 낮은 휘발성 물질이 용적형으로 전달되게 할 수 있으며, 이는 전구체의 열적 분해 없이 재현 가능한 전달 및 증착을 유도한다. 액체 전달 포뮬레이션에서, 본원에 기재된 전구체는 순수한 액체 형태로 전달될 수 있거나, 대안적으로는, 이를 포함하는 용매 포뮬레이션 또는 조성물로 사용될 수 있다. 따라서, 특정의 구체예에서, 전구체 포뮬레이션은 기판 상에 필름을 형성시키기 위한 주어진 최종 사용 적용에 바람직하고 유리할 수 있는 적합한 특징의 용매 성분(들)을 포함할 수 있다.
본원에 기재된 하나 이상의 규소 전구체가 본원에 기재된 용매 및 하나 이상의 규소 전구체를 포함하는 조성물에서 사용되는 이들 구체예의 경우에, 선택된 용매 또는 이들의 혼합물은 그러한 규소 전구체와 반응하지 않는다. 조성물 중의 중량 백분율로의 용매의 양은 0.5 중량% 내지 99.5% 또는 10 중량% 내지 75% 범위이다. 이러한 또는 다른 구체예에서, 용매는 하나 이상의 규소 전구체의 비점과 유사한 비점(b.p.)을 지니며, 용매의 비점과 하나 이상의 규소 전구체의 비점 사이의 차이는 40 ℃ 또는 그 미만, 30 ℃ 또는 그 미만, 또는 20 ℃ 또는 그 미만, 또는 10 ℃ 또는 그 미만이다. 대안적으로는, 비점 사이의 차이는 다음 종점, 즉, 0, 10, 20, 30, 또는 40 ℃ 중 어떠한 하나 이상으로부터의 범위에 있다. 비점 차이의 적합한 범위의 예는, 이로 한정되는 것은 아니지만, 0 내지 40 ℃, 20 ℃ 내지 30 ℃, 또는 10 ℃ 내지 30 ℃를 포함한다. 조성물 중의 적합한 용매의 예는, 이로 한정되는 것은 아니지만, 에테르(예컨대, 1,4-디옥산, 디부틸 에테르), 3차 아민(예컨대, 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴(예컨대, 벤조니트릴), 알칸(예컨대, 옥탄, 노난, 도데칸, 에틸사이클로헥산), 방향족 탄화수소(예컨대, 톨루엔, 메시틸렌), 3차 아미노에테르(예컨대, 비스(2-디메틸아미노에틸) 에테르), 또는 이들의 혼합물을 포함한다.
앞서 언급된 바와 같이, 하나 이상의 규소 전구체의 순도 수준은 효율적으로는 신뢰할 만한 반도체 제조에 허용될 만큼 충분히 높다. 특정의 구체예에서, 본원에 기재된 하나 이상의 규소 전구체는 2 중량% 또는 그 미만, 1 중량% 또는 그 미만, 0.5 중량% 또는 그 미만의 다음 불순물, 유리 아민, 유리 할라이드 또는 할로겐 이온, 및 더 높은 분자량의 종 중 하나 이상을 포함한다. 본원에 기재된 규소 전구체의 더 높은 순도 수준은 다음 공정, 정제, 흡착 및/또는 증류 중 하나 이상을 통해서 얻을 수 있다.
본원에 기재된 방법의 한 가지 구체예에서, 플라즈마 강화 사이클릭 증착 공정, 예컨대, PEALD-유사 또는 PEALD가 이용될 수 있으며, 여기서, 증착은 하나 이상의 규소 전구체 및 산소 공급원을 사용하여 수행된다. PEALD-유사 공정은 플라즈마 강화 사이클릭 CVD 공정으로서 정의되지만, 여전히 높은 컨포멀 실리콘 옥사이드 필름(high conformal silicon oxide film)을 제공한다.
특정의 구체예에서, 전구체 캐니스터(canister)로부터 반응 챔버로 연결되는 가스 라인이 공정 요건에 따라서 하나 이상의 온도로 가열되며, 하나 이상의 규소 전구체의 용기는 버블링을 위한 하나 이상의 온도에서 유지된다. 다른 구체예에서는, 하나 이상의 규소 전구체를 포함하는 용액이 직접적인 액체 주입을 위한 하나 이상의 온도로 유지된 기화기 내로 주입된다.
아르곤 및/또는 다른 가스의 흐름이 담체 가스로서 사용되어 전구체 펄싱(pulsing) 동안 하나 이상의 규소 전구체의 증기를 반응 챔버로 전달하는 것을 도울 수 있다. 특정의 구체예에서, 반응 챔버 공정 압력은 약 50mTorr 내지 10 Torr이다. 다른 구체예에서, 반응 챔버 공정 압력은 최대 760 Torr일 수 있다.
전형적인 PEALD 또는 PEALD-유사 공정, 예컨대, PECCVD 공정에서, 기판, 예컨대, 실리콘 옥사이드 기판은 반응 챔버 내의 가열기 스테이지 상에서 가열되고, 이는 먼저 규소 전구체에 노출되어 복합체가 기판의 표면상에 화학적으로 흡착되게 한다.
퍼지 가스, 예컨대, 아르곤은 공정 챔버로부터 미흡수된 과량의 복합체를 퍼징해 낸다. 충분한 퍼징 후에, 산소 공급원이 반응 챔버 내로 도입되어 흡수된 표면과 반응한 다음에, 또 다른 가스 퍼징이 수행되어 챔버로부터 반응 부산물을 제거한다. 공정 사이클은 요망되는 두께의 필름이 달성되도록 반복될 수 있다. 일부의 경우에, 펌핑(pumping)이 불활성 가스에 의한 퍼징을 대신하거나, 이들 둘 모두가 이용되어 미반응된 규소 전구체를 제거할 수 있다.
이러한 또는 다른 구체예에서, 본원에 기재된 방법들의 단계들은 다양한 순서로 수행될 수 있거나, 순차적으로 수행될 수 있거나, 동시에 수행(예, 적어도 또 다른 단계의 일부 동안)될 수 있거나, 이들의 어떠한 조합으로 수행될 수 있는 것으로 이해된다. 전구체 및 산소 공급원 가스를 공급하는 각각의 단계는 이들을 공급하기 위한 시간을 변화시킴으로써 수행되어서 생성되는 유전 필름의 화학양론적 조성을 변화시킬 수 있다. 또한, 전구체 또는 산화제 단계 후의 퍼지 시간은
Figure pat00023
0.1 초로 최소화되어서 처리량이 개선되게 할 수 있다.
기판 상에 고품질의 실리콘 옥사이드 필름을 증착시키기 위한 본원에 기재된 방법의 한 가지 특정의 구체예는, 다음 단계,
a. 기판을 반응기에 제공하는 단계;
b. 반응기 내로 본원에 기재된 화학식(A) 또는 (B)을 지니는 하나 이상의 규소 전구체를 도입하는 단계;
c. 반응기를 퍼지 가스로 퍼징하여 미흡수된 전구체의 일부 또는 전부를 제거하는 단계;
d. 산소-함유 플라즈마 공급원을 반응기 내로 도입하는 단계 및
e. 반응기를 퍼지 가스로 퍼징하여 미반응된 산소 공급원의 일부 또는 전부를 제거하는 단계를 포함하고,
여기서, 단계 b 내지 e는 요망되는 두께의 실리콘 옥사이드 필름이 증착될 때까지 반복된다.
본원에 개시된 또 다른 방법은 모노아미노알킬실란 화합물 또는 비스아미노알킬실란 화합물 및 산소 공급원을 사용하여 탄소 도핑된 실리콘 옥사이드 필름을 형성시킨다.
또 다른 추가의 예시적인 공정은 다음과 같이 기재된다:
a. 기판을 반응기에 제공하는 단계,
b. 산소 공급원의 동시-흐름과 함께 또는 그러한 동시 흐름 없이 본원에 기재된 화학식(A) 또는 (B)을 지니는 모노아미노알킬실란 화합물 또는 비스아미노알킬실란 화합물로부터 생성된 증기를 접촉시켜서 가열된 기판상에 전구체를 화학적으로 흡수시키는 단계,
c. 어떠한 미흡수된 전구체를 퍼징해 내는 단계,
d. 산소 공급원을 가열된 기판상에 도입하여 흡수된 전구체와 반응시키는 단계, 및
e. 어떠한 미반응된 산소 공급원을 퍼징해 내는 단계;
여기서, 단계 b 내지 e는 요망되는 두께가 달성될 때까지 반복됨.
다양한 상업적 ALD 반응기, 예컨대, 싱글 웨이퍼, 반-배치, 배치 노 또는 롤 투 롤 반응기(single wafer, semi-batch, batch furnace or roll to roll reactor)가 고형의 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드를 증착시키기 위해서 사용될 수 있다.
본원에 기재된 방법을 위한 공정 온도는 종점으로서 다음 온도, 0, 25, 50, 75, 100, 125, 150, 175, 200, 225, 250, 275, 및 300 ℃ 중 하나 이상을 이용한다. 예시적인 온도 범위는, 이로 한정되는 것은 아니지만, 다음 온도, 약 0 ℃ 내지 약 300 ℃; 또는 약 25 ℃ 내지 약 300 ℃; 또는 약 50 ℃ 내지 약 290 ℃; 또는 약 25 ℃ 내지 약 250 ℃, 또는 약 25 ℃ 내지 약 200 ℃를 포함한다.
앞서 언급된 바와 같이, 본원에 기재된 방법은 기판의 일부 또는 전부 상에 실리콘-함유 필름을 증착시키기 위해서 이용될 수 있다. 적합한 기판의 예는, 이로 한정되는 것은 아니지만, 규소, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카르보니트라이드, 수소화된 실리콘 카르보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트, 게르마늄, 게르마늄-함유, 보론-함유, GA/As, 가요성 기판, 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예컨대, 구리 및 알루미늄, 및 확산 장벽 층, 예컨대, 이로 한정되는 것은 아니지만, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN을 포함한다. 그러한 필름은 다양한 후속 가공 단계, 예컨대, 화학적 기계적 평탄화(chemical mechanical planarization: CMP) 및 이방성 에칭 공정과 상용성이다.
증착된 필름은, 이로 한정되는 것은 아니지만, 컴퓨터 칩, 광학 장치, 자기 정보 저장장치, 지지 물질 또는 기판 상의 코팅, 미세전자기계 시스템(microelectromechanical systems: MEMS), 나노전자기계 시스템(nanoelectromechanical systems), 박막 트랜지스터(thin film transistor: TFT), 발광 다이오드(light emitting diodes: LED), 유기 발광 다이오드(organic light emitting diodes: OLED), IGZO, 및 액정 디스플레이(liquid crystal displays: LCD)를 포함하는 용도를 지닌다. 고형의 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드의 잠재적 용도는, 이로 한정되는 것은 아니지만, 얕은 트렌치 절연(shallow trench insulation), 층간 유전(inter layer dielectric), 패시배이션 층(passivation layer), 에치 스탑 층(etch stop layer), 듀얼 스페이서의 부분(part of a dual spacer), 및 패턴화를 위한 희생층(sacrificial layer for patterning)을 포함한다.
본원에 기재된 방법은 고품질의 실리콘 옥사이드 또는 탄소-도핑된 실리콘 옥사이드 필름을 제공한다. 용어 "고품질"은 다음 특성, 즉, 약 2.1 g/cc 또는 그 초과의 밀도; 1:100의 묽은 HF(dHF) 산의 용액에서 측정하는 경우에
Figure pat00024
2.5
Figure pat00025
/s 미만인 습윤 에칭 속도; 6 MV/cm까지의 약 1 또는 그 초과의 e-8 A/cm2의 전기적 누설; SIMS에 의해서 측정하는 경우에 약 5의 e20 at/cc 또는 그 미만의 수소 불순물; 및 이들의 조합 중 하나 이상을 나타내는 필름을 의미한다. 에칭 속도와 관련하여, 열적 성장 실리콘 옥사이드 필름은 1:100 dHF 중에서 0.5
Figure pat00026
/s의 에칭 속도를 지닌다.
특정의 구체예에서, 본원에 기재된 화학식(A) 또는 (B)을 지니는 하나 이상의 규소 전구체는 고체이고 비-다공성이거나 실질적으로 기공이 없는 실리콘 옥사이드 필름을 형성시키기 위해서 사용될 수 있다.
하기 실시예는 본원에 기재된 실리콘 옥사이드 필름을 증착시키기 위한 방법을 예시하고 있으며, 어떠한 방식으로 든 그러한 방법을 제한하고자 하는 것이 아니다.
실시예
달리 언급되지 않는 한, 하기 실시예에서, 모든 플라즈마 강화 ALD(PEALD) 증착은 전극 사이에 3.5 밀리미터(mm)의 일정한 공간이 있는 27.1 MHz 직접 플라즈마 기능을 구비한 상업적 스타일의 측면 흐름 반응기(ASM International에 의해서 제작된 300 mm PEALD 도구) 상에서 수행되었다. 디자인은 독립적인 압력 설정을 지니는 외부 및 내부 챔버를 이용한다. 내부 챔버는 모든 반응물 가스(예, 규소 전구체, Ar)가 매니폴드에서 혼합되고 공정 반응기로 전달되는 증착 반응기이다. 아르곤(Ar) 가스는 외부 챔버 내의 반응기 압력을 유지시키기 위해서 사용된다. 모든 전구체는 스테인리스 강 버블러(stainless steel bubbler) 내에 실온으로 유지되고, 전형적으로는 200 표준 입방 센티미터(sccm) 흐름으로 설정된 Ar 담체 가스와 함께 챔버로 전달되는 액체이다. 전구체 버블러는 첫 번째 한 번 또는 두 번의 가동 후에 칭량되었고, 소비량은 가동 당 약 1.6-2.1 그램(g) 또는 가동 당 약 0.01몰(mol)이었다.
이러한 연구에서 보고된 모든 증착은 8-12 Ohm-cm의 본래의 옥사이드 함유 규소(Si) 기판 상에서 수행되었다. Rudolph FOCUS Ellipsometer FE-IVD (Rotating Compensator Ellipsometer)를 사용하여 막 두께 및 굴절률(RI)을 측정하였다. 제시된 % 두께 불균일도는 다음 식, ((최대 두께 - 최소 두께)/2*평균 두께)*100으로부터 계산되었다. 모든 밀도 측정은 X-선 반사율(X-ray reflectivity: XRR)로 수행되었다. XRR은 저해상도의 광학기기를 사용하여 모든 샘플에 대해서 수행하였다. 모든 샘플은 0.001o의 단계 크기 및 1초/단계의 카운트 타임(count time)을 이용하여 범위 0.200
Figure pat00027
2
Figure pat00028
Figure pat00029
0.650o에 걸쳐서 스캐닝되었다. 데이터는 Si로서 정의된 기판과 함께 단층 또는 다층 모델을 이용하여 분석되었다. 실시콘 옥사이드 층의 질량 밀도는 화학적 조성물로서의 SiO2를 사용하여 계산되었다. AFM은 Nanoscope IIIa 제어기에 인터페이스된 Digital Instruments Dimension 3000을 사용하여 수행되었다. 모든 측정은 싱글 캔틸레버 에칭된 규소 SPM 프로브(single cantilever etched silicon SPM probe)(Bruker, NCHV)에 의해서 탭핑 모드(tapping mode)(0.6 - 0.75 Hz 주사율)에서 얻었다. 이용된 주사 면적은 2.5 μm x 2.5 μm이었다. 표면 형태에서의 차이를 이해하기 위해서 그리고 표면 조도를 계산하기 위해서 지형 이미지(topographic image)를 포착하였다.
습윤 에칭 속도(WER)를 탈이온수 중의 49% 불화수소산(HF)의 1% 용액을 사용하여 수행하였다. 열적 옥사이드 웨이퍼가 용액 농도를 확인하기 위한 각각의 배치에 대한 기준으로서 사용되었다. 1:99 dHF 수용액에 대한 전형적인 열적 옥사이드 웨이퍼 습윤 에칭 속도는 0.5
Figure pat00030
/s이다. 에칭 전후의 필름 두께를 습윤 에칭 속도를 계산하기 위해서 이용하였다. 규소 캐리어 웨이퍼(silicon carrier wafer)를 사용하여 패턴화된 규소 웨이퍼 상에 100 ℃에서 증착된 실리콘 옥사이드 필름에 대해서 컨포멀리티 시험을 수행하였다. 기판 상에 증착된 필름을 전계 방출 주사 전자 현미경(field emission scanning electron microscopy: FESEM) Hitachi SU 8010 FESEM을 사용하여 측정하였다. 샘플을 횡단 홀더(cross-sectional holder)에 탑재시키고, 2 kV 가속 전압으로 작동하는 SEM을 이용하여 검사하였다. 샘플 횡단면의 실리콘 옥사이드 두께의 측정치를 상부, 측벽, 및 트렌치의 하부에서 얻었다.
실시예 1. 비스(2차-부틸아미노)메틸실란의 합성.
헥산(200 mL) 중의 디클로로메틸실란(110 g, 0.956 mol)의 용액을 첨가 깔대기를 통해서 1 시간에 걸쳐서 헥산(1.5 L) 중의 2차-부틸아민(308 g, 4.21 mol)의 교반 용액에 적가하였다. 생성되는 백색 슬러리를 실온으로 가온하고, 밤새 교반하였다. 고형물을 유리 프릿(glass frit) 상에서 진공 여과에 의해서 제거하고, 헥산으로 2회 세척하였다. 합한 여액을 1 기압(atm)에서 증류시켜 대부분의 용매 및 과량의 아민을 제거하였다. 이어서, 미정제 생성물을 진공 증류(92 ℃/30torr)에 의해서 정제하여 111 g의 비스(2차-부틸아미노)메틸실란(비점 = 192 ℃ 가스 크로마토그래피-질량 분광분석(GC-MS) 피크: 188 (M+), 173 (M-15), 159, 143, 129, 114, 100, 86, 72)를 얻었다. 약 2.0g의 비스(2차-부틸아미노)메틸실란을 질소 글로브 박스(nitrogen glove box) 내의 3개의 스테인리드강 튜브 각각 내로 넣었다. 튜브를 밀봉하고, 60 ℃의 오븐에 4일 동안 넣어 두었다. 샘플은 0.046%의 검정 드롭(assay drop)을 나타내는 것으로 분석되어서, 비스(2차-부틸아미노)메틸실란이 안정하고 화학적 기상 증착 공정을 위한 전구체로서 효능적으로 사용될 수 있음을 입증하고 있다.
실시예 2. 비스(이소-프로필아미노)메틸실란의 합성.
헥산(200 mL) 중의 디클로로메틸실란(109 g, 0.0.948 mol)의 용액을 첨가 깔대기를 통해서 1 시간에 걸쳐서 헥산(1.5 L) 중의 이소-프로필아민 (243 g, 4.11 mol)의 교반 용액에 적가하였다. 생성되는 백색 슬러리를 실온으로 가온하고, 밤새 교반하였다. 고형물을 유리 프릿 상에서 진공 여과에 의해서 제거하고, 헥산으로 2회 세척하였다. 합한 여액을 1 기압(atm)에서 증류시켜 대부분의 용매 및 과량의 아민을 제거하였다. 이어서, 미정제 생성물을 진공 증류(70 ℃/53torr)에 의해서 정제하여 93 g의 비스(이소-프로필아미노)메틸실란(비점 = 150 ℃; GC-MS 피크: 160 (M+), 145 (M-15), 129, 117, 100, 86, 72)를 얻었다. 약 1.5g의 비스(이소-프로필아미노)메틸실란을 질소 글로브 박스(nitrogen glove box) 내의 2개의 스테인리드강 튜브 각각 내로 넣었다. 튜브를 밀봉하고, 80 ℃의 오븐에 3일 동안 넣어 두었다. 샘플은 0.14%의 검정 드롭을 나타내는 것으로 분석되어서, 비스(이소-프로필아미노)메틸실란이 안정하고 화학적 기상 증착 공정을 위한 전구체로서 효능적으로 사용될 수 있음을 입증하고 있다.
실시예 3. 비스(디에틸아미노)메틸실란의 합성.
헥산(200 mL) 중의 디클로로메틸실란(100 g, 0.869 mol)의 용액을 첨가 깔대기를 통해서 1 시간에 걸쳐서 헥산(1.5 L) 중의 이소-프로필아민디에틸아민(280 g, 3.83 mol)의 교반 용액에 적가하였다. 생성되는 백색 슬러리를 실온으로 가온하고, 밤새 교반하였다. 고형물을 유리 프릿 상에서 진공 여과에 의해서 제거하고, 헥산으로 2회 세척하였다. 합한 여액을 1 atm에서 증류시켜 대부분의 용매 및 과량의 아민을 제거하였다. 이어서, 미정제 생성물을 진공 증류(78 ℃/16torr)에 의해서 정제하여 103 g의 비스(디에틸아미노)메틸실란 (비점 = 189 ℃; GC-MS 피크: 188 (M+), 173 (M-15), 159, 145, 129, 116, 102, 87, 72)를 얻었다.
비교예 4: 비스(디에틸아미노)실란 (BDEAS)을 이용한 PEALD 실리콘 옥사이드
표 6에 제공된 파라이터 하에 Si 전구체로서의 BDEAS(이는 어떠한 Si-Me 기를 지니지 않음) 및 O2에 의해서 증착을 수행하였다. BDEAS는 아르곤(Ar) 담체 가스에 의해서 반응기 내로 전달되었다.
표 6. BDEAS을 이용한 실리콘 옥사이드에 대한 PEALD 파라미터
Figure pat00031
계측을 위해서 요망되는 두께의 실리콘 옥사이드 필름을 얻기 위한 단계 b 내지 e를 500회 반복하였다. 사이클 당 성장률은 1초의 전구체 펄스에 대한 BDEAS의 경우에 1.25
Figure pat00032
/사이클이었다. 필름 굴절률(RI)은 1.46이었다. 산소 플라즈마가 없는 동일한 공정 조건을 이용한 증착은 관찰되지 않아서, 흡수된 전구체와 산소 사이의 반응이 없음을 입증하고 있다.
실시예 5: 디메틸아미노트리메틸실란(DMATMS)을 이용한 PEALD 실리콘 옥사이드
규소-함유 전구체 디메틸아미노트리메틸실란(DMATMS)을 주위 온도(25 ℃)에서 증기 흡인에 의해서 반응기 내로 전달하였다. 용기에 0.005" 직경의 오리피스(orifice)를 장착하여 전구체 흐름을 제한하였다. 공정 파라미터는 Si 전구체 펄스가 0.4 내지 4초 범위임을 제외하고는 표 6에 기재된 것과 유사하다. 필름 성장률은, 자체-제한 ALD 성장 거동을 확인시키는, 상이한 전구체 펄스 시간(0.5 내지 4초 범위)에 대해서 약 0.8
Figure pat00033
/사이클인 것으로 측정되었다. 본 실시예는 실행 가능한 필름이 DMATMS 전구체의 사용으로 PEALD에 의해서 생성되고 있음을 나타내고 있다. DMATMS는 BDEAS보다 낮은 비점 및 높은 증기압을 지녀서 그것을 전달하기 쉽게 한다.
실시예 6: 높은 플라즈마 파워하에 디메틸아미노트리메틸실란 (DMATMS)을 사용한 PEALD 실리콘 옥사이드
규소-함유 전구체 디메틸아미노트리메틸실란(DMATMS)을 주위 온도(25 ℃)에서 증기 흡인에 의해서 전달하였다. 용기에 0.005" 직경의 오리피스를 장착하여 전구체 흐름을 제한하였다. 표 7은 증착 단계 및 공정 파라미터를 제공한다.
표 7. DMATMS를 사용한 실리콘 옥사이드에 대한 PEALD 파라미터
Figure pat00034
생성되는 필름 특성이 표 8에 제공된다. 증착된 필름에 대한 굴절률(RI) 및 두께는 필름의 엘립소미터(ellipsometer)를 사용하여 측정되었다. 필름 구조 및 조성은 FTIR 및 XPS를 이용하여 분석되었지만, 밀도는 X-레이 반사율(X-ray reflectivity: XRR)에 의해서 측정되었다. 표 8에 예시된 바와 같이, 고품질 실리콘 옥사이드 필름이 관찰되었다. 낮은 WER이 관찰되었다(열적 의 WER은 유사한 조건하에 0.43
Figure pat00035
/s이다). 도 1 및 도 2는 각각 실시예 6에서 증착된 필름의 FTIR 스펙트럼 및 누설 특성을 제공한다.
표 8. DMATMS을 사용하여 증착된 실리콘 옥사이드 필름의 필름 특징
Figure pat00036
실시예 7: 더 긴 플라즈마 펄스 시간을 이용한 디메틸아미노트리메틸실란 (DMATMS)에 의한 실리콘 옥사이드 필름의 PEALD
공정 파라미터는 표 7에 제공된 것과 유사하고, Si 전구체 펄스는 5초이며, 플라즈마 파워는 425 내지 800W 범위이고, 플라즈마 시간은 8초이다. 모든 증착된 필름은 높은 밀도 및 낮은 WER; 낮은 표면 조도(계기 노이즈 수준에서) 및 낮은 SIMS 불순물 함량을 지녔다. 실온에서 증착된 필름은 약간 더 높은 SIMS 탄소 함량을 나타냈다. 사이클 당 성장율(Growth per cycle: GPC)은 모든 이들 필름의 경우에 0.8
Figure pat00037
/사이클이었다. 실험이 단계 b에서 5초의 전구체 펄스 대신 2 초의 전구체 펄스로 반복된 경우에 GPC는 변하지 않았다.
표 9A는 생성되는 실리콘 옥사이드 필름의 특징을 요약하고 있으며, 표 9B는 SIMS 결과를 요약하고 있다.
표 9A. DMATMS를 사용한 실리콘 옥사이드의 필름 특징
Figure pat00038
표 9B. DMATMS를 사용한 실리콘 옥사이드의 조성
Figure pat00039
비교예 8: BDEAS 전구체를 사용한 실리콘 옥사이드 필름의 증착
일련의 실리콘 옥사이드 필름을 표 10에 제공된 공정 단계 및 100 sccm의 연속적인 산화제 흐름을 이용하여 BDEAS 전구체로 증착시켰다. 표 11은 4 가지의 상이한 PEALD 공정을 제공한다. 공정 번호 1 및 2는 각각 실온(예, 약 25 ℃) 및 100 ℃의 기판으로 수행되는 표 10에 제공된 프로세스 레코드(process of record: POR) 레시피이다. 공정 번호 3 및 4는 100 ℃의 기판 온도에서 수행되지만 상이한 전구체 펄스 시간 및 플라즈마 파워를 이용하는 POR 레시피의 변화이다. 생성되는 필름은 이들의 두께, 사이클 당 성장률, 불균일도(%), 굴절률, 습윤 에칭 속도(WER), 및 AFM 계기를 사용하여 측정된 나노미터로의 제곱 평균 제곱근 표면 조도(root mean square surface roughness: RMS)에 특징이 있다. 4 개의 증착의 특성화 결과가 표 12에 요약되어 있다.
표 12를 참조하면, BDEAS 증착된 필름은 우수한 GPC (
Figure pat00040
1
Figure pat00041
/사이클), 우수한 불균일도(
Figure pat00042
1 % 불균일도), 우수한 밀도(
Figure pat00043
2.1 g/cc),및 낮은 RMS 조도(0.2 nm의 AFM 계기 검출 한계에서). 필름은 고품질 옥사이드 적용에 적합하다.
표 10: 3 개의 전구체의 비교를 위해서 사용된 프로세스 레코드(POR)를 위한 증착 단계
Figure pat00044
표 11: 프로세스 레코드 (POR) 증착 조건
Figure pat00045
표 12: BDEAS 증착의 결과
Figure pat00046
실시예 9: 비스(디에틸아미노)메틸실란 (BDEAMS)를 사용한 실리콘 옥사이드 필름의 PEALD
일련의 SiO2 필름을 BDEAMS 전구체로 증착시켰다. SiO2 필름을 증착시키기 위해서 사용된 프로세스 레코드(POR) 레시피 단계는 표 11에 열거되어 있다. 그러한 레시피는 100 sccm의 연속적인 산화제 흐름을 사용한다. 표 12에서와 같이, 4 개의 상이한 PEALD 공정을 수행하였다. 4 가지 증착에 대한 결과가 표 13에 요약되어 잇다. 얻은 필름은 우수한 GPC
Figure pat00047
1
Figure pat00048
/사이클), 및 우수한 균일도(
Figure pat00049
2 % 불균일도)를 지녔다. 필름은 저온 고품질 옥사이드 적용에 적합하다.
표 13: BDEAMS 증착의 결과
Figure pat00050
실시예 10: 비스(2차-부틸아미노)메틸실란 (BSMAMS)을 사용한 PEALD 실리콘 옥사이드
일련의 실리콘 옥사이드 필름을 BSBAMS 전구체로 증착시켰다. 실리콘 옥사이드 필름을 증착시키기 위해서 사용된 프로세스 레코드(POR) 레시피 단계는 표 11에 열거되어 있다. 표 12에서와 같이, 4 개의 상이한 PEALD 공정을 수행하였다. 4 가지 증착에 대한 결과가 표 14에 요약되어 있다. 얻은 필름은 GPC (
Figure pat00051
1
Figure pat00052
/사이클), 우수한 균일도(
Figure pat00053
1 % 불균일도), 우수한 밀도(
Figure pat00054
2.1 g/cc),및 낮은 RMS 조도(0.2 nm의 AFM 계기 검출 한계에서)를 지녔다. 필름은 저온 고품질 옥사이드 적용에 적합하다. 도 3에 도시된 바와 같이, 두 개의 N-H 기를 지니는 BSBAMS는 모든 공정 조건 하에 BDEAMS보다 훨씬 더 높은 GPC를 지녀서, 규소 원자가 유사한 환경, 즉, 두 개의 Si-N 결합, 하나의 Si-Me 결합 및 하나의 Si-H 결합을 지니는 규소 전구체에 대해서 일차 아미노가 이차 아미노보다 반응성임을 제시한다.
표 14: BSBAMS 증착의 결과
Figure pat00055
비교예 11: 비스(디에틸아미노)실란(BDEAS)을 사용한 실리콘 옥사이드 필름의 PEALD
실리콘 옥사이드 필름을 표 12의 공정 2를 이용하여 BDEAS으로 블랭킷 Si 쿠폰(blanket Si coupon) 및 패턴화된 Si 쿠폰 상에 증착시켰다. 얻은 BDEAS 필름은 우수한 GPC (1.31
Figure pat00056
/사이클)을 지녔다. 필름의 커포멀리티는 1:20 가로세로 비 구조에 대해서 각각 상부, 측벽 및 바닥을 따라 121, 127 및 127 nm의 두께 측정값으로 매우 우수하였다.
실시예 12: 비스(2차-부틸아미노)메틸실란(BSBAMS)을 사용한 PEALD 실리콘 옥사이드의 단차 피복
실리콘 옥사이드 필름을 표 12의 공정 2를 이용하여 BSBAMS 전구체로 블랭킷 Si 쿠폰 및 패턴화된 Si 쿠폰 상에 증착시켰다. 얻은 BSBAMS 필름은 우수한 GPC (1.27
Figure pat00057
/사이클)을 지녔다. 필름의 커포멀리티는 1:20 가로세로 비 구조에 대해서 각각 상부, 측벽 및 바닥을 따라 119, 123 및 111 nm의 두께 측정값으로 매우 우수하였다.

Claims (20)

  1. 규소 및 옥사이드를 포함하는 필름을 기판상에 증착시키기 위한 방법으로서,
    a) 기판을 반응기에 제공하는 단계;
    b) 하기 화학식(A)을 지니는 화합물을 포함하는 하나 이상의 규소 전구체를 반응기 내로 도입하는 단계;
    c) 반응기를 퍼지 가스로 퍼징하는 단계;
    d) 산소-함유 공급원을 반응기 내로 도입하는 단계; 및
    e) 반응기를 퍼지 가스로 퍼징하는 단계를 포함하고;
    단계 b 내지 단계 e가 요망되는 두께의 필름이 증착될 때까지 반복되고; 그러한 방법이 약 25 ℃ 내지 300 ℃ 범위의 하나 이상의 온도에서 수행되는 방법:
    R1 nSi(NR2R3)mH4 -m- n (A)
    상기 식에서, R1은 독립적으로 선형 C1 내지 C6 알킬 기, 분지형 C3 내지 C6 알킬 기, C3 내지 C6 사이클릭 알킬 기, C2 내지 C6 알케닐 기, C3 내지 C6 알키닐 기, 및 C4 내지 C10 아릴 기로부터 선택되고; R2 및 R3은 각각 독립적으로 수소, C1 내지 C6 선형 알킬 기, 분지형 C3 내지 C6 알킬 기, C3 내지 C6 사이클릭 알킬 기, C2 내지 C6 알케닐 기, C3 내지 C6 알키닐 기, 및 C4 내지 C10 아릴 기로 이루어진 군으로부터 선택되고, 화학식(A) 내의 R2와 R3은 연결되어 사이클릭 고리 구조를 형성하는 R2와 R3 및 연결되지 않아서 사이클릭 고리 구조를 형성하지 않는 R2와 R3으로부터 선택되고; n=1, 2, 3이고; m=1, 2이다.
  2. 제 1항에 있어서, 화합물이 디메틸아미노트리메틸실란, 디메틸아미노트리메틸실란, 디-이소-프로필아미노트리메틸실란, 피페리디노트리메틸실란, 2,6-디메틸피페리디노트리메틸실란, 디-2차-부틸아미노트리메틸실란, 이소-프로필-2차-부틸아미노트리메틸실란, 3차-부틸아미노트리메틸실란, 이소-프로필아미노트리메틸실란, 디에틸아미노디메틸실란, 디메틸아미노디메틸실란, 디-이소-프로필아미노디메틸실란, 피페리디노디메틸실란, 2,6-디메틸피페리디노디메틸실란, 디-2차-부틸아미노디메틸실란, 이소-프로필-2차-부틸아미노디메틸실란, 3차-부틸아미노디메틸실란, 이소-프로필아미노디메틸실란, 3차-펜틸아미노디메틸아미노실란, 디메틸아미노메틸실란, 디-이소-프로필아미노메틸실란, 이소-프로필-2차-부틸아미노메틸실란, 2,6-디메틸피페리디노메틸실란, 디-2차-부틸아미노메틸실란, 비스(디메틸아미노)메틸실란, 비스(디에틸아미노)메틸실란, 비스(디-이소-프로필아미노)메틸실란, 비스(이소-프로필-2차-부틸아미노)메틸실란, 비스(2,6-디메틸피페리디노)메틸실란, 비스(이소-프로필아미노)메틸실란, 비스(3차-부틸아미노)메틸실란, 비스(2차-부틸아미노)메틸실란, 비스(3차-펜틸아미노)메틸실란, 비스(사이클로헥실아미노)메틸실란, 비스(이소-프로필아미노)디메틸실란, 비스(이소-부틸아미노)디메틸실란, 비스(2차-부틸아미노)디메틸실란, 비스(3차-부틸아미노)디메틸실란, 비스(3차-펜틸아미노)디메틸실란, 비스(사이클로헥실아미노)디메틸실란, 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  3. 제 1항에 있어서, 산소-함유 공급원이 오존, 산소 플라즈마, 산소와 아르곤을 포함하는 플라즈마, 산소와 헬륨을 포함하는 플라즈마, 오존 플라즈마, 물 플라즈마, 아산화질소 플라즈마, 이산화탄소 플라즈마, 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  4. 제 1항에 있어서, 산소-함유 공급원이 플라즈마를 포함하는 방법.
  5. 제 4항에 있어서, 플라즈마가 동일반응계내에서 생성되는 방법.
  6. 제 4항에 있어서, 플라즈마가 원격지에서 생성되는 방법.
  7. 제 4항에 있어서, 필름의 밀도가 약 2.1g/cc 또는 그 초과인 방법.
  8. 제 1항에 있어서, 필름이 탄소를 추가로 포함하는 방법.
  9. 제 8항에 있어서, 필름의 밀도가 약 1.8g/cc 또는 그 초과인 방법.
  10. 제 8항에 있어서, 필름의 탄소 함량이, x-선 분광분석(x-ray photospectroscopy)에 의해서 측정하는 경우, 0.5 원자량 퍼센트(at.%) 또는 그 초과인 방법.
  11. 기판 상에 실리콘 옥사이드 필름 및 탄소 도핑된 실리콘 옥사이드 필름으로부터 선택된 필름을 증착시키는 방법으로서,
    a. 기판을 반응기에 제공하는 단계;
    b. 하기 화학식을 지니는 화합물을 포함하는 하나 이상의 규소 전구체를 반응기 내로 도입하는 단계;
    c. 반응기를 퍼지 가스로 퍼징하는 단계;
    d. 산소-함유 공급원을 반응기 내로 도입하는 단계; 및
    e. 반응기를 퍼지 가스로 퍼징하는 단계를 포함하고;
    단계 b 내지 e가 요망되는 두께의 필름이 증착될 때까지 반복되고; 방법이 약 25 ℃ 내지 약 300 ℃ 범위의 하나 이상의 온도에서 수행되는 방법:
    R1 nSi(NR2R3)mH4-m-n
    상기 식에서,
    R1은 독립적으로 선형 C1 내지 C2 알킬 기로부터 선택되고, R2는 C1 내지 C6 선형 알킬 기, 분지형 C3 내지 C6 알킬 기로부터 선택되고; R3은 수소이고; n=1 또는 2이고; m=2이다.
  12. 제 11항에 있어서, 하나 이상의 규소 전구체가 비스(이소-프로필아미노)메틸실란, 비스(이소-부틸아미노)메틸실란, 비스(2차-부틸아미노)메틸실란, 비스(3차-부틸아미노)메틸실란, 비스(3차-펜틸아미노)메틸실란, 비스(사이클로헥실아미노)메틸실란, 비스(이소-프로필아미노)디메틸실란, 비스(이소-부틸아미노)디메틸실란, 비스(2차-부틸아미노)디메틸실란, 비스(3차-부틸아미노)디메틸실란, 비스(3차-펜틸아미노)디메틸실란, 및 비스(사이클로헥실아미노)디메틸실란으로 이루어진 군으로부터 선택되는 방법.
  13. 제 11항에 있어서, 산소-함유 공급원이 오존, 산소 플라즈마, 산소와 아르곤을 포함하는 플라즈마, 산소와 헬륨을 포함하는 플라즈마, 오존 플라즈마, 물 플라즈마, 아산화질소 플라즈마, 이산화탄소 플라즈마, 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  14. 제 11항에 있어서, 산소-함유 공급원이 플라즈마를 포함하는 방법.
  15. 제 14항에 있어서, 필름의 밀도가 약 2.1g/cc 또는 그 초과인 방법.
  16. 제 14항에 있어서, 플라즈마가 동일반응계내에서 생성되는 방법.
  17. 제 14항에 있어서, 플라즈마가 원격지에서 생성되는 방법.
  18. 증기 증착 공정을 이용하여 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드 필름으로부터 선택된 필름을 증착시키기 위한 조성물로서, 하기 화학식(B)을 지니는 화합물을 포함하는 조성물:
    R1 nSi(NR2H)mH4-m-n (B)
    상기 식에서,
    R1은 독립적으로 선형 C1 내지 C2 알킬 기로부터 선택되고, R2 는 독립적으로 C1 내지 C6 선형 알킬 기 및 분지형 C3 내지 C6 알킬 기로부터 선택되고; n=1 또는 2이고; m=2이다.
  19. 제 18항에 있어서, 화합물이 비스(이소-프로필아미노)메틸실란, 비스(이소-부틸아미노)메틸실란, 비스(2차-부틸아미노)메틸실란, 비스(3차-부틸아미노)메틸실란, 비스(3차-펜틸아미노)메틸실란, 비스(사이클로헥실아미노)메틸실란, 비스(이소-프로필아미노)디메틸실란, 비스(이소-부틸아미노)디메틸실란, 비스(2차-부틸아미노)디메틸실란, 비스(3차-부틸아미노)디메틸실란, 비스(3차-펜틸아미노)디메틸실란, 비스(사이클로헥실아미노)디메틸실란, 및 이들의 조합물로 이루어진 군으로부터 선택되는 조성물.
  20. 규소 및 옥사이드를 포함하는 필름을 증착시키기 위한 규소 전구체로서, 비스(2차-부틸아미노)메틸실란, 비스(3차-부틸아미노)메틸실란, 및 비스(사이클로헥실아미노)메틸실란으로 이루어진 군으로부터 선택된 하나 이상의 전구체를 포함하는 규소 전구체.
KR1020170115958A 2014-03-26 2017-09-11 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법 KR20170106272A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461970602P 2014-03-26 2014-03-26
US61/970,602 2014-03-26
US14/661,652 2015-03-18
US14/661,652 US20150275355A1 (en) 2014-03-26 2015-03-18 Compositions and methods for the deposition of silicon oxide films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150041621A Division KR20150111874A (ko) 2014-03-26 2015-03-25 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020180066864A Division KR102242461B1 (ko) 2014-03-26 2018-06-11 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법

Publications (1)

Publication Number Publication Date
KR20170106272A true KR20170106272A (ko) 2017-09-20

Family

ID=52736944

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020150041621A KR20150111874A (ko) 2014-03-26 2015-03-25 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법
KR1020170115958A KR20170106272A (ko) 2014-03-26 2017-09-11 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법
KR1020180066864A KR102242461B1 (ko) 2014-03-26 2018-06-11 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150041621A KR20150111874A (ko) 2014-03-26 2015-03-25 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020180066864A KR102242461B1 (ko) 2014-03-26 2018-06-11 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법

Country Status (7)

Country Link
US (1) US20150275355A1 (ko)
EP (1) EP2924143A1 (ko)
JP (1) JP6219870B2 (ko)
KR (3) KR20150111874A (ko)
CN (2) CN113088927A (ko)
SG (1) SG10201502280PA (ko)
TW (2) TWI557259B (ko)

Families Citing this family (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR20180087450A (ko) * 2015-12-21 2018-08-01 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
CA2920646A1 (en) * 2016-02-12 2017-08-12 Seastar Chemicals Inc. Organometallic compound and method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) * 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102251040B1 (ko) 2017-10-24 2021-05-12 (주)덕산테코피아 아미노실란 전구체 화합물 및 이를 사용하는 박막 형성 방법
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190105970A (ko) 2018-03-07 2019-09-18 (주)덕산테코피아 SiC 전구체 화합물 및 이를 사용하는 박막 형성 방법
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102173384B1 (ko) * 2018-03-30 2020-11-03 에스케이트리켐 주식회사 SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20210054035A (ko) * 2018-10-04 2021-05-12 버슘머트리얼즈 유에스, 엘엘씨 고품질 실리콘 옥사이드 박막의 고온 원자 층 증착용 조성물
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN109487233A (zh) * 2018-11-27 2019-03-19 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的低温制备方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102157137B1 (ko) 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
EP3766888A1 (en) * 2018-11-30 2021-01-20 Hansol Chemical Co., Ltd Silicon precursor and method of manufacturing silicon-containing thin film using the same
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN109536921A (zh) * 2018-12-14 2019-03-29 复旦大学 一种利用等离子体增强原子层沉积调控氧化硅薄膜化学计量比的方法
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020131635A1 (en) * 2018-12-21 2020-06-25 K.K. Air Liquide Laboratories PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210114546A (ko) * 2019-02-05 2021-09-23 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 산화규소의 증착
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP7278146B2 (ja) * 2019-05-20 2023-05-19 東京エレクトロン株式会社 成膜方法
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7446097B2 (ja) 2019-12-06 2024-03-08 東京応化工業株式会社 表面処理剤及び表面処理方法
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
US20230103933A1 (en) * 2020-03-31 2023-04-06 Versum Materials Us, Llc New precursors for depositing films with elastic modulus
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
CN115443347A (zh) 2020-04-02 2022-12-06 弗萨姆材料美国有限责任公司 用于沉积含硅膜的有机氨基官能化环状低聚硅氧烷
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7123100B2 (ja) * 2020-09-24 2022-08-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220270870A1 (en) * 2021-02-12 2022-08-25 Applied Materials, Inc. Deposition of silicon-based dielectric films
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US8748003B2 (en) * 2004-09-01 2014-06-10 Konica Minolta Holdings, Inc. Gas barrier laminate and production method of the same
KR100891779B1 (ko) * 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
JP2010275602A (ja) 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
KR101659463B1 (ko) * 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films

Also Published As

Publication number Publication date
TW201536946A (zh) 2015-10-01
SG10201502280PA (en) 2015-10-29
TW201708593A (zh) 2017-03-01
TWI557259B (zh) 2016-11-11
KR20150111874A (ko) 2015-10-06
CN104962877A (zh) 2015-10-07
TWI601843B (zh) 2017-10-11
US20150275355A1 (en) 2015-10-01
KR102242461B1 (ko) 2021-04-19
JP2015188087A (ja) 2015-10-29
CN113088927A (zh) 2021-07-09
JP6219870B2 (ja) 2017-10-25
KR20180069769A (ko) 2018-06-25
EP2924143A1 (en) 2015-09-30

Similar Documents

Publication Publication Date Title
KR102242461B1 (ko) 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법
US10991571B2 (en) High temperature atomic layer deposition of silicon oxide thin films
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
KR101950956B1 (ko) 실리콘 함유 막을 제조하는 방법
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法
TWI680982B (zh) 作為高成長速率含矽膜的前驅物的官能化環矽氮烷
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
TWI711624B (zh) 矽烷胺化合物、含彼之用於沉積含矽薄膜的組合物、以及使用該組合物製造含矽薄膜的方法
TW202311273A (zh) 矽前驅物
WO2023147382A1 (en) Halide-functionalized cyclotrisilazanes as precursors for deposition of silicon-containing films

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2019101000295; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20190128

Effective date: 20200619

J121 Written withdrawal of request for trial
WITB Written withdrawal of application