TWI496934B - 用於沉積碳摻雜含矽膜的組合物及方法 - Google Patents

用於沉積碳摻雜含矽膜的組合物及方法 Download PDF

Info

Publication number
TWI496934B
TWI496934B TW101120022A TW101120022A TWI496934B TW I496934 B TWI496934 B TW I496934B TW 101120022 A TW101120022 A TW 101120022A TW 101120022 A TW101120022 A TW 101120022A TW I496934 B TWI496934 B TW I496934B
Authority
TW
Taiwan
Prior art keywords
group
linear
branched
alkyl
precursor
Prior art date
Application number
TW101120022A
Other languages
English (en)
Other versions
TW201250046A (en
Inventor
Manchao Xiao
Xinjian Lei
Ronald Martin Pearlstein
Haripin Chandra
Eugene Joseph Karwacki
Bing Han
Mark Leonard O'neill
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201250046A publication Critical patent/TW201250046A/zh
Application granted granted Critical
Publication of TWI496934B publication Critical patent/TWI496934B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/63Additives non-macromolecular organic
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0896Compounds with a Si-H linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

用於沉積碳摻雜含矽膜的組合物及方法 相關申請案之相互參照
本案請求以下的申請案在35 U.S.C.§119保護之下的優先權益:2011年6月3日申請的美國臨時申請案第61/493,031號,在此以引用的方式將其全文併入本文。
本發明係關於用於沉積碳摻雜含矽膜的組合物及方法法的領域。
前驅物,特別是可用於沉積另外包含碳的含矽膜(文中統稱為碳摻雜含矽膜)之有機胺基矽烷前驅物,該等含矽膜包括但不限於,氧化矽膜、氮化矽膜或氧氮化矽膜,在文中有描述。在又另一形態中,文中描述的是有機胺基矽烷前驅物的用途,其係於裝置(例如,但不限於,積體電路裝置)裝配時用於沉積含矽膜。在各種不同形態中,該(等)有機胺基矽烷前驅物可用於各式各樣的沉積法,其包括但不限於,原子層沉積(“ALD”)、化學氣相沉積(“CVD”)、電漿強化化學氣相沉積(“PECVD”)、低壓化學氣相沉積(“LPCVD”)及大氣壓力化學氣相沉積。
有數類化合物可作為碳摻雜含矽膜的前驅物。這些適合作為前驅物的化合物的實例包括矽烷類、氯矽烷類、聚矽氮烷類、胺基矽烷類及疊氮化矽烷類。惰性載體氣體或 稀釋劑例如,但不限於,氦、氫、氮,等等,也用以將該等前驅物輸送至反應艙。
碳摻雜含矽膜的一些重要特徵是耐濕式蝕刻性及疏水性。一般而言,碳加入含矽膜有助於降低濕式蝕刻速率並且提高疏水性。添加碳於含矽膜的其他優點在於降低介電常數或改良該膜的其他電氣或物理屬性。
以下參考資料中有提供用於沉積碳摻雜含矽膜的前驅物及方法的其他實例。申請人的專利,美國專利第7,875,556號;第7,875,312號;及7,932,413號,描述用於介電膜沉積的數類胺基矽烷,例如,舉例來說,化學氣相沉積或原子層沉積法中的氧化矽及碳氮化矽膜。
日本公開案第JP 2010/275602號描述一種用於沉積含矽薄膜的化學氣相沉積用材料,該含矽薄膜係由此式HSiMe(R1 )(NR2 R3 )(R1 =NR4 R5 、C1-5 烷基;R2 、R4 =H、C1-5 烷基;R3 、R5 =C1-5 烷基)表示。該含矽薄膜係由介於300至500℃的溫度形成。
美國公開案第2008/0124946A1號描述一種用於沉積具有增進的耐蝕刻性之含碳氧化矽膜或含碳氮化矽膜的方法。該方法包含使用含矽的結構前驅物、含碳摻雜劑前驅物及混合該等摻雜劑前驅物與該結構前驅物以獲得具有介於2%與85%之間的混合比Rm(加於該結構前驅物的摻雜劑前驅物的重量%)的混合物;及流量比Fm;提供具有流量比Fc的化學改質劑;具有介於25%與75%之間的流量比R2,其係定義為R2=Fm/Fc;並且製造具有增進的耐蝕刻性 之含碳的含矽膜或含碳的氧化矽膜,其中該耐蝕刻性隨著增加碳的加入提高。
美國公開案第2006/0228903號描述一種摻雜氮化矽層的製造方法,該方法使用提供矽來源的第一前驅物及將碳加於該膜的第二前驅物。該‘903公開案描述的第一前驅物之實例包括鹵化矽烷類和二矽烷類、胺基矽烷類、環二矽氮烷類、線性和分支矽氮烷類、疊氮化矽烷類、1,2,4,5-四氮-3,6-二矽氮環己烷的經取代版本及甲矽烷基肼類。該‘903公開案描述的第二前驅物之實例是具有通式SiR4 的烷基矽烷類,其中R是包括但不限於氫、烷基及芳基的配位子(所有R基團均獨立)、烷基聚矽烷類、鹵化烷基矽烷類、碳架橋的矽烷前驅物;及甲矽烷基乙烷類/乙烯前驅物。
美國公開案第2005/0287747A1號描述一種用於形成氮化矽、氧化矽、氧氮化矽或碳化矽膜的方法,其包括添加至少一非矽前驅物(例如鍺前驅物、碳前驅物,等等)以改善沉積速率及/或使該膜的性質之調整,例如該膜應力的調整,變得可能。
美國專利第5744196A號揭示該方法包含(a)在維持於大約50至750毫托耳的真空中將上面待沉積SiO2 的基材加熱至大約150至500度;(b)將含有機矽烷的供料及含氧的供料引進該真空環境中,該含有機矽烷的供料基本上由至少一種具有通式R1 Si(H2 )Cx(R4 )2 Si(H2 )R2 的化合物組成,其中R1 、R2 =C1-6 烷基、烯基、炔基或芳基,或將R1 及R2 結合以形成一烷基鏈Cx (R3 )2 ;R3 =H、Cx H2x+1 ;x=1 至6;R4 =H、Cy H2y+1 ;及y=1至6;及(c)維持溫度及真空度,藉以造成SiO2 的薄膜沉積於該基材上。
在沉積碳摻雜氮化矽膜時使用的前驅物及方法一般於高於550℃的溫度下沉積該等膜。半導體裝置的微型化及低熱負載的趨勢要求較低的加工溫度及較高的沉積速率。再者,在此技藝中需要提供可以讓碳摻雜含矽膜所含的碳含量更有效控制的前驅物或前驅物組合。因此,在此技藝中一直需要提供用於沉積碳摻雜含矽膜的前驅物組合物,該等組合物提供顯示一或多項以下屬性的膜:比起單獨使用個別前驅物沉積的膜較低相對蝕刻速率、較高疏水性、較高沉積速率、較高密度。
文中描述前驅物組合物及使用該等前驅物組合物將包含碳摻雜矽(文中稱作含矽膜)的膜,例如,但不限於,碳摻雜的化學計量或非化學計量氧化矽、碳摻雜化學計量或非化學計量氮化矽、氧氮化矽、氧碳化矽、碳氮化矽及其組合,形成於基材的至少一部分上之方法。在某些具體實施例中,該等碳摻雜含矽膜可具有由動態二次離子質譜儀(SIMS)測得的2 x 1019 個碳原子/公撮或更少碳的碳含量。在替代具體實施例中,該等碳摻雜含矽膜可具有由SIMS測得的介於2 x 1019 個碳原子/公撮至2 x 1022 個碳原子/公撮的碳含量。
文中也揭示於待處理的物體,例如,舉例來說,半導 體晶圓,上形成碳摻雜含矽膜或塗層的方法。在文中所述的方法之一具體實施例中,於沉積艙中在該基材上產生碳摻雜氧化矽層的條件之下使用文中所述的前驅物組合物及氧化劑將包含矽、碳及氧的層沉積於基材上。在文中所述的方法之另一具體實施例中,於沉積艙中在該基材上產生碳摻雜氮化矽層的條件之下使用文中所述的前驅物組合物及含氮前驅物將包含矽、碳及氮的層沉積於基材上。在某些具體實施例中,使用文中所述的前驅物組合物沉積該碳摻雜含矽膜的沉積方法係選自由所組成的群組循環式化學氣相沉積(CCVD)、原子層沉積(ALD)、電漿強化ALD(PEALD)及電漿強化CCVD(PECCVD)。
有一形態中,提供一種用於沉積碳摻雜含矽膜之組合物,其包含:(a)第一前驅物,其包含至少一選自由以下所組成的群組的化合物:(i)具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1、2、3;(ii)具有式R6 Si(OR7 )x H3-x 的有機烷氧基烷基矽烷,其中x=1、2、3;(iii)具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基矽烷;(iv)具有式R8 N(SiR9 LH)2 的有機胺基矽烷及其組合;及其組合;其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分 支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 及R6 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,及L=Cl、Br或I;其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環;及其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環;及(b)任意地第二前驅物,其包含具有式Si(NR1 R2 )H3 的有機胺基矽烷,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3至C10 飽和或不飽和雜環族基團所組成的群組,及其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環。
在另一形態中,提供一種用於沉積碳摻雜含矽膜之組 合物,其包含:第一前驅物,其包含至少一選自由以下所組成的群組者:具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1、2、3;具有式R6 Si(OR7 )x H3-x 的有機烷氧基烷基矽烷,其中x=1、2、3;具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基矽烷;及具有式R8 N(SiR9 LH)2 的有機胺基矽烷;及其組合;其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 及R6 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,及L=Cl、Br或I; 其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環;及其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環;及任意地第二前驅物,其包含具有式R12 Si(NR13 R14 )x H3-x 的有機胺基矽烷,其中x=0、1、2、3及4,其中R12 、R13 及R14 係各自獨立地選自由H、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組而且,其中R13 及R14 可形成一環狀環或一經烷基取代的環狀環。
在另一形態中,提供一種用於沉積碳摻雜含矽膜之組合物,其包含:包含具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷的第一前驅物,其中x=1、2、3,其中R3 及R4 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 係獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組,及其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環。在各個不同具體實施例中,該組合物另外包含第二前驅物,該第二前驅物包含具有式Si(NR1 R2 )H3 的有機胺基矽烷,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,及其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環。
在另一形態中,提供一種用於沉積碳摻雜含矽膜之組合物,其包含:第一前驅物,該第一前驅物包含具有式R6 Si(OR7 )x H3-x 的有機胺基烷基矽烷,其中x=1、2、3及其中R7 係獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;及R6 係獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組,R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組。在各個不同具體實施例中,該組合物另外包含第二前驅物,該第二前驅物包含具有式Si(NR1 R2 )H3 的有機胺基矽烷,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所 組成的群組,及其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環。
在又另一形態中,提供一種用於沉積碳摻雜含矽膜之組合物,其包含:第一前驅物,該第一前驅物包含具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基烷基矽烷,其中R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;及其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環。在各個不同具體實施例中,該組合物另外包含第二前驅物,該第二前驅物包含具有式Si(NR1 R2 )H3 的有機胺基矽烷,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,及其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環。
在另一形態中,提供一種經由原子層沉積法形成摻雜碳氧化矽膜之方法,該方法包含以下步驟:a.將基材提供於一反應器中;b.將包含至少一選自由以下所組成的群組之化合物 的第一前驅物引進該反應器中:(i)具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1、2、3;(ii)具有式R6 Si(OR7 )x H3-x 的有機烷氧基烷基矽烷,其中x=1、2、3;(iii)具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基矽烷;(iv)具有式R8 N(SiR9 LH)2 的有機胺基矽烷及其組合;其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 及R6 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;L=Cl、Br或I;其 中R3 及R4 可形成一環狀環或一經烷基取代的環狀環;及其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環;c.以洗淨氣體洗淨該反應器;d.將氧來源引進該反應器中;e.將具有下式Si(NR1 R2 )H3 的第二前驅物引進該反應器,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,及其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環;f.以洗淨氣體洗淨該反應器;g.將氧來源引進該反應器中;h.以洗淨氣體洗淨該反應器;及i.重複進行步驟b至h直到獲得想要的膜厚度。在文中所述的方法之一特定具體實施例中,步驟(b)中的前驅物包含如(i)之文中所述的有機胺基烷基矽烷。更特別的是,步驟(b)中的前驅物包含該有機胺基烷基矽烷2,6-二甲基六氫吡啶基甲基矽烷。
在另一形態中,提供一種經由原子層沉積法形成摻雜碳氧化矽膜之方法,該方法包含以下步驟:a.將基材提供於一反應器中;b.將包含至少一選自由以下所組成的群組之化合物的第一前驅物引進該反應器中:(i)具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽 烷,其中x=1、2、3;(ii)具有式R6 Si(OR7 )x H3-x 的有機烷氧基烷基矽烷,其中x=1、2、3;(iii)具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基矽烷;(iv)具有式R8 N(SiR9 LH)2 的有機胺基矽烷及其組合;其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 及R6 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;L=Cl、Br或I而且;其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環;而且,其中R10 及R11 可形成一環狀環或一經烷基取代 的環狀環;c.以洗淨氣體洗淨該反應器;d.將氮來源引進該反應器中;e.將具有下式Si(NR1 R2 )H3 的第二前驅物引進該反應器,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,及其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環;f.以洗淨氣體洗淨該反應器;g.將氮來源引進該反應器中;h.以洗淨氣體洗淨該反應器;及i.重複進行步驟b至h直到獲得想要的膜厚度。在文中所述的方法之一特定具體實施例中,步驟(b)中的前驅物包含如(i)之文中所述的有機胺基烷基矽烷。更特別的是,步驟(b)中的前驅物包含該有機胺基烷基矽烷2,6-二甲基六氫吡啶基甲基矽烷。
在另一形態中,提供一種經由原子層沉積法形成摻雜碳氧化矽膜之方法,該方法包含以下步驟:a.將基材提供於一反應器中;b.將包含至少一選自由以下所組成的群組之化合物的第一前驅物引進該反應器中:(v)具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1、2、3; (vi)具有式R6 Si(OR7 )x H3-x 的有機烷氧基烷基矽烷,其中x=1、2、3;(vii)具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基矽烷;及(viii)具有式R8 N(SiR9 LH)2 的有機胺基矽烷及其組合;其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 及R6 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;L=Cl、Br或I而且;其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環;而且其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環; c.以洗淨氣體洗淨該反應器;d.將氧來源引進該反應器中;e.將具有下式R12 Si(NR13 R14 )x H3-x 的第二前驅物引進該反應器,其中x=0、1、2、3及4,其中R12 、R13 及R14 係各自獨立地選自由H、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組而且,其中R13 及R14 可形成一環狀環或一經烷基取代的環狀環;f.以洗淨氣體洗淨該反應器;g.將氧來源引進該反應器中;h.以洗淨氣體洗淨該反應器;及i.重複進行步驟b至h直到獲得想要的膜厚度。在文中所述的方法之一特定具體實施例中,步驟(b)中的前驅物包含如(i)之文中所述的有機胺基烷基矽烷。更特別的是,步驟(b)中的前驅物包含該有機胺基烷基矽烷2,6-二甲基六氫吡啶基甲基矽烷。
在另一形態中,提供一種經由原子層沉積法形成摻雜碳氧化矽膜之方法,該方法包含以下步驟:a.將基材提供於一反應器中;b.將包含至少一選自由以下所組成的群組之化合物的第一前驅物引進該反應器中:(v)具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1、2、3; (vi)具有式R6 Si(OR7 )x H3-x 的有機烷氧基烷基矽烷,其中x=1、2、3;(vii)具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基矽烷;(viii)具有式R8 N(SiR9 LH)2 的有機胺基矽烷及其組合;其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3至C10 飽和或不飽和雜環族基團所組成的群組;R5 及R6 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;L=Cl、Br或I而且;其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環;而且其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環; c.以洗淨氣體洗淨該反應器;d.將氮來源引進該反應器中;e.將具有下式R12 Si(NR13 R14 )x H3-x 的第二前驅物引進該反應器,其中x=0、1、2、3及4,其中R12 、R13 及R14 係各自獨立地選自由H、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組而且,其中R13 及R14 可形成一環狀環或一經烷基取代的環狀環;f.以洗淨氣體洗淨該反應器;g.將氮來源引進該反應器中;h.以洗淨氣體洗淨該反應器;及i.重複進行步驟b至h直到獲得想要的膜厚度。在文中所述的方法之一特定具體實施例中,步驟(b)中的前驅物包含如(i)之文中所述的有機胺基烷基矽烷。更特別的是,步驟(b)中的前驅物包含該有機胺基烷基矽烷2,6-二甲基六氫吡啶基甲基矽烷。
文中描述包含一或更多前驅物的組合物及經由原子層沉積(ALD)、循環式化學氣相沉積(CCVD)或電漿強化ALD(PEALD)或電漿強化CCVD(PECCVD)使用該等前驅物組合物沉積碳摻雜含矽膜的方法。文中所述的組合物包含,實質上由以下組成,或由以下組合,包含至少一選自 由具有下式的化合物之群組的化合物之第一前驅物:(i)R5 Si(NR3 R4 )x H3-x ;(ii)R6 Si(OR7 )x H3-x ;(iii)具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基矽烷;及(i)、(ii)及(iii)的組合,其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 及R6 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;及R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;及x=1、2或3,而且其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環;而且其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環。在某些具體實施例中,該組合物另外包含第二前驅物,該第二前驅物包含具有式Si(NR1 R2 )H3 的有機胺基矽烷,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯 基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,而且其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環。
文中所述的組合物的前驅物通常是高純度揮發性液態前驅物化學藥品,該等化學藥品被汽化並且呈氣體形式輸送至一沉積艙或反應器以經由供半導體或其他裝置用的CVD或ALD方法沉積一含矽膜。用於沉積的前驅物材料的選擇取決於想要得到的介電材料或膜。舉例來說,前驅物材料可就其化學元素含量、該等化學元素的化學計量比例及/或在CVD之下形成的含矽膜或塗層做選擇。該等組合物中使用的前驅物材料也可就多種不同其他特性做選擇,例如成本、較低毒性、處理特性、於室溫維持液相的能力、揮發性、分子量及/或其他考量因素。在某些具體實施例中,文中所述的組合物中的前驅物可藉由許多手段輸送至該反應器系統,較佳地使用裝配適合閥及附件的可加壓不銹鋼容器,以便讓液相前驅物輸送至該沉積艙或反應器。
文中所述的組合物中的前驅物顯現均衡的反應性及安定性使其理想上適合作為CVD或ALD前驅物。關於反應性,某些前驅物可能具有太高的沸點而無法被蒸發並且輸送至該反應器呈膜形態沉積於基材上。具有較高相對沸點的前驅物要求該輸送容器及管道必需於或高於該前驅物的沸點下被加熱以防止該容器、管道或二者中形成冷凝現象或粒子。關於安定性,其他有機矽烷前驅物在其降解時可能形成甲矽烷(SiH4 )。甲矽烷於室溫下為自燃性或其可自 發性燃燒而出現安全性及處理的議題。再者,甲矽烷及其他副產物的形成降低該前驅物的純度而且像1至2%那麼少的化學純度改變就可能被可靠性半導體製造視為無法接受。在某些的具體實施例中,儲存6個月或更久或1年或更久的時間(表示儲存時安定)之後文中所述的組合物中的前驅物包含少於2重量%,或低於1重量%,或低於0.5重量%的副產物(例如對應的雙-矽烷副產物)。除了前述優點之外,在例如使用ALD或PEALD沉積方法沉積氧化矽或氮化矽膜的某些具體實施例中,文中所述的有機胺基矽烷前驅物可能能於較低沉積溫度,例如,於500℃或更低,於400℃或更低,於300℃或更低,於200℃或更低,於100℃或更低,或於50℃或更低下沉積高密度材料。在某些具體實施例中,文中所述的組合物可於約250℃或更低,200℃或更低,100℃或更低,或50℃或更低的沉積溫度下沉積該碳摻雜含矽膜。
文中所述的組合物係用以沉積比起不含碳的含矽膜顯示較高濕式蝕刻速率及較低疏水性的碳摻雜含矽膜。不受理論所限,碳加於含矽膜,特別是以低級烷基形式(例如,Me、Et、Pr基團),有助於降低該濕式蝕刻速率並且提高該疏水性。選擇性蝕刻在半導體圖案化製程中特別重要。加碳於含矽膜的其他優點是降低該膜的介電常數或其他電氣或物理屬性。咸相信由矽上的低級烷基取代基,特別是矽-甲烷鍵,所形成的Si-C鍵強度足以使其於根據本發明所述的方法形成膜的期間保持至少部分完整。該含矽 膜中剩餘的有機碳賦予降低的介電常數並且增進疏水性而且還降低使用稀氫氟酸水溶液的蝕刻速率。
如先前討論的,文中所述的組合物含有至少一包含有機基團、氮原子和矽原子的前驅物。該第一前驅物包含至少一選自具有下式的化合物:(i)R5 Si(NR3 R4 )x H3-x 、(ii)R6 Si(OR7 )x H3-x 、(iii)R8 N(SiR9 (NR10 R11 )H)2 及其組合。在某些具體實施例中,單獨或合併的文中所述的前驅物,係經由液體注射設備輸送。所得膜中的碳含量可藉由一或更多以下各項來調整:該前驅物中所含的碳量、該前驅物中所含的碳類型、沉積條件,在某些具體實施例中,在循環式CVD或ALD方法中該第一前驅物的循環數與該第二前驅物的循環數有關,在某些具體實施例中,與該組合物中的第一前驅物對第二前驅物或其組合的比例有關。
在一具體實施例中,用於沉積碳摻雜含矽膜的組合物包含第一前驅物,該第一前驅物包含具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1、2、3而且其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 係選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;而且其中R3 及R4 可形成一環狀環或一經烷基取 代的環狀環。在具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷的某些具體實施例中,R3 及R4 可結合以形成一環狀基團。在這些具體實施例中,該環狀基團可為一碳環族或雜環族基團。該環狀基團可為飽和,或也可以,不飽和。在具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷的其他具體實施例中,R3 及R4 沒結合以形成一環狀基團。
在另一具體實施例中,用於沉積碳摻雜含矽膜的組合物包含第一前驅物,該第一前驅物包含具有式R6 Si(OR7 )x H3-x 的有機胺基烷基矽烷,其中x=1、2、3及其中R7 係獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;及R6 係獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組。
在另一具體實施例中,用於沉積碳摻雜含矽膜的組合物包含第一前驅物,該第一前驅物包含具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基烷基矽烷,其中R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分 支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;及其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環。在具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基矽烷的某些具體實施例中,R10 及R11 可結合以形成一環狀基團。在這些具體實施例中,該環狀基團可為一碳環族或雜環族基團。該環狀基團可為飽和,或也可以,不飽和。在具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基烷基矽烷的其他具體實施例中,R10 及R11 沒結合以形成一環狀基團。
在另一具體實施例中,該第一前驅物包含具有式R8 N(SiR9 LH)2 的有機胺基烷基矽烷,其中R8 及R9 係獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且L是選自由Cl、Br、I所組成的群組的鹵基。
在某些具體實施例中,用於沉積碳摻雜含矽膜的組合物另外包含第二前驅物,該第二前驅物包含具有式Si(NR1 R2 )H3 的有機胺基矽烷,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,及其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環。在具有式Si(NR1 R2 )H3 的有機胺基矽烷的某些 具體實施例中,R1 及R2 可連結在一起以形成一環。在各個不同具體實施例中,該環包含雜環族環。該環,或也可以,雜環族環,可能是飽和或不飽和。在具有式Si(NR1 R2 )H3 的有機胺基矽烷的替代具體實施例中,R1 及R2 沒連結在一起以形成一環。
在一替代具體實施例中,該任意的第二前驅物可包含具有式R12 Si(NR13 R14 )x H3-x 的有機胺基矽烷,其中x=0、1、2、3及4,其中R12 、R13 及R14 係各自獨立地選自由H、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組。在具有式R12 Si(NR13 R14 )x H3-x 的有機胺基矽烷的某些具體實施例中,R13 及R14 可連結在一起以形成一環。在各個不同具體實施例中,該環包含雜環族環。該環,或也可以,雜環族環,可能是飽和或不飽和。在具有式R12 Si(NR13 R14 )x H3-x 的有機胺基矽烷的替代具體實施例中,R13 及R14 沒連結在一起以形成一環。
在關於第一及第二前驅物的前述式和整個描述中,該措辭“烷基”表示具有1至10或3至10或1至6個碳原子的線性或分支官能基。例示性線性烷基包括,但不限於,甲基、乙基、丙基、丁基、戊基及己基。例示性分支烷基包括,但不限於,異丙基、異丁基、第二丁基、第三丁基、異戊基、第三戊基、異己基及新己基。在某些的具體實施例中,該烷基可具有一或更多附接於該烷基的官能基例 如,但不限於,烷氧基、二烷基胺基或其組合。在其他具體實施例中,該烷基沒有一或更多附接於該烷基的官能基。該烷基可為飽和或,也可以,不飽和。
在前述式和整個描述中,該措辭“環狀烷基”表示具有3至10或5至10個碳原子的環狀基團。例示性環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。在某些具體實施例中,該環狀烷基可具有一或更多C1 至C10 線性、分支取代基或含氧或氮原子的取代基。在各個不同具體實施例中,該環狀烷基可具有一或更多線性或分支烷基或烷氧基作為取代基,例如,舉例來說,甲基環己基或甲氧基環己基。
在前述式和整個描述中,該措辭“芳基”表示具有5至10或6至10個碳原子的芳族環狀官能基。例示性芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基。
在前述式和整個描述中,該措辭“烯基”表示具有一或更多碳-碳雙鍵並且具有2至20或2至10或2至6個碳原子的基團。
在前述式和整個描述中,該措辭“炔基”表示具有一或更多碳-碳叁鍵並且具有2至20或2至10或2至6個碳原子的基團。
在前述式和整個描述中,該措辭“不飽和”用於本文時意指該官能基、取代基、環或架橋具有一或更多碳雙鍵或碳叁鍵。不飽和環的實例可為,但不限於,芳族環例如苯 基環。該措辭“飽和”意指該官能基、取代基、環或架橋沒有一或更多碳雙鍵或碳叁鍵。
在某些具體實施例中,該措辭“碳環族或雜環族環”表示碳環族或雜環族環。例示性環狀或經烷基取代的環狀環基團包括,但不限於,環己基、環戊基、吡咯啶基、六氫吡啶基、嗎啉基、2,5-二甲基吡咯啶基、2,6-二甲基六氫吡啶基或其他經烷基取代的衍生物。
在某些具體實施例中,前述式中的烷基、烯基、炔基、芳基及/或芳族基團的一或更多者可被取代或具有一或更多將,舉例來說,氫原子取而代之的原子或原子團。例示性取代基包括,但不限於,氧、硫、鹵素原子(例如,F、Cl、I或Br)、氮及磷。在其他具體實施例中,在其他具體實施例中,前述式中的烷基、烯基、炔基、烷氧基烷基、烷氧基、烷基胺基烷基、芳族及/或芳基均可能未被取代。
可作為文中所述的組合物的第一前驅物之經甲基取代的化合物的一些特定實例包括,但不限於,雙(二甲基胺基)甲基矽烷、二乙基胺基甲基矽烷、第三丁基胺基甲基矽烷及異丙基胺基甲基矽烷。
在某些具體實施例中,具有前述式的第一前驅物、第二前驅物或二者具有一或更多包含氧原子的取代基。在這些具體實施例中,可避免沉積製程期間對於氧來源的需求。在其他具體實施例中,具有前述式的第一前驅物、第二前驅物或二者具有一或更多包含氧原子的取代基,也使用氧來源。
在某些具體實施例中,文中所述的組合物包含具有式R5 Si(NR3 R4 )x H3-x 的第一前驅物或有機胺基烷基矽烷,其中x=1、2、3而且R3 、R4 及R5 是文中所述的取代基。具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷可藉由使烷基胺,R3 R4 NH,與鹵矽烷或胺基矽烷於有機溶劑或溶劑混合物中反應並且除去鹵化氫或胺。該鹵化氫可藉由沉澱在添加三級胺及形成對應的胺鹽酸鹽時方便地除去。在一具體實施例中,具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1及R5 =Cl可於,舉例來說,以下方程式(1)所示的反應中製備而且R3 、R4 是文中所述的取代基:
另一具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1及R5 是C1 至C10 線性或分支烷基,可以,舉例來說,以下方程式(2)所示的反應中製備,而且R3 、R4 及R5 是文中所述的取代基:
在另一具體實施例中,文中所述的組合物包含具有式R8 N(SiR9 (NR10 R11 )H)2 的第一前驅物,其中R8 、R9 、R10 及R11 是文中所述的取代基。在前述式之一特定具體實施例中,R9 是氫,而且該化合物可以,舉例來說,下列方程式3及4所述的方法製備而且其中R8 、R9 、R10 及R11 是文中所述的取代基:
在又另一具體實施例中,該第一前驅物包含具有式R8 N(SiR9 LH)2 的有機胺基矽烷,其中R8 及R9 是文中所述的取代基而且L=Cl、Br、I。在L=Cl的前述式之一特定具體實施例中,該等有機胺基矽烷可以,舉例來說,下列方程式5所述的方法製備而且其中R8 及R9 是文中所述的取代基:
在該組合物包含第一及第二前驅物的具體實施例中,該第一前驅物和該第二前驅物具有類似沸點(b.p.)或該第一前驅物的沸點與該第二前驅物的沸點之間的差異為40℃或更低、30℃或更低或200℃或更低或100℃。也可以,該第一及第二前驅物的沸點之間的差異介於以下端點之任一或多者:0、10、20、30或40℃。沸點差異的適合範圍之實例包括,但不限於,0至40℃、20°至30℃或10°至30℃。在這些具體實施例中,該第一及該第二前驅物可經由直接液體注入、蒸氣抽引或起泡輸送同時仍舊維持氣相中的相同液體比例。
在該組合物包含第一及第二前驅物的具體實施例中,在該組合物中的第一前驅物量,以整個組合物的重量百分比計,介於0.5重量%至99.5%或10重量%至75%而且其餘部分為該第二前驅物或任何加於該第一前驅物的其他前驅物。在各個不同具體實施例中,在該組合物中的第二前驅物量以重量百分比計介於0.5重量%至99.5%或10重量%至75%而且其餘部分為該第一前驅物或任何其他前驅物。在一替代具體實施例中,該組合物包含100%的第一前驅物。
本發明之一具體實施例係關於由具有式Si(NR1 R2 )H3 的有機胺基矽烷及具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷所組成的前驅物調合物,其中R1-4 係選自由C1 至C10 線性或分支烷基、含有其他元素如氧或氮的烷基、環狀烷基、烯基、炔基、芳族烴所組成的群組;R5 係選自由C1 至C10 線性或分支烷基、含有氧或氮的烷基、環狀烷基、烯基、炔基、芳族烴、Cl、Br及I所組成的群組;R1 及R2 可形成一環狀或經烷基取代的環狀環;R3 及R4 也可形成一環狀或經烷基取代的環狀環;x=1、2、3。較佳地,R1-2 及R3-4 係獨立地選自相同C1 至C10 線性或分支烷基。
表I提供同時包含第一及第二前驅物的例示性組合物,其中該第一前驅物包含該式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1、2、3而且其中Me(甲基)、Et(乙基)、n Pr(正丙基)、i Pr(異丙基)、n Bu(正丁基)、i Bu(異丁基)、s Bu(第二丁基)及t Bu(第三丁基),而且該任意第二前 驅物包含具有下列通式Si(NR1 R2 )H3 的有機胺基矽烷。在各個不同具體實施例中,該等例示性組合物可以一不銹鋼容器,例如但不限於,用於儲存且輸送至該反應器的可加壓容器提供。在各個不同具體實施例中,該容器裝配適當閥及附件以便能將該第一及第二前驅物輸送至該反應器供CVD或ALD方法之用。在某些不同具體實施例中,這樣的容器也可具有用於混合該第一和任意第二前驅物(若存在的話)或可預先混合。或者,該第一及任意第二前驅物可保持於獨立容器中或具有分隔機構以供於儲存期間使該組合物中的前驅物保持分開之單一容器中。
用以形成該等含有矽的含矽膜或塗層的方法為沉積方法。用於文中所揭示的方法的適合沉積方法之實例包括,但不限於,循環式CVD(CCVD)、MOCVD(金屬有機CVD)、熱化學氣相沉積、電漿強化化學氣相沉積 (“PECVD”)、高密度PECVD、光子輔助CVD、電漿-光子輔助(“PPECVD”)、極低溫化學氣相沉積、化學輔助氣相沉積、熱極化學氣相沉積、液態聚合物前驅物的CVD、由超臨界流體沉積及低能量CVD(LECVD)。在某些具體實施例中,該等含金屬膜係經由原子層沉積(ALD)、電漿強化ALD(PEALD)或電漿強化循環式CVD(PECCVD)方法沉積。如文中所用的,該措辭“化學氣相沉積方法”表示使基材暴露於一或更多揮發性前驅物的任何方法,該等前驅物於該基材表面上反應及/或分解而產生所欲的沉積。用於本文時,該措辭“原子層沉積方法”表示自限性(例如,在各反應循環中所沉積的膜材料量固定)的連續表面化學,其將材料膜沉積於變化組成的基材上。儘管文中所用的前驅物、試藥及來源有時候可能被稱作“氣態”,但是咸瞭解該等前驅物可為液態或固態,其係經由直接汽化、發泡或昇華利用或沒用惰性氣體運送至該反應器。在一些具體實施例中,汽化的前驅物會通過一電漿產生器。有一具體實施例中,該含矽膜係利用ALD方法沉積。在另一具體實施例中,該含矽膜係利用CCVD方法沉積。在又另一具體實施例中,該含矽膜係利用熱CVD方法沉積。用於本文時該措辭“反應器”,包括但不限於,反應艙或沉積艙。
在某些具體實施例中,文中所揭示的方法避免藉由使用ALD或CCVD方法使前驅物預先反應,該等方法在引進該反應器之前及/或期間分離該等前驅物。關此,沉積技術例如ALD或CCVD方法係用以沉積該碳摻雜含矽膜。有一 具體實施例中,該膜係經由ALD方法藉著使該基材表面輪流暴露於該一或更多第一前驅物、氧來源(若是氧化物膜)、含氮來源(若是氮化物膜)、第二前驅物或其他前驅物或試藥而沉積。膜生長藉由表面反應的自限性控制、各前驅物或試藥的脈衝時間長度及沉積溫度沉積。然而,一旦該基材的表面飽和,該膜生長即停止。
如先前所述,在某些具體實施例中,例如利用ALD、CCVD(PECCVD)或PEALD沉積方法沉積碳摻雜含矽膜例如氧化矽或氮化矽膜,文中所述的組合物可能能於較低沉積溫度下,例如,500℃或更低或400℃或更低、300℃或更低、200℃或更低、100℃或更低或50℃或更低或室溫,沉積膜。在各個不同具體實施例中,該基材(沉積)溫度介於以下端點之任一或多者:0、25、50、100、200、300、400或500℃。這些範圍之實例是,但不限於,0至100℃、25至50℃、100°至300℃或100℃至500℃。在一特定具體實施例中,該沉積溫度係低於200℃,其讓碳能被加於所得的膜中,提供多種膜例如具有低蝕刻速率的碳摻雜氧化矽。
依據該沉積方法,在某些具體實施例中,該一或更多含矽前驅物可於預定莫耳體積,或約0.1至約1000微莫耳下引進該反應器。在各個不同具體實施例中,該含矽及/或有機胺基矽烷前驅物可引進該反應器歷經預定時期。在某些具體實施例中,該時期期介於約0.001至約500秒。
在某些具體實施例中,使用文中所述的方法沉積的含 矽膜係於氧存在下使用氧來源、試藥或包含氧的前驅物沉積。氧來源可以至少一氧來源的形式引進該反應器及/或可附帶存在於該沉積方法所用的其他前驅物中。適合的氧來源氣體可包括,舉例來說,水(H2 O)(例如,去離子水、純水及/或蒸餾水)、水電漿、氧(O2 )、過氧化物(O3 )、氧電漿、臭氧(O3 )、NO、NO2 、一氧化碳(CO)、二氧化碳(CO2 )及其組合。在某些具體實施例中,該氧來源包含於介於約1至約2000每分鐘標準立方公分(sccm)或約1至約1000 sccm的流速下引進該反應器的氧來源氣體。該氧來源可被引進歷經介於約0.1至約100秒的時間。在一特定具體實施例中,該氧來源包含具有10℃或更高溫度的水。在藉由ALD或循環式CVD方法沉積該膜的具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝期間,而且該氧來源可具有小於0.01秒的脈衝期間,而該水脈衝期間可具有小於0.01秒的脈衝期間。在又另一具體實施例中,該等脈衝之間的洗淨期間可低到0秒或連續脈衝而於其間沒有洗淨。該氧來源或試藥係以對該矽前驅物1:1比例的分子用量提供,所以原沉積(as deposited)的含矽膜中還保持至少一些碳。
在某些具體實施例中,該等含矽膜包含矽及氮。在這些具體實施例中,使用文中所述的方法所沉積的含矽膜係於含氮來源存在之下形成。含氮來源可以至少一氮來源的形式引進該反應器及/或可附帶存在於該沉積方法中所用的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氨、肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電 漿、氮/氫電漿及其混合物。在某些具體實施例中,該含氮來源包含氨電漿或氫/氮電漿來源氣體,該來源氣體係於介於約1至約2000每分鐘標準立方公分(sccm)或約1至約1000 sccm的流速下引進該反應器。該含氮來源可被引進歷經介於約0.1至約100秒的時間。在藉由ALD或循環式CVD方法沉積該膜的具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝期間,而且該含氮來源可具有小於0.01秒的脈衝期間,而該水脈衝期間可具有小於0.01秒的脈衝期間。在又另一具體實施例中,該等脈衝之間的洗淨期間可低到0秒或連續脈衝而於其間沒有洗淨。
在此所揭示的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗淨未消耗的反應物及/或反應副產物,為不會與該等前驅物反應的惰性氣體。例示性洗淨氣體包括,但不限於,氬(Ar)、氮(N2 )、氦(He)、氖、氫(H2 )及其混合物。在某些具體實施例中,洗淨氣體例如Ar係於介於約10至約2000 sccm的流速下歷經約0.1至1000秒供應至該反應器中,藉以洗掉未反應的材料及可能留在該反應器中的任何副產物。
供應該等前驅物、氧來源、該含氮來源及/或其他前驅物、來源氣體及/或試藥的分別步驟可藉由改變供應彼等的時間以改變所得含矽膜的化學計量組成而進行。
將能量施於該前驅物、含氮的含氧來源、還原劑、其他前驅物或其組合之至少其一以引發反應並且將該含矽膜或塗層形成於該基材上。此能量可經由,但不限於,熱、 電漿、脈衝電漿、螺旋電漿(helicon plasma)、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合來提供。在某些具體實施例中,可使用二次RF頻率來源以改變該基材表面的電漿特性。在沉積涉及電漿的具體實施例中,該電漿產生方法可包含於該反應器中直接產生電漿的直接電漿產生方法,或選擇性地在該反應器外側產生電漿而且供應至該反應器中的遠距電漿產生方法。
該等有機胺基矽烷前驅物及/或其他含矽前驅物可以各式各樣的方式輸送至該反應艙,例如CVD或ALD反應器。有一具體實施例中,可利用液體輸送系統。有一替代具體實施例中,可運用合併液體輸送及閃蒸汽化方法,例如,舉例來說,明尼蘇達州,休爾瓦的MSP有限公司所製造的渦輪汽化器使低揮發性材料能夠以體積輸送,導致可再現的運送及沉積而不會使該前驅物熱分解。在液體輸送配方或組合物中,文中所述的前驅物可以純液體形態遞送,或者,可以溶劑調合物或包含該前驅物的組合物加以運用。因此,在某些具體實施例中該等前驅物調合物可包括指定的最終用途應用可能想要及有益的適合特性的溶劑組分以在基材上形成膜。
在另一具體實施例中,文中描述用於沉積包含該組合物的含矽膜之容器,該組合物包含,基本上由以下組成,或由以下組成:該第一及任意第二前驅物。有一特定具體實施例中,該容器包含至少一裝配適當閥及附件的可加壓容器(較佳由不銹鋼製成)以便能將一或更多前驅物輸送至 該反應器供CVD或ALD方法之用。在各個不同具體實施例中,將該第一及任意第二前驅物提供於包含不銹鋼的可加壓容器中而且該前驅物的純度為98重量%或更高或99.5%或更高,其適用於大部分半導體應用。在某些具體實施例中,這樣的容器也具有用於混合該第一及任意第二前驅物(如果存在的話)的裝置或可預先混合。或者,該第一及任意第二前驅物可保持於獨立容器中或具有分隔機構以供使該組合物中的前驅物於儲存期間保持分開之單一容器中。
如先前所述,該組合物中的前驅物的純度高到足以為可靠性半導體製造所接受。在某些具體實施例中,文中所述的前驅物包含低於2重量%或低於1重量%或低於0.5重量%的以下雜質之一或多者:游離胺類、鹵化物及較高分子量物種。較高純度的文中所述的前驅物可透過以下方法之一或多者獲得:純化、吸附及/或蒸餾。
在某些具體實施例中,從該等前驅物藥罐連接到該反應艙的氣體管道係加熱至一或更多溫度,其取決於製程需求,而且使容器(依據該第一及任意第二前驅物(在某些具體實施例中)是否分開或一起輸送)保持於一或更多溫度以供起泡。在其他具體實施例中,把包含該第一及任意第二前驅物的溶液(依據該第一及,若存在的話任意地,第二前驅物是否分開或一起輸送)注入保持於一或更多溫度的汽化器以供直接液體注入。
氬及/或其他氣體流可當作載體氣體以協助在該前驅 物脈衝的期間將該等前驅物的蒸氣輸送至該反應艙。在某些具體實施例中,該反應艙加工壓力為約1托耳。
在典型的ALD或CCVD方法中,該基材例如氧化矽基材係於一反應艙的加熱器段上加熱,該反應艙最初暴露於該含矽前驅物以使該錯合物能化學吸附於該基材的表面上。
洗淨氣體例如氬從該加工艙洗掉未被吸附的過量錯合物。經過充分洗淨之後,可將含氮來源引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。此加工循環可重複進行以達到所欲的膜厚度。
在各個不同具體實施例中,咸瞭解文中所述的方法的步驟可以各式各樣的順序及其任何組合進行,可連續地或同時地進行(例如,在另一步驟的至少一部分的期間)。供應該等前驅物及該等含氮來源氣體的分別步驟可藉由變化供應彼等的時期以改變所得含矽膜的化學計量組成而進行。
在某些具體實施例中,用以沉積該碳摻雜含矽膜的方法是ALD或循環式CVD方法而且該組合物包含第一及第二前驅物。在各個不同具體實施例中,該第一及第二前驅物的順序可依任何一或更多以下方式輸送,其中A表示該第一前驅物的輸送及B表示該第二前驅物的輸送:ABABABAB...,其中該第一及第二前驅物輪流輸送直到完成預期的循環數;AAAAABBBBB....,其中該等製程循環的前半部引進該第一前驅物而且該等製程循環的另外一半引 進該第二前驅物;及其組合。在各個不同具體實施例中,該第一前驅物相對於該第二前驅物的製程循環數可被最佳化以讓該含碳矽膜中的碳有一梯度。
文中揭示的方法使用前驅物組合物及氧來源形成該碳摻雜氧化矽膜。在一特定具體實施例中,該方法包含以下步驟:步驟1. 使包含第一前驅物,該第一前驅物包含有機烷氧基烷基矽烷,及任意包含有機胺基矽烷的第二前驅物,的組合物所產生之蒸氣與基材接觸以使該等前驅物化學吸附於受熱的基材上;步驟2. 洗掉任何未被吸附的前驅物;步驟3. 將氧來源引至該受熱的基材上以與被吸附的前驅物反應;及,步驟4. 洗掉任何沒反應過的氧來源。
重複進行步驟1至4直到達成想要的厚度。
在另一具體實施例中,該方法包含以下步驟:步驟1. 使第一前驅物所產生的蒸氣與基材接觸以使該前驅物化學吸附於受熱的基材上,該第一前驅物係至少一選自具有下式的化合物:
(a)R5 Si(NR3 R4 )x H3-x
(b)R6 Si(OR7 )x H3-x
(c)R8 N(SiR9 (NR10 R11 )H)2
其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、 線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 及R6 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;及R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環;而且其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環;L=Cl、Br、I;步驟2. 洗掉任何未被吸附的前驅物;步驟3. 將氧來源引至該受熱的基材上以與被吸附的矽前驅物反應;步驟4. 洗掉任何沒反應過的氧來源;步驟5. 任意地使任意第二前驅物所產生的蒸氣與基材接觸以使該第二前驅物化學吸附於受熱的基材上,其中該第二前驅物化合物具有此式Si(NR1 R2 )H3 ,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔 基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,而且其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環;步驟6. 洗掉任何未被吸附的前驅物;步驟7. 將氧來源引至該受熱的基材上以與被吸附的矽前驅物反應;步驟8. 洗掉任何沒反應過的氧來源。
重複進行步驟1至8直到達成想要的厚度。
在某些具體實施例中,文中所述的碳摻雜含矽膜具有6或更小的介電常數。在各個不同具體實施例中,該等膜可具有約5或以下,或約4或以下,或約3.5或以下的介電常數。然而,想像具有其他介電常數(例如,更高或更低)的膜可依據該膜所欲的最終用途形成。使用該等前驅物組合物及文中所述的方法所形成的碳摻雜含矽膜之實例具有此式Six Oy Cz Nv Hw ,其中Si介於約10%至約40%;O介於約0%至約65%;C介於約0%至約75%或約0%至約50%;N介於約0%至約75%或約0%至50%;而且H介於約0%至約50%原子百分比重量%,其中x+y+z+v+w=100原子重量百分比,舉例來說,由XPS或其他裝置所測得的。
如先前提過的,文中所述的方法可用於將碳摻雜含矽膜沉積於基材的至少一部分上。適合基材的實例包括但不限於,矽、SiO2 、Si3 N4 、OSG、FSG、碳化矽、加氫碳化矽、氮化矽、加氫氮化矽、碳氮化矽、加氫碳氮化矽、氮化硼、抗反射塗層、光阻劑、有機聚合物、多孔性有機及 無機材料、金屬例如銅及鋁及擴散阻障層,例如但不限於TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN,而且透明非晶形氧化物半導體(TAOS)或金屬氧化物材料包括a-IGZO(非晶形氧化鎵銦鋅)、氧化鋅。該等膜可與多變的後續加工步驟相容,例如,舉例來說,化學機械平坦化(CMP)及非等方性蝕刻製程。
所沉積的膜具有下列應用,其包括,但不限於,電腦晶片、光學裝置、磁性資訊儲存、於支撐材料或基材上的塗層、微電機系統(MEMS)、奈米電機系統、薄膜電晶體(TFT)及液晶顯示器(LCD)。
下列實施例舉例說明用於製備文中所述的有機胺基矽烷前驅物以及所沉積的含矽膜的方法而且不欲以任何方式限制本發明。
實施例 實施例1:2,6-二甲基六氫吡啶基(甲基)矽烷的製備
將0.052 Nm3 的二氯矽烷溶於在-20℃在氮氣氣氛作用之下的6L有攪拌的反應器中的4.36己烷類以製備2,6-二甲基六氫吡啶基(氯)矽烷。對此溶液添加244 g的三乙基胺並且接著配合連續攪動緩慢添加260 g的順式-2,6-二甲基六氫吡啶同時將溫度保持於-20℃。一旦添加完成,讓該混合物暖化至20℃並且攪拌16小時。形成大量白色沉澱物,藉由過濾將其移除。以己烷沖洗該沉澱物。濾液與含有2,6-二甲基六氫吡啶基(氯)矽烷,其藉由洗滌於低壓下除 去己烷類而單離出來,的沖洗液合併。進一步純化藉由殘餘物於100℃在減壓之下簡單蒸餾達成。2,6-二甲基六氫吡啶基(氯)矽烷的身份係藉由質譜計測定,其於177(M+)、162(M-CH3 )顯示出峰,與2,6-二甲基六氫吡啶基(氯)矽烷的分子量(177.75)一致。
將130 g的如上述製備的2,6-二甲基六氫吡啶基(氯)矽烷溶於386 g的四氫呋喃並且置於在惰性氣氛作用之下的2 L反應器中。將溶液冷卻至-20℃並且接著配合攪拌歷經60分鐘逐漸添加247 g的3莫耳氯化甲基鎂於四氫呋喃中的溶液同時使溫度保持於-20℃。接著讓該混合物暖化至20℃經過30分鐘並且接著於該溫度下攪拌18小時。看到大量白色沉澱物。過濾混合物並且以另外100 mL四氫呋喃沖洗該沉澱物。藉由簡單蒸餾於低壓下自這些合併的濾液除去四氫呋揇。以400 mL己烷類萃取所得的黃色漿料並且藉由過濾除去固體並且以兩份50 mL的己烷類沖洗。自此合併的濾液滌除己烷類以製造粗製產物,該粗製產物係藉由簡單蒸餾進一步純化以提供70.4 g的產物。該材料的身份係藉由質譜計測定(參見圖2),其於157(M+)、142(M-CH3 )顯示出峰,與2,6-二甲基六氫吡啶基甲基矽烷的分子量(157.33)一致。帶導熱度偵測的氣體層析指示大約97重量%的純度。沸點藉由DSC於大氣壓力時測量為約173℃(參見圖2)。
小心清洗3個10 cc不銹鋼容器並且在使用之前於175℃烘烤。每個不銹鋼容器裝載一含有2 ml的2,6-二甲 基六氫吡啶基甲基矽烷試樣的安瓿。該等安瓿接著使用預調設於100℃±2℃的實驗室烘箱儲存於恆溫環境中3天。藉由氣體層析(GC)測定該等試樣以求得降解的程度而且將結果顯示於表2。加熱之後的平均純度顯示實質上沒有變化,證明其具有優點的熱安定性並且可作為可靠性半導體製程的適合前驅物。
實施例2:含矽膜的原子層沉積
利用以下前驅物進行含矽膜的原子層沉積:2,6-二甲基六氫吡啶基矽烷及2,6-二甲基六氫吡啶基甲基矽烷。藉由實驗室規模的ALD加工機具進行沉積。所有氣體(例如,洗淨及反應物氣體或前驅物及氧來源)在進入沉積區之前先預熱至100℃。以具有高速致動能力的ALD膜片閥控制氣體及前驅物流速。沉積時所用的基材是熱電耦接附於試樣固持器上以確認基材溫度的12吋長的矽條。利用臭氧作為氧來源氣體進行沉積並且將沉積的加工參數列於表II中。
界定所得的含矽膜膜的沉積速率及折射率特徵。該等膜的厚度及折射率利用FilmTek 2000SE橢圓儀藉由將該膜的反射數據擬合於預設的物理模型(例如,Lorentz Oscillator模型)測得。
利用49%氫氟酸(HF)於去離子水中的1%溶液進行濕式蝕刻速率。使用熱氧化物晶圓作為各自試驗的參考物。在蝕刻前後利用橢圓儀測量試樣及比較性氧化矽參考物的膜厚度。具有碳摻雜劑的氧化矽膜具有比氧化矽膜低的濕式蝕刻速率。
以動態二次離子質譜儀(SIMS)技術分析膜組成。利用傅利葉(Fourier)轉換紅外線(FTIR)光譜儀來確認膜構造。IR光譜中的吸收率係以膜厚度標準化以供比較。表III是沉積溫度,沉積速率,折射率,濕式蝕刻速率及藉由動態二次離子質譜儀(SIMS)測得的碳含量的彙總。該等含矽膜係利用下述方法來沉積。
方法(a)描述利用2,6-二甲基六氫吡啶基矽烷於以下3個不同基材溫度下形成含矽膜:300℃、150℃及100℃,該方法使用以下製程步驟:
步驟1. 接觸2,6-二甲基六氫吡啶基矽烷的蒸氣
步驟2. 洗掉任何未被吸附的2,6-二甲基六氫吡啶基矽烷
步驟3. 引進臭氧以與被吸附的2,6-二甲基六氫吡啶基矽烷反應
步驟4. 洗掉任何沒反應過的臭氧
重複進行以上方法(a)的步驟500次。所沉積的膜沒顯示出於2800-2960 cm-1 的任何顯著的C-H記號或於約1250 cm-1 的Si-CH3 峰,如FTIR所確認的。
方法(b)描述利用2,6-二甲基六氫吡啶基甲基矽烷於以下3個不同基材溫度下形成含矽膜:300℃、150℃及100 ℃,該方法使用以下製程步驟:
步驟1. 接觸2,6-二甲基六氫吡啶基甲基矽烷的蒸氣
步驟2. 洗掉任何未被吸附的2,6-二甲基六氫吡啶基甲基矽烷
步驟3. 引進臭氧以與被吸附的2,6-二甲基六氫吡啶基甲基矽烷反應
步驟4. 洗掉任何沒反應過的臭氧
重複進行該等步驟500個循環。於300℃沉積的膜顯示與方法(a)中的2,6-二甲基六氫吡啶基矽烷非常類似的IR記號(例如,沒有於2800至2960 cm-1 的C-H記號及於約1250 cm-1 的Si-CH3 記號)。於150℃沉積的膜產生C-H及Si-CH3 吸收率峰而且於100℃更強。
方法(c)描述利用該第一前驅物2,6-二甲基六氫吡啶基甲基矽烷及該第二前驅物2,6-二甲基六氫吡啶基矽烷於100℃的基材溫度下輪流注入形成含矽膜;
步驟1. 接觸2,6-二甲基六氫吡啶基矽烷的蒸氣
步驟2. 洗掉任何未被吸附的2,6-二甲基六氫吡啶基矽烷
步驟3. 引進臭氧以與被吸附的2,6-二甲基六氫吡啶基矽烷反應
步驟4. 洗掉任何沒反應過的臭氧
步驟5. 接觸2,6-二甲基六氫吡啶基甲基矽烷的蒸氣
步驟6. 洗掉任何未被吸附的2,6-二甲基六氫吡啶基甲基矽烷;
步驟7. 引進臭氧以與被吸附的2,6-二甲基六氫吡啶基甲 基矽烷反應
步驟8. 洗掉任何沒反應過的臭氧
重複進行該等步驟250次。
參照表III,利用2,6-二甲基六氫吡啶基矽烷的含矽膜 的濕式蝕刻速率不管沉積溫度均沒顯示改善,其與沒碳摻入該等膜的情況一致。然而,意外地,利用2,6-二甲基六氫吡啶基甲基矽烷於300℃下沉積的含矽膜顯示與來自2,6-二甲基六氫吡啶基矽烷的膜非常類似的IR記號,即,沒有於2800至2960 cm-1 的C-H記號及於約1250 cm-1 的Si-CH3 記號,但是所希望的是2,6-二甲基六氫吡啶基甲基矽烷中的Si-CH3 基團要被加入所得的含矽膜中。另外,於150℃沉積的膜中產生C-H及Si-CH3 吸收率峰而且於100℃以二甲基六氫吡啶基甲基矽烷沉積的膜更強。該濕式蝕刻速率與加入該等膜的碳含量正相關,即該碳含量越高,該濕式蝕刻速率越低。使用2,6-二甲基六氫吡啶基矽烷或2,6-二甲基六氫吡啶基甲基矽烷於300℃沉積的膜中的碳含量非常類似於2 x 1019 原子數/cc,表示臭氧使2,6-二甲基六氫吡啶基甲基矽烷中的Si-CH3 基團有效地氧化。然而,使該沉積溫度從300℃降至150℃或100℃由於有機胺基矽烷的較少有效氧化而提高加入膜中的碳量。重要的是,對於於100℃的溫度由2,6-二甲基六氫吡啶基甲基矽烷沉積的膜該效應更明顯,其顯示更高兩個量級的碳原子數。此外,不受理論限制,據推測該等膜中的碳量也可藉由幾種其他方法調整,例如減短脈衝時間,降低臭氧濃度,交替碳摻雜含矽膜的層,以及共沉積碳摻雜含矽層與沒碳摻雜的含矽膜。
圖3顯示於100℃沉積的2,6-二甲基六氫吡啶基矽烷與2,6-二甲基六氫吡啶基甲基矽烷之間的IR光譜比較。圖 4提供於不同溫度下沉積的2,6-二甲基六氫吡啶基甲基矽烷膜之間的比較。此實施例證實該含矽膜的碳含量可經由變化沉積溫度或利用二不同有機胺基矽烷來調整。
圖1提供實施例1所述的2,6-二甲基六氫吡啶基甲基矽烷的質譜(MS)光譜。
圖2提供2,6-二甲基六氫吡啶基甲基矽烷的熱重量分析(TGA)及示差掃描式熱分析儀(DSC)分析。
圖3提供於100℃的溫度利用2,6-二甲基六氫吡啶基矽烷及2,6-二甲基六氫吡啶基甲基矽烷所沉積的膜之IR光譜比較。
圖4提供於不同溫度(例如,100℃、150℃及300℃)利用2,6-二甲基六氫吡啶基甲基矽烷所沉積的膜之IR光譜比較。

Claims (35)

  1. 一種用於沉積碳摻雜含矽膜之組合物,其包含:第一前驅物,其包含至少一選自由以下所組成的群組的化合物:(i)具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1;(ii)具有式R6 Si(OR7 )x H3-x 的有機烷氧基烷基矽烷,其中x=1或2;(iii)具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基矽烷;及(iv)具有式R8 N(SiR9 LH)2 的有機胺基矽烷及其組合,其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 及R6 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團、C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R10 及R11 係各自獨立地選自由C1 至C10 線性或分支 烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環;及其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環;及L=Cl、Br或I。
  2. 如申請專利範圍第1項之組合物,其另外包含第二前驅物,該第二前驅物包含具有式Si(NR1 R2 )H3 的有機胺基矽烷,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,及其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環。
  3. 如申請專利範圍第2項之組合物,其包含:具有下式(i Pr2 N)R5 SiH2 的第一前驅物,其中R5 係選自由Me、Et、n Pr、i Pr、n Bu、i Bu、s Bu、t Bu、戊基異構物、乙烯基、苯基及經烷基取代的苯基所組成的群組;及包含(i Pr2 N)SiH3 的第二前驅物。
  4. 如申請專利範圍第2項之組合物,其包含:具有下式(s Bu2 N)R5 SiH2 的第一前驅物,其中R5 係選自由Me、Et、n Pr、i Pr、n Bu、i Bu、s Bu、t Bu、戊基異構物、 乙烯基、苯基及經烷基取代的苯基所組成的群組;及包含(s Bu2 N)SiH3 的第二前驅物。
  5. 如申請專利範圍第2項之組合物,其包含:具有下式(2,6-二甲基六氫吡啶基)R5 SiH2 的第一前驅物,其中R5 係選自由Me、Et、n Pr、i Pr、n Bu、i Bu、s Bu、t Bu、戊基異構物、乙烯基、苯基及經烷基取代的苯基所組成的群組;及包含(2,6-二甲基六氫吡啶基)SiH3 的第二前驅物。
  6. 如申請專利範圍第2項之組合物,其包含:具有下式(苯基甲基胺基)R5 SiH2 的第一前驅物,其中R5 係選自由Me、Et、n Pr、i Pr、n Bu、i Bu、s Bu、t Bu、戊基異構物、乙烯基、苯基及經烷基取代的苯基所組成的群組;及包含(苯基甲基胺基)SiH3 的第二前驅物。
  7. 一種經由原子層沉積法形成摻雜碳氧化矽膜之方法,該方法包含以下步驟:a.將基材提供於一反應器中;b.將包含至少一選自由以下所組成的群組之化合物的第一前驅物引進該反應器中:(i)具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1、2、3; (ii)具有式R6 Si(OR7 )x H3-x 的有機烷氧基烷基矽烷,其中x=1、2、3;(iii)具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基矽烷;及(iv)具有式R8 N(SiR9 LH)2 的有機胺基矽烷及其組合;其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 及R6 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團、C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環;其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環;及L=Cl、Br或I;c.以洗淨氣體洗淨該反應器; d.將氧來源引進該反應器中;e.將具有下式Si(NR1 R2 )H3 的第二前驅物引進該反應器,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,及其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環;f.以洗淨氣體洗淨該反應器;g.將氧來源引進該反應器中;h.以洗淨氣體洗淨該反應器;及重複進行步驟b至h直到獲得想要的膜厚度。
  8. 如申請專利範圍第7項之方法,其中該第一前驅物包含2,6-二甲基六氫吡啶基甲基矽烷。
  9. 如申請專利範圍第8項之方法,其中該第二前驅物包含2,6-二甲基六氫吡啶基矽烷。
  10. 如申請專利範圍第7項之方法,其中該碳摻雜氧化矽膜中的碳量係藉由調整第一前驅物對第二前驅物的比例來調整。
  11. 一種經由原子層沉積法形成碳摻雜氮化矽膜之方法,該方法包含以下步驟: a.將基材提供於一反應器中;b.將包含至少一選自由以下所組成的群組之化合物的第一前驅物引進該反應器中:(i)具有式R5 Si(NR3 R4 )x H3-x 的有機胺基烷基矽烷,其中x=1、2、3;(ii)具有式R6 Si(OR7 )x H3-x 的有機烷氧基烷基矽烷,其中x=1、2、3;(iii)具有式R8 N(SiR9 (NR10 R11 )H)2 的有機胺基矽烷;及(iv)具有式R8 N(SiR9 LH)2 的有機胺基矽烷及其組合;其中R3 、R4 及R7 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;R5 及R6 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團、C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組;R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;其中R3 及R4 可形 成一環狀環或一經烷基取代的環狀環;其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環;及L=Cl、Br或I;c.以洗淨氣體洗淨該反應器;d.將氮來源引進該反應器中;e.將具有下式Si(NR1 R2 )H3 的第二前驅物引進該反應器,其中R1 及R2 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組,及其中R1 及R2 可形成一環狀環或一經烷基取代的環狀環;f.以洗淨氣體洗淨該反應器;g.將氮來源引進該反應器中;h.以洗淨氣體洗淨該反應器;及重複進行步驟b至h直到獲得想要的膜厚度。
  12. 如申請專利範圍第11項之方法,其中該第一前驅物包含2,6-二甲基六氫吡啶基甲基矽烷。
  13. 如申請專利範圍第12項之方法,其中該第二前驅物包含2,6-二甲基六氫吡啶基矽烷。
  14. 如申請專利範圍第11項之方法,其中該碳摻雜氮化矽膜中的碳量係藉由調整第一前驅物對第二前驅物的比例來調整。
  15. 一種用於沉積碳摻雜含矽膜之組合物,其包含:具有式R5 Si(NR3 R4 )x H3-x 的第一前驅物,其中x=1,其中R3 及R4 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R5 係選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團、C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組,及其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環。
  16. 如申請專利範圍第15項之組合物,其中以一不銹鋼容器提供該組合物。
  17. 一種用於沉積碳摻雜含矽膜之組合物,其包含:具有式R6 Si(OR7 )x H3-x 的第一前驅物,其中x=1或2而且,其中R7 係獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R6 係獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳 族基團、C3 至C10 飽和或不飽和雜環族基團及鹵原子所組成的群組。
  18. 如申請專利範圍第17項之組合物,其中以一不銹鋼容器提供該組合物。
  19. 一種用於沉積碳摻雜含矽膜之組合物,其包含:具有式R8 N(SiR9 (NR10 R11 )H)2 的第一前驅物,其中R8 及R9 係各自獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;而且R10 及R11 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;及其中R10 及R11 可形成一環狀環或一經烷基取代的環狀環。
  20. 如申請專利範圍第19項之組合物,其中以一不銹鋼容器提供該組合物。
  21. 一種用於沉積碳摻雜含矽膜之組合物,其包含:具有式R8 N(SiR9 LH)2 的第一前驅物,其中R8 及R9 係獨立地選自由氫、C1 至C10 線性或分支烷基、C3 至C10 環 狀烷基、線性或分支C2 至C10 烯基、線性或分支C3 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;及L係選自由Cl、Br及I所組成的群組之鹵基。
  22. 如申請專利範圍第21項之組合物,其中以一不銹鋼容器提供該組合物。
  23. 一種於300℃或更低溫度於一基材上形成一含有一厚度的膜的方法,該膜係選自氧化矽及碳摻雜的氧化矽的膜所組成的群組,該方包含:a.導入具有式R5 Si(NR3 R4 )x H3-x 的一有機胺基烷基矽烷前驅物,其中R3 及R4 係各自獨立地選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團及C3 至C10 飽和或不飽和雜環族基團所組成的群組;及其中R3 及R4 可形成一環狀環或一經烷基取代的環狀環;R5 係選自由C1 至C10 線性或分支烷基、C3 至C10 環狀烷基、線性或分支C2 至C10 烯基、線性或分支C2 至C10 炔基、C5 至C10 芳族基團、及C3 至C10 飽和或不飽和雜環族基團所組成的群組;x=1;b.化學吸附該有機胺基烷基矽烷前驅物於該基材上;c.以洗淨氣體沖掉未反應的該有機胺基烷基矽烷前驅物;及 d.將含氧來源提供給在該被加熱的基材上的該有機胺基烷基矽烷前驅物,以與該被吸附的有機胺基烷基矽烷前驅物反應,其中該等步驟被重複直到該膜的厚度被獲得。
  24. 如申請專利範圍第23項的方法,其進一步包含:(e)沖掉任何未反應的該含氧來源。
  25. 如申請專利範圍第23項的方法,其中該有機胺基烷基矽烷前驅物包含一具有式(Me2 N)R5 SiH2 的一有機胺基烷基矽烷,其中的R3 及R4 係甲基(Me);R5 係選自甲基、乙基、正丙基、異丙基、正丁基、異丁基、第二丁基及第三丁基所組成的群組;及x=1。
  26. 如申請專利範圍第23項的方法,其中該有機胺基烷基矽烷前驅物包含一具有式(Et2 N)R5 SiH2 的一有機胺基烷基矽烷,其中的R3 及R4 係乙基(Et);R5 係選自甲基、乙基、正丙基、異丙基、正丁基、異丁基、第二丁基及第三丁基所組成的群組;及x=1。
  27. 如申請專利範圍第23項的方法,其中該有機胺基烷基矽烷前驅物包含一具有式(i Pr2 N)R5 SiH2 的一有機胺基烷基矽烷,其中的R3 及R4 係異丙基(i Pr);R5 係選自甲基、乙基、正丙基、異丙基、正丁基、異丁基、第二丁基及第三丁基所組成的群組;及x=1。
  28. 如申請專利範圍第23項的方法,其中該有機胺基烷基矽烷前驅物包含一具有式(s Bu2 N)R5 SiH2 的一有機胺基烷基矽烷,其中的R3 及R4 係第二丁基(s Bu);R5 係選自甲基、乙基、正丙基、異丙基、正丁基、異丁基、第二丁基、第三丁基、戊基的異構物、乙烯基、苯基、及烷基取代的苯基所組成的群組;及x=1。
  29. 如申請專利範圍第23項的方法,其中該有機胺基烷基矽烷前驅物包含一具有式(2,6-二甲基六氫吡啶基)R5 SiH2 的一有機胺基烷基矽烷,其中的R3 及R4 係烷基取代的環狀環2,6-二甲基六氫吡啶基;R5 係選自甲基、乙基、正丙基、異丙基、正丁基、異丁基、第二丁基、第三丁基、戊基的異構物、乙烯基、苯基、及烷基取代的苯基所組成的群組;及x=1。
  30. 如申請專利範圍第23項的方法,其中該有機胺基烷基矽烷前驅物包含一具有式(苯基甲基胺基)R5 SiH2 的一有機胺基烷基矽烷,其中的R3 是苯基;R4 是甲基;R5 係選自甲基、乙基、正丙基、異丙基、正丁基、異丁基、第二丁基、第三丁基、戊基的異構物、乙烯基、苯基、及烷基取代的苯基所組成的群組;及x=1。
  31. 如申請專利範圍第23項的方法,其中該化學吸附的步 驟是在200℃或更低溫度進行。
  32. 如申請專利範圍第23項的方法,其中該化學吸附的步驟是在100℃或更低溫度進行。
  33. 如申請專利範圍第23項的方法,其中該化學吸附的步驟是在50℃或更低溫度進行。
  34. 如申請專利範圍第23項的方法,其中該形成方法係選自原子層沉積、電漿強化原子層沉積、循環式化學氣相沉積及電漿強化循環式化學氣相沉積所組成的群組。
  35. 如申請專利範圍第23項的方法,其中該形成方法係選自遠距電漿強化原子層沉積、原處(in situ )電漿強化原子層沉積、遠距電漿強化循環式化學氣相沉積及原處電漿強化循環式化學氣相沉積所組成的群組。
TW101120022A 2011-06-03 2012-06-04 用於沉積碳摻雜含矽膜的組合物及方法 TWI496934B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161493031P 2011-06-03 2011-06-03

Publications (2)

Publication Number Publication Date
TW201250046A TW201250046A (en) 2012-12-16
TWI496934B true TWI496934B (zh) 2015-08-21

Family

ID=46276000

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101120022A TWI496934B (zh) 2011-06-03 2012-06-04 用於沉積碳摻雜含矽膜的組合物及方法

Country Status (7)

Country Link
US (5) US9447287B2 (zh)
EP (3) EP2714960B1 (zh)
JP (3) JP5785325B2 (zh)
KR (3) KR102072348B1 (zh)
CN (2) CN103582719B (zh)
TW (1) TWI496934B (zh)
WO (1) WO2012167060A2 (zh)

Families Citing this family (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9809711B2 (en) 2012-01-17 2017-11-07 Versum Materials Us, Llc Catalyst and formulations comprising same for alkoxysilanes hydrolysis reaction in semiconductor process
US20130243968A1 (en) * 2012-03-16 2013-09-19 Air Products And Chemicals, Inc. Catalyst synthesis for organosilane sol-gel reactions
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6155063B2 (ja) * 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US10170297B2 (en) * 2013-08-22 2019-01-01 Versum Materials Us, Llc Compositions and methods using same for flowable oxide deposition
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US20150275355A1 (en) * 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN104157567A (zh) * 2014-08-20 2014-11-19 上海华力微电子有限公司 一种氧化硅膜的制备方法
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11035039B2 (en) * 2015-07-31 2021-06-15 Versum Materials Us, Llc Compositions and methods for depositing silicon nitride films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
EP3394315A4 (en) * 2015-12-21 2019-10-30 Versum Materials US, LLC COMPOSITIONS AND METHODS USING SAME FOR DEPOSITION OF SILICON-CONTAINING FILM
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102482618B1 (ko) * 2016-02-26 2022-12-28 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 막의 증착을 위한 조성물, 및 이를 이용한 방법
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
CN107794515B (zh) * 2016-09-01 2021-06-22 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
CN106433454A (zh) * 2016-09-12 2017-02-22 上海至纯洁净系统科技股份有限公司 在物体表面形成保护层的方法及表面形成有保护层的产品
US10464953B2 (en) * 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
CN106684035B (zh) * 2016-10-28 2019-07-23 复旦大学 一种抗铜扩散阻挡层薄膜及其制备方法
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
DE102017204257A1 (de) * 2017-03-14 2018-09-20 Schunk Kohlenstofftechnik Gmbh Beschichtetes Produkt und Verfahren zur Herstellung
KR102105976B1 (ko) * 2017-03-29 2020-05-04 (주)디엔에프 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR20180110612A (ko) * 2017-03-29 2018-10-10 (주)디엔에프 비스(아미노실릴)알킬아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
CN112969816A (zh) * 2018-10-04 2021-06-15 弗萨姆材料美国有限责任公司 用于高质量氧化硅薄膜的高温原子层沉积的组合物
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
WO2020111405A1 (ko) * 2018-11-30 2020-06-04 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR102157137B1 (ko) 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102274412B1 (ko) 2019-01-24 2021-07-07 주식회사 엘지에너지솔루션 배터리 특성 측정 장치
SG11202107377VA (en) 2019-01-24 2021-08-30 Applied Materials Inc Methods for depositing silicon nitride
JP7178918B2 (ja) * 2019-01-30 2022-11-28 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び処理システム
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020163359A1 (en) * 2019-02-05 2020-08-13 Versum Materials Us, Llc Deposition of carbon doped silicon oxide
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114365265A (zh) * 2019-09-10 2022-04-15 弗萨姆材料美国有限责任公司 用于非保形沉积含硅膜的组合物和使用该组合物的方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023042386A1 (ja) * 2021-09-17 2023-03-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム及びコーティング方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US20040052004A1 (en) * 2002-07-11 2004-03-18 Masatoshi Nakayama Thin-film magnetic head, method for producing the same and magnetic disk device using the same
WO2010047869A1 (en) * 2008-10-20 2010-04-29 Dow Corning Corporation Cvd precursors

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL22431A (en) 1964-11-12 1968-11-27 Fuchs J Process for the production of disilazanes and the products obtained by this method
FR2599037B1 (fr) 1986-05-26 1990-05-04 Europ Propulsion Dihydrogeno-1,3 disilazanes fonctionnels et procede pour leur preparation
US4988573A (en) * 1988-07-14 1991-01-29 Tdk Corporation Medium related members
JPH0812845B2 (ja) 1989-04-06 1996-02-07 松下電子工業株式会社 半導体装置の製造方法
US4950950A (en) * 1989-05-18 1990-08-21 Eastman Kodak Company Electroluminescent device with silazane-containing luminescent zone
JP3396791B2 (ja) * 1994-08-30 2003-04-14 富士通株式会社 絶縁膜の形成方法
TW285753B (zh) 1995-01-04 1996-09-11 Air Prod & Chem
US5888662A (en) * 1996-11-26 1999-03-30 Motorola, Inc. Modified electrodes for display devices
JP2000080476A (ja) * 1998-06-26 2000-03-21 Toshiba Corp 気相成長方法および気相成長装置およびハロゲン化アンモニウム除去装置
US7005392B2 (en) * 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP4049214B2 (ja) * 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
JP3585917B2 (ja) * 2002-07-11 2004-11-10 Tdk株式会社 薄膜磁気ヘッド、その製造方法及びそれを用いた磁気ディスク装置
JP4032889B2 (ja) * 2002-09-06 2008-01-16 ソニー株式会社 絶縁膜の形成方法
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) * 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4334425B2 (ja) * 2004-07-09 2009-09-30 富士通株式会社 ホームエージェント
US20060045986A1 (en) * 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US8748003B2 (en) 2004-09-01 2014-06-10 Konica Minolta Holdings, Inc. Gas barrier laminate and production method of the same
JP4894153B2 (ja) 2005-03-23 2012-03-14 株式会社アルバック 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
CN1834288A (zh) * 2006-04-07 2006-09-20 中国科学院上海硅酸盐研究所 一种低温化学气相沉积制备氮化硅薄膜的方法
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
CN101889331A (zh) * 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
DE102008037896A1 (de) 2008-08-15 2010-02-18 Ksb Aktiengesellschaft Energierückgewinnungseinrichtung und Verfahren zur Auslegung
JP4982457B2 (ja) * 2008-09-11 2012-07-25 信越化学工業株式会社 パターン形成方法
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5547418B2 (ja) * 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
JP2010275602A (ja) 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US8329599B2 (en) * 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5424095A (en) * 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
US20040052004A1 (en) * 2002-07-11 2004-03-18 Masatoshi Nakayama Thin-film magnetic head, method for producing the same and magnetic disk device using the same
WO2010047869A1 (en) * 2008-10-20 2010-04-29 Dow Corning Corporation Cvd precursors

Also Published As

Publication number Publication date
US20160351389A1 (en) 2016-12-01
CN103582719A (zh) 2014-02-12
US10319584B2 (en) 2019-06-11
KR20160093093A (ko) 2016-08-05
WO2012167060A3 (en) 2013-01-24
CN106048557B (zh) 2021-01-29
US20230348736A1 (en) 2023-11-02
CN106048557A (zh) 2016-10-26
US9447287B2 (en) 2016-09-20
JP2014523638A (ja) 2014-09-11
TW201250046A (en) 2012-12-16
CN103582719B (zh) 2016-08-31
JP6466897B2 (ja) 2019-02-06
KR20140031964A (ko) 2014-03-13
EP3929326A2 (en) 2021-12-29
KR102072348B1 (ko) 2020-01-31
EP2714960A2 (en) 2014-04-09
EP3330404B1 (en) 2021-09-29
US11725111B2 (en) 2023-08-15
US20190287798A1 (en) 2019-09-19
KR101659463B1 (ko) 2016-09-23
WO2012167060A2 (en) 2012-12-06
JP2015233153A (ja) 2015-12-24
JP5785325B2 (ja) 2015-09-30
US20140287164A1 (en) 2014-09-25
US20220041870A1 (en) 2022-02-10
JP2017082333A (ja) 2017-05-18
JP6050441B2 (ja) 2016-12-21
EP3929326A3 (en) 2022-03-16
EP2714960B1 (en) 2018-02-28
KR20190008997A (ko) 2019-01-25
EP3330404A2 (en) 2018-06-06
EP3330404A3 (en) 2018-09-12

Similar Documents

Publication Publication Date Title
TWI496934B (zh) 用於沉積碳摻雜含矽膜的組合物及方法
TWI673278B (zh) 用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
TWI491760B (zh) 有機胺基矽烷前驅物及其膜的沉積方法
TWI541248B (zh) 有機胺基矽烷前驅物及其製造和使用方法
KR101506940B1 (ko) 할로겐화된 유기아미노실란 전구체 및 이를 포함하는 필름을 증착시키기 위한 방법
JP2018195833A (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
JP2022518595A (ja) ケイ素含有膜のための組成物及びその組成物を使用する方法
TW201630921A (zh) 用於含鋯膜氣相沈積的含鋯成膜組成物