CN112969816A - 用于高质量氧化硅薄膜的高温原子层沉积的组合物 - Google Patents

用于高质量氧化硅薄膜的高温原子层沉积的组合物 Download PDF

Info

Publication number
CN112969816A
CN112969816A CN201980073496.6A CN201980073496A CN112969816A CN 112969816 A CN112969816 A CN 112969816A CN 201980073496 A CN201980073496 A CN 201980073496A CN 112969816 A CN112969816 A CN 112969816A
Authority
CN
China
Prior art keywords
bis
reactor
methylvinylsilane
dimethylamino
silicon precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980073496.6A
Other languages
English (en)
Inventor
王美良
雷新建
M·B·拉奥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN112969816A publication Critical patent/CN112969816A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/40Electric properties

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Inorganic Insulating Materials (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

公开了以>600℃的温度形成氧化硅的原子层沉积(ALD)工艺。所用的硅前体具有下式:I.R1R2 mSi(NR3R4)n,其中R1、R2和R3各自独立地选自直链或支链的C1至C10烷基和C6至C10芳基;R4选自氢、直链或支链的C1至C10烷基以及C6至C10芳基、C3至C10烷基甲硅烷基;其中R3和R4连接以形成环状环结构或R3和R4不连接形成环状环结构;m为0至2;n为1至3;并且m+n=3。

Description

用于高质量氧化硅薄膜的高温原子层沉积的组合物
相关申请的交叉引用
本申请要求于2018年10月4日提交的美国临时专利申请第62/741,126号的优先权,其全部内容通过引用并入本文。
发明背景
本文描述了用于形成氧化硅膜的组合物和方法。更具体地,本文描述了用于在约600℃或更高的一个或多个沉积温度下并且使用原子层沉积(ALD)工艺形成氧化硅膜的组合物和方法。
热氧化是通常在半导体应用中用于沉积高纯度和高度保形的氧化硅膜,如二氧化硅(SiO2)的工艺。然而,热氧化过程具有非常低的沉积速率,例如在700℃下小于
Figure BDA0003054365110000011
这使得其不适用于大批量制造工艺(参见,例如,Wolf,S.,“Silicon Processing for theVLSI Era Vol.1–Process Technology”,Lattice Press,CA,1986)。
原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)是用于在低温(<500℃)下沉积二氧化硅(SiO2)保形膜的工艺。在ALD和PEALD工艺中,前体和反应性气体(例如,氧气或臭氧)在一定数量的循环中被分别脉冲,以在每一个循环中形成单层的二氧化硅(SiO2)。但是,使用这些工艺在低温下沉积的二氧化硅(SiO2)可能包含对半导体应用有害的水平的杂质,例如碳(C)、氮(N)、氢(H)或这些的组合。为了解决这个问题,一种可能的解决方案是提高沉积温度,例如500℃或更高。但是,在这些较高的温度下,半导体工业使用的常规前体倾向于进行自身反应、热分解和以化学气相沉积(CVD)模式而不是ALD模式沉积。与ALD沉积相比,CVD模式沉积具有降低的保形性,尤其是在半导体应用中的高纵横比结构中。此外,与ALD模式沉积相比,CVD模式沉积对薄膜或材料厚度的控制较少。
JP2010275602和JP2010225663公开了使用原材料在300-500℃的温度范围内通过CVD工艺形成诸如氧化硅的含硅薄膜。原料是有机硅化合物,由下式表示:(a)HSi(CH3)(R1)(NR2R3),其中,R1代表NR4R5或1C-5C烷基;R2和R4分别代表1C-5C烷基或氢原子;且R3和R5分别表示1C-5C烷基;或(b)HSiCl(NR1R2)(NR3R4),其中R1和R3独立地表示具有1-4个碳原子的烷基或氢原子;以及R2和R4独立地表示具有1-4个碳原子的烷基。有机硅化合物包含H-Si键。
美国专利第7,084,076号(“′076专利”)公开了一种卤化硅氧烷,例如,六氯二硅氧烷(HCDSO),其与作为催化剂的吡啶结合用于在低于500℃下的ALD沉积以形成二氧化硅。
美国专利第6,992,019号(“'019专利”)公开了通过使用由具有至少两个硅原子的硅化合物组成的第一反应物组分,或使用脂族叔胺作为催化剂组分,或两者结合使用,用于在半导体衬底上形成具有优异性能的二氧化硅层的催化剂辅助ALD的方法,以及相关的吹扫方法和定序。所用的前体是六氯乙硅烷。沉积温度在25-150℃之间。
美国专利号US9,460,912和US10,242,864(“‘912和‘864专利”)公开了用于在一个或多个约500摄氏度的沉积温度下形成含氧化硅的膜的组合物和原子层沉积(ALD)方法。一个方面,该组合物和方法使用一种或多种选自具有下述式I、II的化合物及其组合的硅前体:R1R2 mSi(NR3R4)nXp I和R1R2 mSi(OR3)n(OR4)qXp II。
需要开发一种使用ALD工艺或ALD样工艺(如但不限于CVD工艺)替代基于热的沉积工艺来形成高质量、低杂质、高保形的氧化硅膜的方法。此外,期望的是开发在ALD或ALD样工艺中的高温沉积(例如,在600℃的一个或多个温度下沉积)以改善一种或多种膜性质,例如纯度和/或密度。
发明概述
本文描述了一种用于在ALD或ALD样工艺中在高温下,例如在一个或多个600℃或更高的温度下沉积氧化硅材料或膜的方法。
公开的一个实施方式是一种用于氧化硅膜沉积到衬底上的方法,包括以下步骤:a.在反应器中提供衬底;b.向反应器中引入至少一种硅前体,其中该至少一种硅前体具有式I表示的结构:
R1R2 mSi(NR3R4)n (I),
其中R1、R2和R3各自独立地选自直链或支链的C1至C10烷基和C6至C10芳基;R4选自氢、直链或支链的C1至C10烷基和C6至C10芳基,以及C3至C10烷基甲硅烷基;m为0至2;和n为1至3,其中m+n=3;c.用吹扫气体吹扫反应器;d.向反应器中引入氧源;和e.用吹扫气体吹扫反应器,其中重复步骤b至e直到沉积所需厚度的氧化硅,并且其中该方法在600至800℃范围的一个或多个温度以及50毫托(mT)至760托范围的一个或多个压力下进行。
在另一个实施方式中,公开了一种沉积氧化硅膜的方法,包括以下步骤:a.在反应器中提供衬底;b.向反应器中引入至少一种硅前体,其中该至少一种硅前体具有式I表示的结构:
R1R2 mSi(NR3R4)n (I),
其中R1、R2和R3各自独立地选自直链或支链的C1至C10烷基和C6至C10芳基;R4选自氢、直链或支链的C1至C10烷基和C6至C10芳基,以及C3至C10烷基甲硅烷基;m为0至2;和n为1至3,其中m+n=3;c.用吹扫气体吹扫反应器;d.向反应器中引入氧源;e.用吹扫气体吹扫反应器;f.将水蒸气或OH源引入反应器中;和g.用吹扫气体吹扫反应器,其中重复步骤b至g,直至沉积所需的厚度,并且其中工艺温度范围是600至800℃以及反应器中的压力范围为从50mT至760托。
本文公开的和通过上述方法任一制备的氧化硅膜在2MW/cm下具有1.0e-9A/cm2或更低的漏电流,或者在4MV/cm下具有1.0e-9A/cm2或更低的漏电流,或者在6MV/cm下具有1.0e-8A/cm2或更低的漏电流。
通过以下结合附图对优选实施方式的更详细描述,本发明的其它特征和优点将变得显而易见,所述附图以示例的方式示出了本发明的原理。本发明的实施方式和特征可以单独使用或彼此组合使用。
附图简述
图1是分别在650℃和700℃下用具有>50ppm氯化物杂质的DMATMS沉积的氧化硅膜的漏电流曲线。膜漏电流在2MW/cm下大于1.0e-9A/cm2,在4MV/cm下大于1.0e-9A/cm2,在6MV/cm下大于1.0e-8A/cm2
图2是分别在650℃和700℃下用具有2ppm氯化物杂质的DMATMS沉积的氧化硅膜的漏电流曲线。700℃膜的漏电流在2MW/cm下低于1.0e-9A/cm2,在4MV/cm下低于1.0e-9A/cm2,在6MV/cm下低于1.0e-8A/cm2
发明详述
本文描述了与在ALD或ALD样工艺(例如但不限于循环化学气相沉积工艺(CCVD))中用600℃或更高,优选700℃或更高,最优选720℃或更高的一个或多个温度形成含氧化硅的膜有关的组合物和方法,所述含氧化硅的膜例如是氮氧化硅膜、化学计量的或非化学计量的氧化硅膜、硅氧化物膜或其组合。本文所述的高温沉积(例如,范围约600至800℃的一个或多个沉积温度)方法提供的膜或材料表现出以下优点中的至少一种或多种:密度为约2.1g/cm3或更大,低化学杂质,在热原子层沉积、PEALD工艺或PEALD样工艺中的高保形性,调节所得膜中碳含量的能力;和/或在0.5wt%的稀HF中测量时,膜的蚀刻速率为每秒5埃
Figure BDA0003054365110000042
或更小。对于碳掺杂的氧化硅膜,除了其它特性(例如但不限于密度约1.8g/cm3或更大或者约2.0g/cm3或更大)外,需要大于1%的碳以将在0.5wt%的稀HF中的蚀刻速率调整至低于
Figure BDA0003054365110000041
的值。重要的是,沉积的氧化硅的漏电流在2MW/cm下是1.0e-9A/cm2或更低,或者在4MV/cm下是1.0e-9A/cm2或更低,或者在6MV/cm下是1.0e-8A/cm2或更低。
现有技术中的典型ALD工艺直接使用氧源或氧化剂如氧、氧等离子体、水蒸气、水蒸气等离子体、过氧化氢或臭氧源以在从25至500℃范围的工艺温度下形成SiO2。沉积步骤包括:
a.在反应器中提供衬底;
b.向反应器中引入硅前体,
c.用吹扫气体吹扫反应器;
d.向反应器中引入氧源;和
e.用吹扫气体吹扫反应器。
在这样的现有技术方法中,重复步骤b至e直至沉积期望的膜厚度。
据信,就膜纯度和密度而言,高温工艺,即高于600℃,可产生更好的膜质量。ALD工艺提供了良好的膜台阶覆盖率,但是,在ALD或PEALD中使用的典型有机硅前体只能在通常低于500℃的温度范围内以ALD模式沉积膜。当温度高于该范围时,前体发生热分解,这导致气相反应或连续的衬底表面反应,其将沉积过程改变为CVD模式,而不是所需的ALD模式。
不受希望受特定理论的束缚,对于在大于600℃的一个或多个温度下的ALD或ALD样沉积工艺,本文所述的硅前体分子具有至少一个锚定官能团,其与衬底表面上的某些反应性位点反应以锚定单层硅物质。锚定官能团可以选择氨基基团,例如二甲基氨基或二乙基氨基。硅前体还应具有钝化官能团(passive functionality),因为它在化学稳定的以防止进一步的表面反应,从而导致自限的过程。钝化官能团选自不同的烷基基团如甲基、乙基、苯基,优选甲基。然后可以将表面上的剩余基团氧化以形成Si-O-Si连接以及羟基。另外,还可以将羟基源(例如H2O或水等离子体)引入反应器中以形成更多的羟基作为下一个ALD循环的反应性位点,如以下方案1所示的。
Figure BDA0003054365110000061
方案1
在一个实施方式中,本文所述的至少一种硅前体是具有以下式I的化合物:
R1R2 mSi(NR3R4)n (I)
其中R1、R2和R3各自独立地选自直链或支链的C1至C10烷基和C6至C10芳基;R4选自氢、直链或支链的C1至C10烷基以及C6至C10芳基,C3至C10烷基甲硅烷基;其中R3和R4连接以形成环状环结构或R3和R4不连接形成环状环结构;m为0至2;n为1至3;和m+n=3;以及其中所述硅前体基本上不含一种或多种选自卤化物、金属离子、金属及其组合的杂质。
具有式I的前体的实例包括但不限于:二乙基氨基三甲基硅烷、二甲基氨基三甲基硅烷、乙基甲基氨基三甲基硅烷、二乙基氨基三乙基硅烷、二甲基氨基三乙基硅烷、乙基甲基氨基三乙基硅烷、叔丁基氨基三乙基硅烷、异丙基氨基三乙基硅烷、二异丙基氨基三乙基硅烷、吡咯烷基三乙基硅烷、叔丁基氨基三甲基硅烷、异丙基氨基三甲基硅烷、二异丙基氨基三甲基硅烷、吡咯烷基三甲基硅烷、二乙基氨基二甲基硅烷、二甲基氨基二甲基硅烷、乙基甲基氨基二甲基硅烷、叔丁基氨基二甲基硅烷、异丙基氨基二甲基硅烷、二异丙基氨基二甲基硅烷、吡咯烷基二甲基硅烷、二乙基氨基二乙基硅烷、二甲基氨基二乙基硅烷、乙基甲基氨基二乙基硅烷、叔丁基氨基二乙基硅烷、异丙基氨基二乙基硅烷、二异丙基氨基二乙基硅烷、吡咯烷酮基二乙基硅烷、双(二乙基氨基)二甲基硅烷、双(二甲基氨基)二甲基硅烷、双(二甲基氨基)甲基硅烷、双(乙基甲基氨基)二甲基硅烷、双(二异丙基氨基)二甲基硅烷、双(异丙基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、二吡咯烷基二甲基硅烷、双(二乙基氨基)二乙基硅烷、双(二甲基氨基)二乙基硅烷、双(乙基甲基氨基)二乙基硅烷、双(二异丙基氨基)二乙基硅烷、双(异丙基氨基)二乙基硅烷、双(叔丁基氨基)二乙基硅烷、二吡咯烷基二乙基硅烷、双(二乙基氨基)甲基乙烯基硅烷、双(二甲基氨基)甲基乙烯基硅烷、双(乙基甲基氨基)甲基乙烯基硅烷、双(二异丙基氨基)甲基乙烯基硅烷、双(异丙基氨基)甲基乙烯基硅烷、双(叔丁基氨基)甲基乙烯基硅烷、二吡咯烷基甲基乙烯基硅烷、2,6-二甲基哌啶子基甲基硅烷、2,6-二甲基哌啶子基二甲基硅烷、2,6-二甲基哌啶子基三甲基硅烷、三(二甲基氨基)苯基硅烷、三(二甲基氨基)甲基硅烷、三(二甲基氨基)乙基硅烷、三(二甲基氨基)氯硅烷、甲基氨基三甲基硅烷、正丙基氨基三甲基硅烷、异丁基氨基三甲基硅烷、正丁基氨基三甲基硅烷、环六氨基三甲基硅烷(cyclohexaminotrimethylsilane)、2-甲基吡咯烷基三甲基硅烷、2,5-二甲基吡咯烷基三甲基硅烷、哌啶子基三甲基硅烷、1-甲基哌嗪基三甲基硅烷、吡咯基三甲基硅烷、2,5-二甲基吡咯基三甲基硅烷、咪唑基三甲基硅烷、甲氧基三甲基硅烷、乙氧基三甲基硅烷及其混合物。
具有式I结构的前体具有选自胺基和烷氧基的锚定官能团,并且具有功能上钝化的烷基,其优选为甲基。不预期受特定理论的束缚,据认为Si-Me基团在高于600℃的温度下是稳定的,并提供钝化官能团以防止进一步的表面反应,从而导致自限性ALD或ALD样过程。
在以上式和整个说明书中,术语“烷基”表示具有1至10、3至10或1至6个碳原子的直链或支链官能团。示例性的直链烷基包括但不限于甲基、乙基、丙基、丁基、戊基和己基。示例性的支链烷基包括但不限于异丙基、异丁基、仲丁基、叔丁基、异戊基、叔戊基、异己基和新己基。在某些实施方式中,烷基可具有与其连接的一个或多个官能团,例如但不限于,烷氧基、二烷基氨基或其组合。在其它实施方式中,烷基不具有与其连接的一个或多个官能团。烷基可以是饱和的或者可选地是不饱和的。
在以上式和整个说明书中,术语“芳基”表示具有3至10个碳原子、5至10个碳原子或6至10个碳原子的芳族环状官能团。示例性的芳基包括但不限于,苯基、苄基、氯苄基、甲苯基和邻二甲苯基。
在以上式和整个说明书中,术语“氨基”表示连接至氮原子的烷基或芳族基团(例如,如上文所定义的NR3R4)并且可以具有1至12或1至6个碳原子。示例性的氨基包括但不限于,二甲基氨基、二乙基氨基、叔丁基氨基、环己基氨基、哌啶子基、烷基取代的哌啶子基(例如,2,6-二甲基哌啶子基)、吡咯烷基、烷基取代的吡咯烷基(例如,2,5-二甲基吡咯烷基)、吡咯基、烷基取代的吡咯基、咪唑基和烷基取代的咪唑基。
在以上式和整个说明书中,本文所用的术语“不饱和的”是指官能团、取代基、环或桥具有一个或多个碳双键或三键。不饱和环的实例可以是但不限于,芳环,例如苯环。术语“饱和的”是指官能团、取代基、环或桥不具有一个或多个双键或三键。
在以上式和整个说明书中,术语“烷基甲硅烷基”表示具有3至10个的直链或支链官能团。示例性的烷基甲硅烷基包括但不限于,三甲基甲硅烷基、三乙基甲硅烷基、二甲基甲硅烷基、二乙基甲硅烷基和二甲基乙基甲硅烷基。
在某些实施方式中,式I中的取代基R3和R4可以连接在一起以形成环结构。如技术人员将理解的,在其中R3和R4连接在一起以形成环情况中,R3包含用于连接R4的键,反之亦然。在这些实施方式中,环结构可以是饱和的,例如,环状烷基环,或是不饱和的,例如芳基环。此外,在这些实施方式中,环结构也可以被取代或取代的。示例性的环状环基团包括但不限于,吡咯烷基、哌啶子基和2,6-二甲基哌啶子基。然而,在其它实施方式中,取代基R3和R4不连接。
式I的前体可以按照以下反应式(1)制备:
Figure BDA0003054365110000091
反应式(1)中的反应可以用有机溶剂(例如在有机溶剂存在下)或不用有机溶剂(例如在有机溶剂不存在下)进行。在其中使用有机溶剂的实施方式中,合适的有机溶剂的实例包括但不限于烃,例如己烷、辛烷、甲苯和醚如二乙醚和四氢呋喃(THF)。在这些或其它实施方式中,如果使用溶剂,反应温度在约-70℃至所用溶剂的沸点的范围内。所得的硅前体化合物可以在除去所有副产物以及任何溶剂(如果存在)之后,例如通过真空蒸馏进行纯化。
基本上不含卤化物的根据本发明的组合物可以通过(1)在化学合成过程中减少或消除氯化物源,和/或(2)实施有效的纯化过程以从粗产物中除去氯化物,以使得最终纯化的产品基本上不含氯化物而得到。通过使用不含卤化物(例如,氯硅烷,溴硅烷或碘硅烷)的试剂可以在合成过程中减少氯化物源,从而避免产生含卤离子的副产物。另外,前述试剂应基本上不含氯化物杂质,使得所得的粗产物基本上不含氯化物杂质。以类似的方式,合成不应使用基于卤化物的溶剂、催化剂或含有不可接受的高水平卤化物污染的溶剂。粗产物也可以通过各种纯化方法进行处理以使最终产物基本上不含卤化物,例如氯化物。这样的方法在现有技术中已被很好地描述,并且可以包含但不限于,诸如蒸馏或吸附的纯化过程。蒸馏通常用于通过利用沸点差异来从所需产物分离杂质。吸附也可用于利用组分的不同吸附特性来实现分离,从而使最终产物基本上不含卤化物。吸附剂,例如,可商购的MgO-Al2O3共混物,可用于去除卤化物,例如氯化物。
反应式(1)是制备具有式I的硅前体化合物的示例性合成路线,其涉及如文献中所述的卤代三烷基硅烷与伯胺或仲胺之间的反应。如现有技术中所公开的,也可以采用其它合成路线,例如反应式(2)或(3)来制备这些具有式I的硅前体化合物。
Figure BDA0003054365110000092
Figure BDA0003054365110000101
在本发明的方法中使用的催化剂是促进硅-氮键形成的催化剂,即脱氢偶联催化剂。可以用于本文描述的方法的示例性催化剂包括但不限于以下:碱土金属催化剂;无卤主族、过渡金属、镧系和锕系催化剂;以及含卤主族、过渡金属、镧系和锕系催化剂。
示例性的碱土金属催化剂包括但不限于以下:Mg[N(SiMe3)2]2、ToMMgMe[ToM=三(4,4-二甲基-2-噁唑啉基)苯基硼酸]、ToMMg-H、ToMMg-NR2(R=H、烷基、芳基)、Ca[N(SiMe3)2]2、[(dipp-nacnac)CaX(THF)]2(dipp-nacnac=CH[(CMe)(2,6-iPr2-C6H3N)]2;X=H、烷基、碳甲硅烷基(carbosilyl)、有机氨基)、Ca(CH2Ph)2、Ca(C3H5)2、Ca(α-Me3Si-2-(Me2N)-苯甲基)2(THF)2、Ca(9-(Me3Si)-芴基)(α-Me3Si-2-(Me2N)-苯甲基)(THF)、[(Me3TACD)3Ca33-H)2]+(Me3TACD=Me3[12]aneN4)、Ca(η2-Ph2CNPh)(hmpa)3(hmpa=六甲基磷酰胺)、Sr[N(SiMe3)2]2和其它M2+碱土金属-酰胺、-亚胺、-烷基、-氢化物和-碳甲硅烷基复合物(M=Ca、Mg、Sr、Ba)。
示例性的无卤、主族、过渡金属、镧系和锕系催化剂包括含但不限于以下:1,3-二-异丙基-4,5-二甲基咪唑-2-基亚基、2,2'-联吡啶、邻二氮杂菲、B(C6F5)3、BR3(R=直链、支链或环状C1至C10烷基、C5至C10芳基或C1至C10烷氧基)、AlR3(R=直链、支链或环状C1至C10烷基、C5至C10芳基或C1至C10烷氧基)、(C5H5)2TiR2(R=烷基、H、烷氧基、有机氨基、碳甲硅烷基)、(C5H5)2Ti(OAr)2[Ar=(2,6-(iPr)2C6H3)]、(C5H5)2Ti(SiHRR')PMe3(其中R、R'各自独立地选自H、Me、Ph)、TiMe2(dmpe)2(dmpe=1,2-双(二甲基膦基)乙烷)、双(苯)铬(0)、Cr(CO)6、Mn2(CO)12、Fe(CO)5、Fe3(CO)12、(C5H5)Fe(CO)2Me、Co2(CO)8、醋酸镍(II)、乙酰丙酮镍(II)、Ni(环辛二烯)2、[(dippe)Ni(μ-H)]2(dippe=1,2-双(二异丙基膦基)乙烷)、(R-茚基)Ni(PR'3)Me(R=1-iPr、1-SiMe3、1,3-(SiMe3)2;R'=Me、Ph)、[{Ni(η-CH2:CHSiMe2)2O}2{μ-(η-CH2:CHSiMe2)2O}]、乙酸铜(I)、CuH、[三(4,4-二甲基-2-噁唑啉基)苯基硼酸]ZnH、(C5H5)2ZrR2(R=烷基、H、烷氧基、有机氨基、碳甲硅烷基)、Ru3(CO)12、[(Et3P)Ru(2,6-二亚异丙基苯硫酚)][B[3,5-(CF3)2C6H3]4]、[(C5Me5)Ru(R3P)x(NCMe)3-x]+(其中R选自直链、支链或环状的C1至C10烷基和C5至C10芳基;x=0、1、2、3)、Rh6(CO)16、三(三苯基膦)铑(I)羰基氢化物、Rh2H2(CO)2(dppm)2(dppm=双(二苯基膦基)甲烷)、Rh2(μ-SiRH)2(CO)2(dppm)2(R=Ph、Et、C6H13)、Pd/C、三(二亚苄基丙酮)二钯(0)、四(三苯基膦)钯(0)、乙酸钯(II)、(C5H5)2SmH、(C5Me5)2SmH、(THF)2Yb[N(SiMe3)2]2、(NHC)Yb(N(SiMe3)2)2[NHC=1,3-双(2,4,6-三甲基苯基)咪唑-2-基亚基)]、Yb(η2-Ph2CNPh)(hmpa)3(hmpa=六甲基磷酰胺)、W(CO)6、Re2(CO)10、Os3(CO)12、Ir4(CO)12、(乙酰丙酮)二羰基铱(I)、Ir(Me)2(C5Me5)L(L=PMe3、PPh3)、[Ir(环辛二烯)OMe]2、PtO2(Adams催化剂)、铂碳(Pt/C)、钌碳(Ru/C)、钯碳、镍碳、锇碳、铂(0)-1,3-二乙烯基-1,1,3,3-四甲基二硅氧烷(Karstedt催化剂)、双(三-叔丁基膦)铂(0)、Pt(环辛二烯)2、[(Me3Si)2N]3U][BPh4]、[(Et2N)3U][BPh4]和其它不含卤化物的Mn+复合物(M=Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Ru、Rh、Pd、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、U;n=0、1、2、3、4、5、6)。
示例性的含卤、主族、过渡金属、镧系和锕系催化剂包括但不限于以下:BX3(X=F、Cl、Br、I)、BF3·OEt2、AlX3(X=F、Cl、Br、I)、(C5H5)2TiX2(X=F、Cl)、[Mn(CO)4Br]2、NiCl2、(C5H5)2ZrX2(X=F、Cl)、PdCl2、PdI2、CuCl、CuI、CuF2、CuCl2、CuBr2、Cu(PPh3)3Cl、ZnCl2、[(C6H6)RuX2]2(X=Cl、Br、I)、(Ph3P)3RhCl(Wilkinson催化剂)、[RhCl(环辛二烯)]2、二-μ-氯-四羰基二铑(I)、双(三苯基膦)铑(I)碳酰氯、NdI2、SmI2、DyI2、(POCOP)IrHCl(POCOP=2,6-(R2PO)2C6H3;R=iPr、nBu、Me)、H2PtCl6·nH2O(Speier催化剂)、PtCl2、Pt(PPh3)2Cl2和其它含卤的Mn+复合物(M=Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Y、Zr、Nb、Mo、Ru、Rh、Pd、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、U;n=0、1、2、3、4、5、6)。
根据本发明的具有式I的硅前体化合物和包含根据本发明的具有式I的硅前体化合物的组合物优选基本上不含卤离子。如本文所用,术语“基本上不含”在涉及卤离子(或卤化物),例如,如氯化物(即含氯物质如HCl或具有至少一个Si-Cl键的硅化合物如Me3SiCl)和氟化物、溴化物和碘化物时,是指通过离子色谱法(IC)测定的少于5ppm(以重量计),优选通过离子色谱法(IC)测定的少于3ppm,和更优选通过离子色谱法(IC)测定的少于1ppm,和最优选通过离子色谱法(IC)测量为0ppm。据信最终产物中显著水平的氯化物可能对装置性能有害,例如较高的漏电流。具有式I的硅前体化合物还优选基本上不含金属离子或金属杂质,例如,Li+、Al3+、Fe2+、Fe2+、Fe3+、Ni2+、Cr3+、挥发性金属复合物。如本文所用,涉及Li、Al、Fe、Ni、Cr的术语“基本上不含”是指通过ICP-MS测量的少于5ppm(以重量计),优选少于3ppm,和更优选少于1ppm,和最优选0.1ppm。在一些实施方式中,具有式I的硅前体化合物不含金属离子,例如,Li+、Al3+、Fe2+、Fe3+、Ni2+、Cr3+。如本文所用,术语“不含”涉及Li、Al、Fe、Ni、Cr、贵金属如Ru或Pt(来自合成中使用的催化剂的钌(Ru)或铂(Pt))时,是指通过ICP-MS测量的少于1ppm(以重量计),优选通过ICP-MS测量的少于0.1ppm,和更优选通过ICP-MS测量的少于0.01ppm,和最优选通过ICP-MS测量的1ppb。另外,具有式I的硅前体化合物还优选基本上不含可能对生长有影响的含硅杂质如烷基硅氧烷,例如六甲基二硅氧烷。
在某些实施方式中,使用氧源、包含氧的试剂或前体在氧存在下形成使用本文所述方法沉积的硅膜。氧源可以以至少一种氧源的形式引入反应器中和/或可以附带地存在于沉积过程中使用的其它前体中。合适的氧源气体可以包括,例如,水(H2O)(例如,去离子水、纯化水和/或蒸馏水)、氧气(O2)、氧和氢的混合物、氧等离子体、臭氧(O3)、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合。在某些实施方式中,氧源包含以约1至约2000标准立方厘米(sccm)或约1至约1000sccm范围的流速引入反应器中的氧源气体。氧源可以引入约0.1秒至约100秒的范围的时间。在一个特定实施方式中,氧源包含温度为10℃或更高的水。在其中通过ALD或循环CVD工艺沉积膜的实施方式中,前体脉冲可具有大于0.01秒的脉冲持续时间,和氧源可具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。而在另一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒或者连续脉冲而没有其间的吹扫。氧源或试剂提供的分子的量与硅前体的比率小于1:1,使得至少一些碳保留在如此沉积的介电膜中。
在某些实施方式中,氧化硅膜还包含氮。在这些实施方式中,膜使用本文描述的方法沉积,并在含氮源的存在下形成。含氮源可以以至少一个氮源的形式引入反应器中和/或可以附带地存在于沉积过程中使用的其它前体中。合适的含氮源气体可包括,例如,氨、肼、一烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。在某些实施方式中,含氮源包含氨等离子体或氢/氮等离子体源气体,其以约1至约2000平方立方厘米(sccm)或约1至约1000sccm范围的流速引入反应器中。可以将含氮源引入约0.1至约100秒范围的时间。在其中通过ALD或循环CVD工艺沉积膜的实施方式中,前体脉冲可具有大于0.01秒的脉冲持续时间,并且含氮源可具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。而在另一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒或者连续地脉冲而没有其间的吹扫。
本文公开的沉积方法可涉及一种或多种吹扫气体。用于吹扫未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性的吹扫气体包括但不限于,氩气(Ar)、氮气(N2)、氦气(He)、氖气、氢气(H2)及其混合物。在某些实施方式中,将诸如Ar的吹扫气体以约10至约2000sccm范围的流速供应至反应器中持续约0.1至1000秒,从而吹扫可能残留在反应器中的未反应的物质和任何副产物。
可以通过改变用于供应前体、氧源、含氮源和/或其它前体、源气体和/或试剂的时间来进行供应它们的相应步骤以改变所得的介电膜的化学计量组成。
将能量施加到硅前体、含氧源或其组合中的至少一种以引发反应并在衬底上形成介电膜或涂层。这种能量可以通过但不限于,热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合方式提供。在某些实施方式中,次级RF射频源可以用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生过程可以包括直接等离子体发生过程(其中等离子体在反应器中直接产生),或者可选地,远程等离子体发生过程(其中等离子体在反应器外部生成并供应到反应器中)。
可以以多种方式将至少一种硅前体输送至反应室,例如循环CVD或ALD反应器。在一个实施方式中,可以利用液体输送系统。在可选的实施方式中,可以采用组合的液体输送和闪蒸处理单元,如例如,由Shoreview,MN的MSP公司制造的涡轮汽化器,以使低挥发性材料能够被定量地输送,这导致可重复的输送和沉积则无前体的热分解。在液体输送方式中,本文所述的前体可以以纯净液体形式输送,或者可选地,可以在包含其的溶剂制剂或组合物中使用。因此,在某些实施方式中,前体制剂可包含具有适当特性(如在给定的最终用途应用中可能是期望的和有利的)的溶剂组分以在衬底上形成膜。
对于其中至少一种具有式I的硅前体在包含溶剂和至少一种本文所述的具有式I的硅前体的组合物中使用的那些实施方式,所选择的溶剂或其混合物不与硅前体反应。组合物中以重量百分比计的溶剂量在0.5重量%至99.5重量%或0重量%至75重量%的范围内。在该实施方式或其它实施方式中,溶剂的沸点(b.p.)与式I的至少一种硅前体的b.p.相似,或者溶剂的b.p.和至少一种式I的硅前体的b.p.之间的差异为40℃或更小、30℃或更小或者20℃或更小或得10℃或更小。可选地,沸点之间的差异在以下任何一个或多个端点的范围内:0、10、20、30或40℃。b.p.差异的合适范围的实例包括但不限于0至40℃、20至30℃或10至30℃。组合物中合适溶剂的实例包括但不限于,醚(例如,1,4-二氧六环、二丁醚)、叔胺(例如,吡啶、1-甲基哌啶、1-乙基哌啶、N,N'-二甲基哌嗪、N,N,N',N'-四甲基乙二胺)、腈(例如,苄腈)、烷烃(例如辛烷、壬烷、十二烷、乙基环己烷)、芳族烃(例如,甲苯、均三甲苯)、叔氨基醚(例如,双(2-二甲基氨基乙基)醚)或其混合物。
如前所述,至少一种式I的硅前体的纯度水平足够高以可接受用于可靠的半导体制造。在某些实施方式中,本文所述的至少一种式I的硅前体包含小于2重量%或小于1重量%或小于0.5重量%的一种或多种以下杂质:游离胺、游离卤化物或卤素离子以及较高分子量物质。可以通过以下一种或多种方法获得本文所述硅前体的较高纯度水平:纯化、吸附和/或蒸馏。
在本文描述的方法的一个实施方式中,可以使用诸如ALD样、ALD或PEALD的循环沉积工艺,其中使用至少一种式I的硅前体和氧源进行沉积。ALD样工艺被定义为循环CVD工艺,但是仍然提供高保形的氧化硅膜。
在某些实施方式中,根据工艺要求将从前体罐连接到反应室的气体管线加热至一个或多个温度,并且将至少一种式I的硅前体的容器保持在用于鼓波的一个或多个温度下。在其它的实施方式中,将包含至少一种式I的硅前体的溶液注入保持在用于直接液体注射的一个或多个温度下的蒸发器中。
氩气和/或其它气体的流可以用作载气,以在前体脉冲期间帮助将至少一种式I的硅前体的蒸气输送至反应室。在某些实施方式中,反应室工艺压力为约1托。
在典型的ALD或ALD样工艺(例如CCVD工艺)中,将衬底(例如氧化硅衬底)在反应室中的加热台上加热,该反应室最初暴露于硅前体,以使复合物化学吸附到衬底表面上。
吹扫气体如氩气从处理室吹扫掉未吸收的过量复合物。在充分吹扫之后,可以将氧源引入反应室中以与吸收的表面反应,然后进行另一气体吹扫以从腔室中除去反应副产物。可以重复该过程循环以获得所需的膜厚度。在某些情况下,抽气可以代替惰性气体的气体吹扫,或者可以使用两者来去除未反应的硅前体。
在这个或其它实施方式中,应理解,本文描述的方法的步骤可以以各种顺序执行,可以顺序地执行,可以同时执行(例如,在另一步骤的至少一部分期间),以及它们的任意组合。供应前体和氧源气体的相应步骤可以通过改变供应的持续时间来进行以改变所得介电膜的化学计量组成。
本文所述的在衬底上沉积氧化硅膜的方法的一个特定实施方式包括以下步骤:
a.在反应器中提供衬底,
b.向反应器中引入至少一种本文所述的具有式I的硅前体,
c.用吹扫气体吹扫反应器,
d.将氧源引入反应器中,和
e.用吹扫气体吹扫反应器,
其中重复步骤b至e,直到沉积所需厚度的氧化硅膜。
本文描述的方法的另一个实施方式在氧化步骤之后引入羟基或OH源,例如H2O蒸气。该实施方式中的目的是重新填充锚定在表面上以形成单层的用于硅前体的锚定官能团或反应性位点。沉积步骤包括:
a.在反应器中提供衬底;
b.将一种式I的硅前体引入反应器中;
c.用吹扫气体吹扫反应器;
d.向反应器中引入氧源;
e.用吹扫气体吹扫反应器;
f.将水蒸气或其它羟基源引入反应器中;和
g.用吹扫气体吹扫反应器,
其中重复步骤b至g,直到沉积所需的厚度。
在本文描述的方法的可选实施方式中,沉积步骤包括:
a.在反应器中提供衬底;
b.将一种式I的硅前体引入反应器中;
c.用吹扫气体吹扫反应器;
d.向反应器中引入氧源;
e.用吹扫气体吹扫反应器;
f.将水蒸气或OH源引入反应器中;和
g.用吹扫气体吹扫反应器,
其中重复步骤b至i,直到沉积所需的厚度。
而另一个实施方式采用过氧化氢或氧等离子体以除去钝化官能团或基团如甲基。沉积步骤如下:
a.在反应器中提供衬底;
b.将一种式I的硅前体引入反应器中;
c.用吹扫气体吹扫反应器;
d.将臭氧、过氧化氢或氧等离子体引入反应器中;和
e.用吹扫气体吹扫反应器,
其中重复步骤b至e,直到沉积所需的膜厚度。
用于本文所述方法的工艺温度是600℃至1000℃;或600℃至750℃;或600℃至750℃;或600℃至800℃范围的一个或多个温度。
沉积压力范围是50毫托到760托或从500毫托–100托范围的一个或多个压力。吹扫气体可以选自惰性气体,例如氮气、氦气或氩气。氧源选自氧、过氧化物、臭氧或来自等离子体过程的分子氧。
实施例
比较实施例1:用具有大于50ppm氯化物杂质的二甲基氨基三甲基硅烷的氧化硅膜原子层沉积
使用以下前体进行氧化硅膜的原子层沉积:二甲基氨基三甲基硅烷(DMATMS)。沉积在实验室规模的ALD处理设备上进行。通过蒸气抽吸将硅前体输送到腔室中。在进入沉积区之前,所有气体(例如,吹扫和反应气体或前体和氧源)预热至100℃。气体和前体的流率通过具有高速致动的ALD隔膜阀进行控制。沉积中使用的衬底为12英寸长的硅条。将热电偶连接到样品架上以确认衬底温度。使用臭氧作为氧源气体进行沉积。表I中提供了沉积参数。
表I:使用DMATMS进行用臭氧的氧化硅膜原子层沉积的方法
Figure BDA0003054365110000181
重复步骤b到e,直到达到所需的厚度。膜的厚度和折射率使用FilmTek 2000SE椭率计通过将膜的反射数据拟合到预设的物理模型(例如Lorentz Oscillator模型)来测量。使用49重量%的氢氟酸(HF)在去离子水中的1∶99体积的稀释溶液进行湿蚀刻速率。将热氧化物晶片用作每批的参考以确认溶液浓度。对于水溶液中的约0.5wt.%HF典型的热氧化物晶片湿蚀刻速率为
Figure BDA0003054365110000182
蚀刻之前和之后的膜厚度用于计算湿蚀刻速率。使用动态二次离子质谱(SIMS)技术分析薄膜中的碳和氮浓度。使用以下公式从6点测量计算出%不均匀度:%不均匀度=((最大值–最小值)/(2*平均值))。膜密度用X射线反射法(XRR)表征。
图1描绘了分别在650℃和700℃下用具有大于50ppm的氯化物杂质的DMATMS沉积的氧化硅的漏电流曲线。膜泄漏电流在2MW/cm下大于1.0e-9A/cm2,在4MV/cm下大于1.0e-9A/cm2,在6MV/cm下大于1.0e-8A/cm2。从DMATMS沉积的氧化硅的膜密度范围为从2.08至2.23g/cm3
工作实施例1:用具有2ppm氯化物杂质的二甲基氨基三甲基硅烷的氧化硅膜原子层沉积
分别在650℃和700℃下用具有2ppm氯化物杂质的二甲基氨基三甲基硅烷沉积氧化硅膜。图2描绘了漏电流曲线,表明较高的击穿电压和较低的漏电流,特别是对于在700℃下沉积的氧化硅膜。700℃膜的漏电流在2MW/cm下低于1.0e-9A/cm2,在4MV/cm下低于1.0e- 9A/cm2,在6MV/cm下低于1.0e-8A/cm2
尽管以上参考某些特定实施方式和实施例说明和描述了本发明,但是本发明并不旨在限于所示出的细节。而是,可以在权利要求的等同的范围和幅度内在细节上进行各种修改,而不背离本发明的精神。明确地意图是,例如,在本文件中广泛表述的所有范围在其范围内包含落入较宽范围内的所有较窄范围。

Claims (23)

1.一种用于将氧化硅膜沉积到衬底上的方法,包括以下步骤:
a.在反应器中提供衬底;
b.向所述反应器中引入至少一种硅前体,其中所述至少一种硅前体具有式I表示的结构:
R1R2 mSi(NR3R4)n (I),
其中R1、R2和R3各自独立地选自直链或支链的C1至C10烷基和C6至C10芳基;
R4选自氢、直链或支链的C1至C10烷基和C6至C10芳基,以及C3至C10烷基甲硅烷基;
m为0至2;和
n为1至3,其中m+n=3;
并且其中所述硅前体基本上不含一种或多种选自卤化物、金属及其组合的杂质;
c.用吹扫气体吹扫所述反应器;
d.向所述反应器中引入氧源;和
e.用吹扫气体吹扫所述反应器,
其中重复步骤b至e,直到沉积所需厚度的氧化硅,并且其中所述方法在600至800℃范围的一个或多个温度以及50毫托(mT)至760托范围的一个或多个压力下进行。
2.根据权利要求1所述的方法,其中R3和R4连接以形成环状环结构。
3.根据权利要求1所述的方法,其中所述至少一种硅前体选自二乙基氨基三乙基硅烷、二甲基氨基三乙基硅烷、乙基甲基氨基三乙基硅烷、叔丁基氨基三乙基硅烷、异丙基氨基三乙基硅烷、二异丙基氨基三乙基硅烷、吡咯烷酮基三乙基硅烷、二乙基氨基三甲基硅烷、二甲基氨基三甲基硅烷、乙基甲基氨基三甲基硅烷、叔丁基氨基三甲基硅烷、异丙基氨基三甲基硅烷、二异丙基氨基三甲基硅烷、吡咯烷酮基三甲基硅烷、二乙基氨基二甲基硅烷、二甲基氨基二甲基硅烷、乙基甲基氨基二甲基硅烷、叔丁基氨基二甲基硅烷、异丙基氨基二甲基硅烷、二异丙基氨基二甲基硅烷、吡咯烷酮基二甲基硅烷、二乙基氨基二乙基硅烷、二甲基氨基二乙基硅烷、乙基甲基氨基二乙基硅烷、叔丁基氨基二乙基硅烷、异丙基氨基二乙基硅烷、二异丙基氨基二乙基硅烷、吡咯烷酮基二乙基硅烷、双(二乙基氨基)二甲基硅烷、双(二甲基氨基)二甲基硅烷、双(二甲基氨基)甲基硅烷、双(乙基甲基氨基)二甲基硅烷、双(二异丙基氨基)二甲基硅烷、双(异丙基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、双(二甲基氨基)甲基硅烷、双(乙基甲基氨基)二甲基硅烷、二吡咯烷基二甲基硅烷、双(二乙基氨基)二乙基硅烷、双(二甲基氨基)二乙基硅烷、双(乙基甲基氨基)二乙基硅烷、双(二异丙基氨基)二乙基硅烷、双(异丙基氨基)二乙基硅烷、双(叔丁基氨基)二乙基硅烷、二吡咯烷基二乙基硅烷、双(二乙基氨基)甲基乙烯基硅烷、双(二甲基氨基)甲基乙烯基硅烷、双(乙基甲基氨基)甲基乙烯基硅烷、双(二异丙基氨基)甲基乙烯基硅烷、双(异丙基氨基)甲基乙烯基硅烷、双(叔丁基氨基)甲基乙烯基硅烷、二吡咯烷基甲基乙烯基硅烷、2,6-二甲基哌啶子基甲基硅烷、2,6-二甲基哌啶子基二甲基硅烷、2,6-二甲基哌啶子基三甲基硅烷、三(二甲基氨基)苯基硅烷、三(二甲基氨基)甲基硅烷、三(二甲基氨基)乙基硅烷、甲基氨基三甲基硅烷、正丙基氨基三甲基硅烷、异丁基氨基三甲基硅烷、正丁基氨基三甲基硅烷、环六氨基三甲基硅烷、2-甲基吡咯烷基三甲基硅烷、2,5-二甲基吡咯烷基三甲基硅烷、哌啶子基三甲基硅烷、1-甲基哌嗪基三甲基硅烷、吡咯基三甲基硅烷、2,5-二甲基吡咯基三甲基硅烷、咪唑基三甲基硅烷、甲氧基三甲基硅烷、乙氧基三甲基硅烷及其混合物。
4.根据权利要求3所述的方法,其中如果存在,所述硅前体中的所述卤化物包含氯离子。
5.根据权利要求4所述的硅前体,其中如果存在,所述氯离子以通过IC测量的50ppm或更低的浓度存在。
6.根据权利要求4所述的硅前体,其中如果存在,所述氯离子以通过IC测量的10ppm或更低的浓度存在。
7.根据权利要求4所述的硅前体,其中如果存在,所述氯离子以通过IC测量的5ppm或更低的浓度存在。
8.根据权利要求1所述的方法,其中所述吹扫气体选自氮气、氦气和氩气。
9.根据权利要求1所述的方法,其中所述氧源选自氧、过氧化物、氧等离子体、氧/氢、氧/水、水蒸气、水蒸气等离子体、过氧化氢、臭氧源及其组合。
10.根据权利要求1所述的方法,其中在步骤e之后还包括以下步骤f和g:
f.将水蒸气或羟基源引入所述反应器中;和
g.用吹扫气体吹扫所述反应器。
11.一种用于沉积氧化硅膜的方法,包括以下步骤:
a.在反应器中提供衬底;
b.向所述反应器中引入至少一种硅前体,其中所述至少一种硅前体具有式I表示的结构:
R1R2 mSi(NR3R4)n (I),
其中R1、R2和R3各自独立地选自直链或支链的C1至C10烷基和C6至C10芳基;
R4选自氢、直链或支链的C1至C10烷基和C6至C10芳基,以及C3至C10烷基甲硅烷基;
m为0至2;和
n为1至3,其中m+n=3;
其中所述硅前体基本上不含一种或多种选自卤化物、金属及其组合的杂质;
c.用吹扫气体吹扫所述反应器;
d.向所述反应器中引入氧源;
e.用吹扫气体吹扫所述反应器;
f.将水蒸气或OH源引入所述反应器中;和
g.用吹扫气体吹扫所述反应器,
其中重复步骤b至g直至沉积所需的厚度,并且其中工艺温度范围是600至800℃以及所述反应器中的压力范围为50毫托(mT)至760托。
12.根据权利要求11所述的方法,其中R3和R4连接以形成环状环结构。
13.根据权利要求11所述的方法,其中所述至少一种硅前体选自二乙基氨基三乙基硅烷、二甲基氨基三乙基硅烷、乙基甲基氨基三乙基硅烷、叔丁基氨基三乙基硅烷、异丙基氨基三乙基硅烷、二异丙基氨基三乙基硅烷、吡咯烷酮基三乙基硅烷、二乙基氨基三甲基硅烷、二甲基氨基三甲基硅烷、乙基甲基氨基三甲基硅烷、叔丁基氨基三甲基硅烷、异丙基氨基三甲基硅烷、二异丙基氨基三甲基硅烷、吡咯烷酮基三甲基硅烷、二乙基氨基二甲基硅烷、二甲基氨基二甲基硅烷、乙基甲基氨基二甲基硅烷、叔丁基氨基二甲基硅烷、异丙基氨基二甲基硅烷、二异丙基氨基二甲基硅烷、吡咯烷基二甲基硅烷、二乙基氨基二乙基硅烷、二甲基氨基二乙基硅烷、乙基甲基氨基二乙基硅烷、叔丁基氨基二乙基硅烷、异丙基氨基二乙基硅烷、二异丙基氨基二乙基硅烷、吡咯烷酮基二乙基硅烷、双(二乙基氨基)二甲基硅烷、双(二甲基氨基)二甲基硅烷、双(二甲基氨基)甲基硅烷、双(乙基甲基氨基)二甲基硅烷、双(二异丙基氨基)二甲基硅烷、双(异丙基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、双(二甲基氨基)甲基硅烷、双(乙基甲基氨基)二甲基硅烷、二吡咯烷基二甲基硅烷、双(二乙基氨基)二乙基硅烷、双(二甲基氨基)二乙基硅烷、双(乙基甲基氨基)二乙基硅烷、双(二异丙基氨基)二乙基硅烷、双(异丙基氨基)二乙基硅烷、双(叔丁基氨基)二乙基硅烷、二吡咯烷基二乙基硅烷、双(二乙基氨基)甲基乙烯基硅烷、双(二甲基氨基)甲基乙烯基硅烷、双(乙基甲基氨基)甲基乙烯基硅烷、双(二异丙基氨基)甲基乙烯基硅烷、双(异丙基氨基)甲基乙烯基硅烷、双(叔丁基氨基)甲基乙烯基硅烷、二吡咯烷基甲基乙烯基硅烷、2,6-二甲基哌啶子基甲基硅烷、2,6-二甲基哌啶子基二甲基硅烷、2,6-二甲基哌啶子基三甲基硅烷、三(二甲基氨基)苯基硅烷、三(二甲基氨基)甲基硅烷、三(二甲基氨基)乙基硅烷、甲基氨基三甲基硅烷、正丙基氨基三甲基硅烷、异丁基氨基三甲基硅烷、正丁基氨基三甲基硅烷、环六氨基三甲基硅烷、2-甲基吡咯烷基三甲基硅烷、2,5-二甲基吡咯烷基三甲基硅烷、哌啶子基三甲基硅烷、1-甲基哌嗪基三甲基硅烷、吡咯基三甲基硅烷、2,5-二甲基吡咯基三甲基硅烷、咪唑基三甲基硅烷、甲氧基三甲基硅烷、乙氧基三甲基硅烷及其混合物。
14.根据权利要求13所述的方法,其中如果存在,所述硅前体中的所述卤化物包含氯离子。
15.根据权利要求14所述的硅前体,其中如果存在,所述氯离子以通过IC测量的50ppm或更低的浓度存在。
16.根据权利要求14所述的硅前体,其中如果存在,所述氯离子以通过IC测量的10ppm或更低的浓度存在。
17.根据权利要求14所述的硅前体,其中如果存在,所述氯离子以通过IC测量的5ppm或更低的浓度存在。
18.根据权利要求11所述的方法,其中所述吹扫气体选自氮气、氦气和氩气。
19.根据权利要求11所述的方法,其中所述氧源选自氧、过氧化物、氧等离子体、水蒸气、水蒸气等离子体、过氧化氢和臭氧源。
20.通过权利要求1产生的氧化硅膜。
21.通过权利要求11产生的氧化硅膜。
22.根据权利要求20所述的氧化硅膜,其中所述膜在2MW/cm下具有1.0e-9A/cm2或更低的漏电流,或者在4MV/cm下具有1.0e-9A/cm2或更低的漏电流,或者在6MV/cm下具有1.0e-8A/cm2或更低的漏电流。
23.根据权利要求21所述的氧化硅膜,其中所述膜在2MW/cm下具有1.0e-9A/cm2或更低的漏电流,或者在4MV/cm下具有1.0e-9A/cm2或更低的漏电流,或者在6MV/cm下具有1.0e-8A/cm2或更低的漏电流。
CN201980073496.6A 2018-10-04 2019-10-03 用于高质量氧化硅薄膜的高温原子层沉积的组合物 Pending CN112969816A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862741126P 2018-10-04 2018-10-04
US62/741,126 2018-10-04
PCT/US2019/054488 WO2020072768A1 (en) 2018-10-04 2019-10-03 Composition for high temperature atomic layer deposition of high quality silicon oxide thin films

Publications (1)

Publication Number Publication Date
CN112969816A true CN112969816A (zh) 2021-06-15

Family

ID=70055403

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980073496.6A Pending CN112969816A (zh) 2018-10-04 2019-10-03 用于高质量氧化硅薄膜的高温原子层沉积的组合物

Country Status (8)

Country Link
US (1) US20210363639A1 (zh)
EP (1) EP3844319A4 (zh)
JP (1) JP7329045B2 (zh)
KR (1) KR20210054035A (zh)
CN (1) CN112969816A (zh)
SG (1) SG11202103230SA (zh)
TW (1) TWI811464B (zh)
WO (1) WO2020072768A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113707526A (zh) * 2020-05-20 2021-11-26 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
CN111816556B (zh) * 2020-06-03 2024-01-23 中国科学院微电子研究所 晶体管及制备方法
WO2024071205A1 (ja) * 2022-09-28 2024-04-04 エア・ウォーター株式会社 酸化ケイ素膜の形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060043504A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited titanium aluminum oxide films
CN103374708A (zh) * 2012-04-12 2013-10-30 气体产品与化学公司 氧化硅薄膜的高温原子层沉积
US20180127592A1 (en) * 2016-09-19 2018-05-10 Versum Materials Us, Llc Compositions and Methods for the Deposition of Silicon Oxide Films
US20180269057A1 (en) * 2017-03-15 2018-09-20 Versum Materials Us, Llc Formulation for Deposition of Silicon Doped Hafnium Oxide as Ferroelectric Materials

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US8486792B2 (en) * 2008-05-13 2013-07-16 Tokyo Electron Limited Film forming method of silicon oxide film, silicon oxide film, semiconductor device, and manufacturing method of semiconductor device
KR101583608B1 (ko) * 2009-03-24 2016-01-08 삼성전자 주식회사 무기계 실리콘 전구체를 이용한 실리콘 산화막의 형성 방법및 이를 이용한 반도체 장치의 제조 방법
US8460753B2 (en) 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
KR101659463B1 (ko) * 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US20150275355A1 (en) * 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
KR101759891B1 (ko) * 2015-06-23 2017-07-21 (주)디엔에프 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
JP7025534B2 (ja) * 2017-09-14 2022-02-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー シリコン含有膜堆積用の組成物及び方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060043504A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Atomic layer deposited titanium aluminum oxide films
CN103374708A (zh) * 2012-04-12 2013-10-30 气体产品与化学公司 氧化硅薄膜的高温原子层沉积
US20130295779A1 (en) * 2012-04-12 2013-11-07 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US20180127592A1 (en) * 2016-09-19 2018-05-10 Versum Materials Us, Llc Compositions and Methods for the Deposition of Silicon Oxide Films
US20180269057A1 (en) * 2017-03-15 2018-09-20 Versum Materials Us, Llc Formulation for Deposition of Silicon Doped Hafnium Oxide as Ferroelectric Materials

Also Published As

Publication number Publication date
JP7329045B2 (ja) 2023-08-17
TWI811464B (zh) 2023-08-11
KR20210054035A (ko) 2021-05-12
WO2020072768A1 (en) 2020-04-09
US20210363639A1 (en) 2021-11-25
JP2022504248A (ja) 2022-01-13
TW202018118A (zh) 2020-05-16
EP3844319A1 (en) 2021-07-07
EP3844319A4 (en) 2022-06-08
SG11202103230SA (en) 2021-04-29

Similar Documents

Publication Publication Date Title
TWI673278B (zh) 用於沉積含矽膜的有機胺官能基化的線性及環狀寡矽氧烷
US11702743B2 (en) Trisilylamine derivatives as precursors for high growth rate silicon-containing films
US11177127B2 (en) Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
JP6885984B2 (ja) ケイ素含有膜の堆積のための有機アミノ官能化環状オリゴシロキサン
TWI811464B (zh) 用於高品質氧化矽薄膜的高溫原子層沉積的組合物
US20220044929A1 (en) Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
WO2020219349A1 (en) Organoaminodisilazanes for high temperature atomic layer deposition of silicon oxide thin films
TWI771760B (zh) 用於沉積含矽膜的有機胺官能化環寡矽氧烷及將含矽及氧膜沉積到一基材上之方法
US20240158915A1 (en) Composition for atomic layer deposition of high quality silicon oxide thin films
CN117083412A (zh) 用于高质量氧化硅薄膜的原子层沉积的组合物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination