KR102650626B1 - 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법 - Google Patents

탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법 Download PDF

Info

Publication number
KR102650626B1
KR102650626B1 KR1020227005956A KR20227005956A KR102650626B1 KR 102650626 B1 KR102650626 B1 KR 102650626B1 KR 1020227005956 A KR1020227005956 A KR 1020227005956A KR 20227005956 A KR20227005956 A KR 20227005956A KR 102650626 B1 KR102650626 B1 KR 102650626B1
Authority
KR
South Korea
Prior art keywords
film
disilacyclobutane
plasma
carbon
reactor
Prior art date
Application number
KR1020227005956A
Other languages
English (en)
Other versions
KR20220027287A (ko
Inventor
하리핀 찬드라
커크 스코트 스커트힐
아누파마 말리카주난
신지안 레이
매튜 알. 맥도날드
만차오 시아오
마두카르 바스카라 라오
지안헹 리
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Priority to KR1020247009235A priority Critical patent/KR20240042186A/ko
Publication of KR20220027287A publication Critical patent/KR20220027287A/ko
Application granted granted Critical
Publication of KR102650626B1 publication Critical patent/KR102650626B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser

Abstract

증착 공정으로 규소-함유 필름, 예컨대, 제한 없이, 탄소 도핑된 실리콘 옥사이드 필름, 탄소 도핑된 실리콘 니트라이드, 탄소 도핑된 실리콘 옥시니트라이드 필름을 형성시키기 위한 조성물 및 이의 사용 방법이 본원에 기재된다. 한 가지 양태에서, 조성물은, 적어도 하나의 Si-C-Si 연결 및 할라이드 원자, 아미노 기, 및 이들의 조합으로부터 선택된 적어도 하나의 앵커링 기를 지니는 적어도 사이클릭 카보실란을 포함한다.

Description

탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법{COMPOSITIONS AND METHODS USING SAME FOR CARBON DOPED SILICON CONTAINING FILMS}
관련 출원에 대한 상호-참조
본 출원은 각각 2015년 2월 6일자 출원되고 2015년 4월 3일자 출원된 미국 가출원 제62/113,024호 및 제62/142,546호의 우선권을 주장한다. 상기 가출원들의 개시 내용은 그 전문이 본원에 참조로 포함된다.
전자 장치의 조성물 및 이의 제조 방법이 본원에 기재된다. 더욱 특히, 규소-함유 필름, 예컨대, 제한 없이, 탄소 도핑된 실리콘 옥사이드, 탄소 도핑된 실리콘 니트라이드, 탄소 도핑된 실리콘 옥시니트라이드, 또는 실리콘 카바이드 필름의 증착을 위한 화합물, 조성물, 및 이를 포함하는 방법이 본원에 기재된다.
당해 기술 분야에서는 전자 산업에서의 특정 적용을 위하여 높은 탄소 함량(예를 들어, X-선 광전자 분광기(X-ray photoelectron spectroscopy: XPS)에 의해 측정하는 경우, 약 10 원자% 또는 그 초과의 탄소 함량)의 규소-함유 필름을 증착하기 위한 조성물 및 이의 사용 방법을 제공할 필요성이 존재한다.
미국 특허 제8,575,033호에는 기판 표면 상에 실리콘 카바이드 필름의 증착을 위한 방법이 기재되어 있다. 이 방법은 기상 카보실란 전구체의 사용을 포함하며, 플라즈마 강화 원자 층 증착 공정(plasma enhanced atomic layer deposition process)을 이용할 수 있다.
미국 공보 제2013/022496호에는, (i) 기판의 표면 상에 전구체를 흡착시키는 단계; (ii) 흡착된 전구체 및 반응물 가스를 표면 상에서 반응시키는 단계; 및 (iii) 단계 (i) 및 (ii)를 반복하여 기판 상에 적어도 Si-C 결합을 지니는 유전 필름을 형성시키는 단계를 포함하는, 원자 층 증착(atomic layer deposition: ALD)에 의해 반도체 기판 상에 Si-C 결합을 지니는 유전 필름을 형성시키는 방법이 교시되어 있다.
미국 공보 제2014/302688호에는, 화학적 기상 증착 챔버 내의 플라즈마 비함유 기판 가공 영역에서 규소-및-탄소-함유 전구체를 라디칼 산소 전구체와 조합함을 포함할 수 있는, 패턴화된 기판 상에 유전체 층을 형성시키는 방법이 기재되어 있다. 규소-및-탄소-함유 전구체 및 라디칼 산소 전구체가 반응하여 유동가능한 규소-탄소-산소 층을 패턴화된 기판 상에 증착시킨다.
미국 공보 제2014/302690호에는 저-k 유전 물질을 기판 상에 형성시키는 방법이 기재되어 있다. 이 방법은 비여기된 전구체를 원격 플라즈마 영역으로 유동시킴으로써 라디칼 전구체를 생성시키는 단계, 및 라디칼 전구체를 기체-상 규소 전구체와 반응시켜 기판 상에 유동가능한 필름을 증착시키는 단계를 포함할 수 있다. 기체-상 규소 전구체는 적어도 하나의 규소-및-산소 함유 화합물 및 적어도 하나의 규소-및-탄소 링커(linker)를 포함할 수 있다. 유동가능한 필름은 경화되어 저-k 유전 물질을 형성시킬 수 있다.
미국 공보 제2014/051264호에는 초기에 유동가능한 유전 필름을 기판 상에 증착시키는 방법이 기재되어 있다. 이 방법은 기판을 함유하는 증착 챔버에 규소-함유 전구체를 도입함을 포함한다. 이 방법은 추가로 적어도 하나의 여기된 전구체, 예컨대, 라디칼 질소 또는 산소 전구체를 증착 챔버 외부에 위치된 원격 플라즈마 시스템으로 발생시킴을 포함한다. 여기된 전구체는 또한 증착 챔버에 도입되고, 여기서 이는 반응 구역에서 규소-함유 전구체와 반응하여 기판 상에 초기에 유동가능한 필름을 증착시킨다. 유동가능한 필름은, 예를 들어, 스팀(steam) 환경에서 처리되어 실리콘 옥사이드 필름을 형성시킬 수 있다.
PCT 출원 WO14134476A1호에는 SiCN 및 SiCON을 포함하는 필름의 증착을 위한 방법이 기재되어 있다. 특정 방법은 제 1 및 제 2 전구체에 기판 표면을 노출시킴을 포함하고, 여기서 제 1 전구체는 화학식 (XyH3-ySi)zCH4-z, (XyH3-ySi)(CH2)(SiXpH2-p)(CH2)(SiXyH3-y), 또는 (XyH3-ySi)(CH2)n(SiXyH3-y)(여기서, X는 할로겐이고, y는 1 내지 3의 값을 지니고, z는 1 내지 3의 값을 지니고, p는 0 내지 2의 값을 지니고, n은 2 내지 5의 값을 지님)를 지니고, 제 2 전구체는 환원 아민을 포함한다. 특정 방법은 또한 산소 공급원에 대한 기판 표면의 노출로 SiCON을 포함하는 필름을 제공함을 포함한다.
"Highly Stable Ultrathin Carbosiloxane Films by Molecular Layer Deposition"이라는 표제의 Han, Z. 등의 참조 문헌[Journal of Physical Chemistry C, 2013, 117, 19967]에는 1,2-비스[(디메틸아미노)디메틸실릴]에탄 및 오존을 사용하여 카보실록산 필름을 성장시키는 것이 교시되어 있다. 열 안정성은 필름이 60℃에서 두께의 손실이 거의 없이 40℃ 이하에서 안정함을 나타낸다.
본원에 기재된 조성물 및 방법은 다음 특성들 중 하나 이상을 지니는 규소-함유 필름을 형성시키고 증착시키기 위한 조성물 및 포뮬레이션(formulation)을 제공함으로써 종래 기술의 문제를 극복한다: 희석 불산(dilute hydrofluoric: HF)(예, 1:99 49% HF 및 DI 수 배쓰)에서 측정하는 경우, 약 0.20 옹스트롱/초 (Å/s) 또는 그 미만의 에칭률 및 X-선 광분광기(XPS)에 의해 측정하는 경우, 약 10 원자 중량%(at. %) 또는 그 초과의 탄소 함량. 한 가지 특정 구체예에서, 본원에 기재된 조성물은 열적 원자 층 증착(ALD) 공정을 이용하여 탄소 도핑된 실리콘 옥사이드 필름을 증착시키는 방법에 사용될 수 있다.
한 가지 양태에서, 규소-함유 필름을 증착시키기 위한 조성물은 하기 화학식 I 및 II 및 적어도 하나의 앵커링 기(anchoring group)를 지니는, 적어도 하나의 사이클릭 카보실란 전구체 화합물을 포함한다:
상기 식에서, X1, X2, X3, X4, X5, 및 X6은 각각 독립적으로 수소 원자; F, Cl, Br, 및 I로부터 선택된 할라이드 원자; 화학식 NR1R2를 지니는 아미노 기로부터 선택되고, 여기서 R1 및 R2는 독립적으로 수소 원자 C1 내지 C10 선형 알킬 기; C3 내지 C10 분지형 알킬 기; C3 내지 C10 사이클릭 알킬 기; C3 내지 C10 알케닐 기; C4 내지 C10 아릴 기; 및 C4 내지 C10 헤테로사이클릭 기로 이루어진 군으로부터 선택된다. 화학식 I, II, 또는 I와 II 둘 모두의 일부 구체예에서, 치환체 X1, X2, X3, X4, X5, 및 X6 중 어느 하나 이상은 링킹되어 치환되거나 비치환된, 포화되거나 불포화된, 사이클릭 기를 형성한다. 화학식 I, II, 또는 I와 II 둘 모두의 한 가지 특정 구체예에서, 치환체 X1, X2, X3, X4, X5, 및 X6 중 어느 하나 이상은 상술된 할라이드 원자 또는 아미노 기이다. 화학식 II의 특정 구체예에서, X1, X2, X3, X4, X5, 및 X6은 모두 아미노 기일 수는 없다. 화학식 I 또는 II의 특정 구체예에서, 화학식 NR1R2를 지니는 아미노 기에서 R1 및 R2는 함께 링킹되어 고리를 형성한다. 한 가지 특정 구체예에서, R1 및 R2는 선형 또는 분지형 C3 내지 C6 알킬 기로부터 선택되고, 링킹되어 사이클릭 고리를 형성한다. 아미노 기를 지니는 화학식 I 또는 II의 대안적인 구체예에서, R1 및 R2는 함께 고리를 형성하도록 링킹되지 않는다. 아미노 기를 지니는 화학식 I 및 II의 다른 구체예에서, R1과 R2는 상이하다.
화학식 I 및 II의 특정 구체예에서, 규소-함유 필름을 형성시키기 위한 조성물은 적어도 하나의 사이클릭 할로카보실란 화합물을 포함하고, 여기서 치환체 X1, X2, X3, X4, X5, X6 중 적어도 하나는 앵커링 기로서 F, Cl, Br, 및 I로부터 선택된 할라이드 원자이고, 치환체 X1, X2, X3, X4, X5, X6 중 어느 것도 아미노 기가 아니다. 예시적인 사이클릭 할로카보실란은 1-클로로-1,3-디실라사이클로부탄, 1-브로모-1,3-디실라사이클로부탄, 1-아이오도-1,3-디실라사이클로부탄, 1,3-디클로로-1,3-디실라사이클로부탄, 1,3-디브로모-1,3-디실라사이클로부탄, 1,3-디아이오도-1,3-디실라사이클로부탄, 1,1-디클로로-1,3-디실라사이클로부탄, 1,1-디브로모-1,3-디실라사이클로부탄, 1,1-디아이오도-1,3-디실라사이클로부탄, 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄, 1,1,3,3-테트라브로모-1,3-디실라사이클로부탄, 1,1,3,3-테트라아이오도-1,3-디실라사이클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3,5,5-헥사클로로-1,3,5-트리실라사이클로헥산, 1,1,3,3-테트라클로로-1,3,5-트리실라사이클로헥산, 및 1,3,5-트리클로로-1,3,5-트리실라사이클로헥산을 포함하지만, 이로 제한되지 않는다.
화학식 I 및 II의 특정 구체예에서, 규소-함유 필름을 형성시키기 위한 조성물은 적어도 하나의 사이클릭 할로아미노카보실란 화합물을 포함하고, 여기서 치환체 X1, X2, X3, X4, X5, X6 중 적어도 하나는 F, Cl, Br, 및 I로부터 선택된 할라이드 원자이고, 치환체 X1, X2, X3, X4, X5, X6 중 적어도 하나는 앵커링 기로서 아미노 기이다. 예시적인 사이클릭 할로아미노카보실란 화합물은 1,3-비스(디메틸아미노)-1,3-디클로로-1,3-디실라사이클로부탄, 1,3-비스(디에틸아미노)-1,3-디클로로-1,3-디실라사이클로부탄, 및 1-(디메틸아미노)-1,3,3-트리클로로-1,3-디실라사이클로부탄을 포함하지만, 이로 제한되지 않는다.
화학식 I 및 II의 특정 구체예에서, 규소-함유 필름을 형성시키기 위한 조성물은 적어도 하나의 사이클릭 아미노카보실란 화합물을 포함하고, 여기서 치환체 X1, X2, X3, X4, X5, X6 중 적어도 하나는 앵커링 기로서 아미노 기이고, 치환체 X1, X2, X3, X4, X5, X6 중 어느 것도 할라이드 원자가 아니다. 예시적인 사이클릭 아미노카보실란은 1,1,3,3-테트라키스(메틸아미노)-1,3-디실라사이클로부탄, 1,1,3,3-테트라키스(디메틸아미노)-1,3-디실라사이클로부탄, 1,3-비스(디메틸아미노)-1,3-디메틸-1,3-디실라사이클로부탄, 1,3-비스(메틸아미노)-1,3-디메틸-1,3-디실라사이클로부탄, 및 1,3-비스(이소-프로필아미노)-1,3-디메틸-1,3-디실라사이클로부탄을 포함하지만, 이로 제한되지 않는다.
또 다른 양태에서, 기판의 적어도 하나의 표면 상에 탄소-도핑된 실리콘 옥사이드 필름 및 탄소-도핑된 실리콘 옥시니트라이드 필름으로부터 선택된 필름을 증착시키는 방법으로서,
기판을 반응기에 위치시키고;
반응기를 약 25℃ 내지 약 700℃ 범위의 하나 이상의 온도로 가열하고;
반응기에 사이클릭 할로카보실란 화합물, 사이클릭 할로아미노카보실란 화합물, 및 이들의 조합물로부터 선택된 적어도 하나의 화합물을 포함하는 전구체를 도입하고;
전구체의 적어도 일부와 반응하도록 반응기에 질소 공급원을 도입하여 탄소 도핑된 실리콘 니트라이드 필름을 형성시키고;
탄소 도핑된 실리콘 니트라이드 필름을 상기 필름으로 변환시키기에 충분한 조건하에 약 25℃ 내지 1000℃ 또는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 탄소 도핑된 실리콘 니트라이드 필름을 산소 공급원으로 처리함을 포함하는 방법이 제공된다. 특정 구체예에서, 탄소 도핑된 실리콘 옥사이드 필름 또는 탄소 도핑된 실리콘 옥시니트라이드 필름은 XPS에 의해 측정하는 경우에 약 10 원자 중량%(at.%) 또는 그 초과의 탄소 함량 및 희석 HF에서 약 0.20 Å/s 또는 그 미만의 에칭률을 지닌다.
도 1은 실시예 11에 기재된 바와 같은 전구체 1,3-디클로로-1,3-(디메틸)아미노-1,3-디실라사이클로부탄을 사용하여 증착된 필름의 푸리에 변환 적외선 분광기(Fourier Transform Infrared Spectroscopy: FTIR) 스펙트럼을 제공한다.
도 2는 실시예 15에 기재된 바와 같은 진공 어닐(vacuum anneal)의 전(실선) 및 후(파선)의 FTIR 스펙트럼의 비교를 제공한다.
도 3a 내지 3c는 실시예 17에 기재된 바와 같은 증착된 규소-함유 필름의 단면 주사 전자 현미경(scanning electron microscope: SEM) 이미지를 제공한다.
사이클릭 카보실란 전구체 화합물; 이를 포함하는 조성물; 및 증착 공정, 예컨대, 제한 없이, 열적 원자 층 증착 공정을 통해 탄소 도핑된 (예를 들어, XPS에 의해 측정하는 경우, 약 10 at.% 또는 그 초과의 탄소 함량을 지님) 규소-함유 필름을 증착시키는 방법이 본원에 기재된다. 본원에 기재된 조성물 및 방법을 사용하여 증착된 필름은 다른 조정가능한 특성, 예컨대, 제한 없이, 밀도, 유전 상수, 굴절 지수, 및 원소 조성의 가변성을 나타내면서 매우 높은 에칭률(예를 들어, 희석 HF에서 약 0.20 Å/s 또는 그 미만 또는 약 0.15 Å/s 또는 그 미만)을 나타낸다.
특정 구체예에서, 본원에 기재된 사이클릭 카보실란 전구체, 및 이의 사용 방법은 하기 방식으로 기재된 특징들 중 하나 이상을 제공한다. 첫 번째로, 증착 시(as-deposited) 반응성 탄소-도핑된 실리콘 니트라이드 필름은 Si-C-Si 연결 및 질소 공급원을 포함하는 사이클릭 카보실란 전구체를 사용하여 형성된다. 사이클릭 카보실란 전구체로부터의 Si-C-Si 연결은 생성되는 증착 시 필름에 남아 있고, XPS에 의해 측정하는 경우, 적어도 10 at.% 또는 그 초과의 높은 탄소 함량을 제공하는 것으로 사료된다. 두 번째로, 증착 시 필름을 산소 공급원, 예컨대, 물에 노출시키는 때에, 단속적으로 증착 공정 동안, 후 증착 처리로서, 또는 이들의 조합으로 필름에서 질소 함량의 적어도 일부 또는 전부가 산소로 변환되어 탄소-도핑된 실리콘 옥사이드 또는 탄소-도핑된 실리콘 옥시니트라이드 필름으로부터 선택된 필름을 제공한다. 증착 시 필름에서 질소는 하나 이상의 질소-함유 부산물, 예컨대, 암모니아 또는 아민 기로서 방출된다. 이러한 또는 다른 구체예에서, 최종 필름은 다공성이고, 약 1.7 그램/입방 센티미터(grams/cubic centimeter: g/cc) 또는 그 미만의 밀도, 및 희석 HF에서 측정하는 경우, 0.20 Å/s 또는 그 미만의 습식 에칭률을 지닌다.
한 가지 양태에서, 조성물은 하기 화학식 I 및 II 및 할라이드 원자, 아미노 기, 및 이들의 조합으로부터 선택된 적어도 하나의 앵커링 기를 지니는 적어도 하나의 사이클릭 카보실란을 포함한다:
I II
상기 식에서, X1, X2, X3, X4, X5, 및 X6은 각각 독립적으로 수소 원자; F, Cl, Br, 및 I로부터 선택된 할라이드 원자; 화학식 NR1R2를 지니는 아미노 기로부터 선택되고, 여기서 R1 및 R2는 독립적으로 수소 원자 C1-10 선형 알킬 기; C3-10 분지형 알킬 기; C3-10 사이클릭 알킬 기; C3-10 알케닐 기; C4-10 아릴 기; 및 C4-10 헤테로사이클릭 기로 이루어진 군으로부터 선택된다. 화학식 I, II, 또는 I와 II 둘 모두의 일부 구체예에서, 치환체 X1, X2, X3, X4, X5, 및 X6 중 어느 하나 이상은 링킹되어 치환되거나 비치환된, 포화되거나 불포화된, 사이클릭 기를 형성한다. 화학식 I, II, 또는 I와 II 둘 모두의 한 가지 특정 구체예에서, 치환체 X1, X2, X3, X4, X5, 및 X6 중 어느 하나 이상은 상술된 할라이드 또는 아미노 기이다. 화학식 II의 특정 구체예에서, X1, X2, X3, X4, X5, 및 X6은 모두 아미노 기가 아니다. 화학식 I 또는 II의 특정 구체예에서, 화학식 NR1R2를 지니는 아미노 기에서 R1 및 R2는 함께 링킹되어 고리를 형성한다. 한 가지 특정 구체예에서, R1 및 R2는 선형 또는 분지형 C3 내지 C6 알킬 기로부터 선택되고, 링킹되어 사이클릭 고리를 형성한다. 화학식 I 또는 II의 대안적인 구체예에서, R1 및 R2는 함께 고리를 형성하도록 링킹되지 않는다. 다른 구체예에서, R1과 R2는 상이하다.
앞서 언급된 바와 같이, 본원에 기재된 화학식 I 및 II를 지니는 사이클릭 카보실란 전구체는 적어도 하나의 앵커링 기를 포함한다. 용어 "앵커링 기"는 기판의 표면 상에 하이드록실 또는 다른 반응성 부위, 예컨대, 제한 없이, NH 또는 NH2와 반응할 수 있는 불안정 기(labile group)를 의미한다. 예시적인 앵커링 기는 할라이드 원자 (예를 들어, Cl, Br, 및 I) 및 아미노 기를 포함하지만, 이로 제한되지 않는다. 용어 "아미노 기"는 HNR1R2(여기서, R1 및 R2는 상기 언급된 바와 같음)의 화학식을 지니는 유기아민으로부터 유래된 1 내지 10개의 탄소 원자를 지니는 유기아미노 기를 의미한다. 예시적인 아미노 기는 2차 아민으로부터 유래된 2차 아미노 기, 예컨대, 디메틸아미노(Me2N-), 디에틸아미노(Et2N-), 디-이소-프로필아미노(iPr2N-); 일차 아민으로부터 유래된 일차 아미노 기, 예컨대, 메틸아미노(MeNH-), 에틸아민(EtNH-), 이소-프로필아미노(iPrNH-), 2차-부틸아미노 (sBuNH-), 3차-부틸아미노(tBuNH-)를 포함하지만, 이로 제한되지 않는다.
상기 화학식에서 그리고 설명 전반에 걸쳐, 용어 "알킬"은 1 내지 10개 또는 2 내지 4개의 탄소 원자를 갖는 선형 또는 분지형 작용기를 의미한다. 예시적인 선형 알킬 기는 메틸, 에틸, n-프로필, n-부틸, n-펜틸, 및 헥실을 포함하지만, 이로 제한되지 않는다. 예시적인 분지형 알킬 기는 이소-프로필, 이소-부틸, 2차-부틸, 3차-부틸, 이소-펜틸, 3차-펜틸, 및 이소-헥실을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 알킬 기는 이에 결합되는 하나 이상의 작용 기, 예컨대, 이로 제한되는 것은 아니지만, 알콕시 기, 디알킬아미노 기 또는 이들의 조합을 지닐 수 있다. 다른 구체예에서, 알킬 기는 이에 결합되는 하나 이상의 작용기를 지니지 않는다.
상기 화학식에서 그리고 설명 전반에 걸쳐, 용어 "사이클릭 알킬"은 3 내지 10개 또는 4 내지 10개의 탄소 원자를 지니는 사이클릭 작용 기를 의미한다. 예시적인 사이클릭 알킬 기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함하지만, 이로 제한되지 않는다.
상기 화학식에서 그리고 설명 전반에 걸쳐, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 지니고 2 내지 10개 또는 2 내지 6개의 탄소 원자를 지니는 기를 의미한다. 예시적인 알케닐 기는 비닐 또는 알릴 기를 포함하지만, 이로 제한되지 않는다.
상기 화학식에서 그리고 설명 전반에 걸쳐, 용어 "알키닐 기"는 하나 이상의 탄소-탄소 삼중 결합을 지니고 2 내지 10개 또는 2 내지 6개의 탄소 원자를 지니는 기를 의미한다.
상기 화학식에서 그리고 설명 전반에 걸쳐, 용어 "아릴"은 4 내지 10개의 탄소 원자, 5 내지 10개의 탄소 원자, 또는 6 내지 10개의 탄소 원자를 지니는 방향족 사이클릭 작용 기를 의미한다. 예시적인 아릴 기는 페닐, 벤질, 클로로벤질, 톨릴, o-자일릴, 1,2,3-트리아졸릴, 피롤릴, 및 푸라닐, 피리다지닐, 피리미디닐, 피라지닐, 및 이미다졸릴을 포함하지만, 이로 제한되지 않는다.
특정 구체예에서, 본원에 기재된 화학식 I 또는 II를 지니는 사이클릭 카보실란 전구체는 이의 앵커링 기로서 적어도 하나의 할라이드 원자를 포함하고, 아미노 기를 포함하지 않는다. 화학식 I 또는 II를 참조하면, 이러한 화합물은 앵커링 기로서 이들의 치환체 X1, X2, X3, X4, X5, X6 중 적어도 하나로서 F, Cl, Br, 및 I로부터 선택된 할라이드 원자를 지니고, 치환체 X1, X2, X3, X4, X5, X6 중 어느 것도 아미노 기가 아니다. 이러한 전구체는 본원에서 사이클릭 할로카보실란 화합물로 지칭된다. 특정 할로카보실란 화합물의 예는, 예를 들어, 하기 표 I에 제공되어 있다:
표 I: 앵커링 기로서 적어도 하나의 할라이드 기를 지니는 사이클릭 카보실란(사이클릭 할로카보실란)
특정 구체예에서, 본원에 기재된 화학식 I 또는 II를 지니는 사이클릭 카보실란 전구체는 이의 앵커링 기로서 적어도 하나의 할라이드 원자 및 적어도 하나의 아미노 기를 포함하고, 아미노 기를 포함하지 않는다. 화학식 I 또는 II를 참조하면, 이러한 화합물은 앵커링 기로서 이들의 치환체 X1, X2, X3, X4, X5, X6 중 적어도 하나로서 F, Cl, Br, 및 I로부터 선택된 할라이드 원자 및 적어도 하나의 아미노 기를 지닌다. 이러한 전구체는 본원에서 사이클릭 할로아미노카보실란 화합물로서 지칭된다. 특정 할로카보실란 화합물의 예는, 예를 들어, 하기 표 II에 제공되어 있다:
표 II: 앵커링 기로서 적어도 하나의 할라이드 및 적어도 하나의 아미노 기를 지니는 사이클릭 카보실란(사이클릭 할로아미노카보실란)
특정 구체예에서, 본원에 기재된 화학식 I 또는 II를 지니는 사이클릭 카보실란 전구체는 이의 앵커링 기로서 적어도 하나의 아미노 기를 포함하고, 할라이드 원자를 포함하지 않는다. 화학식 I 또는 II를 참조하면, 이러한 화합물은 앵커링 기로서 이들의 치환체 X1, X2, X3, X4, X5, X6 중 적어도 하나로서 아미노 기를 지니고, 치환체 X1, X2, X3, X4, X5, X6 중 어느 것도 할라이드 원자가 아니다. 이러한 전구체는 본원에서 사이클릭 아미노카보실란 화합물로 지칭된다. 특정 아미노카보실란 화합물의 예는, 예를 들어, 하기 표 III에 제공되어 있다:
표 III: 앵커링 기로서 적어도 하나의 아미노 기를 지니는 사이클릭 카보실란(사이클릭 아미노카보실란)
앞서 언급된 바와 같이, 규소-함유 필름은 반도체 기판과 같은 기판의 적어도 하나의 표면 위에 증착된다. 본원에 기재된 방법에서, 기판은 규소, 실리콘 옥사이드, 실리콘 니트라이드, 비정질 탄소, 실리콘 옥시카바이드, 실리콘 옥시니트라이드, 실리콘 카바이드, 게르마늄, 게르마늄 도핑된 규소, 금속, 예컨대, 구리, 텅스텐, 알루미늄, 코발트, 니켈, 탄탈럼, 금속 니트라이드, 예컨대, 티타늄 니트라이드, 탄탈럼 니트라이드, 금속 옥사이드, III/V 족 금속 또는 준금속, 예컨대, GaAs, InP, GaP 및 GaN, 및 이들의 조합물의 필름을 포함하여 당해 기술 분야에 널리 공지된 다양한 물질들로 구성되고/거나 코팅될 수 있다. 이러한 코팅은 반도체 기판을 완전히 코팅할 수 있고, 다양한 물질의 다층으로 되어 있을 수 있으며, 물질의 하부 층을 노출시키도록 부분적으로 에칭될 수 있다. 표면은 또한 그 위에 패턴으로 노출되고 기판을 부분적으로 코팅하도록 현상된 포토레지스트 물질이 증착될 수 있다. 특정 구체예에서, 반도체 기판은 공극, 바이어(via), 트렌치(trench), 및 이들의 조합으로 이루어진 군으로부터 선택된 적어도 하나의 표면 피쳐(surface feature)를 포함한다.
규소-함유 필름 또는 코팅을 형성시키기 위해 사용되는 증착 방법은 증착 공정이다. 본원에 개시된 방법에 적합한 증착 공정의 예는 화학적 기상 증착 또는 원자 층 증착 공정을 포함하지만, 이로 제한되지 않는다. 본원에서 사용되는 용어 "화학적 기상 증착 공정"은 기판이 하나 이상의 휘발성 전구체에 노출되어, 휘발성 전구체가 기판 표면 상에서 반응하고/거나 분해되어 요망되는 증착을 생성시키는 어떠한 공정을 지칭한다. 본원에서 사용되는 용어 "원자 층 증착 공정"은 가변 조성의 기판 상에 필름 물질을 증착시키는 자가-제한적(예를 들어, 각각의 반응 사이클에서 증착된 필름 물질의 양이 일정함) 순차적인 표면 화학을 지칭한다. 본원에서 사용되는 전구체, 시약 및 공급원이 때때로 "가스성"으로 기재될 수 있지만, 전구체는 불활성 가스와 함께 또는 없이 직접 기화, 버블링(bubbling) 또는 승화를 통해 반응기로 전달되는 액체 또는 고체일 수 있는 것으로 이해된다. 일부 경우에, 기화된 전구체는 플라즈마 발생기를 통과할 수 있다.
한 가지 구체예에서, 규소-함유 필름은 ALD 공정을 이용하여 증착된다. 또 다른 구체예에서, 규소-함유 필름은 CCVD 공정을 이용하여 증착된다. 추가의 구체예에서, 규소-함유 필름은 열적 ALD 공정을 이용하여 증착된다. 본원에서 사용되는 용어 "반응기"는, 제한 없이, 반응 챔버 또는 증착 챔버를 포함한다.
특정 구체예에서, 본원에 개시된 방법은 반응기로의 도입 전 및/또는 동안에 전구체(들)를 분리시키는 ALD 또는 CCVD 방법들을 이용함으로써 전구체(들)의 사전 반응을 방지한다. 이와 관련하여, 증착 기술, 예컨대, ALD 또는 CCVD 공정은 규소-함유 필름을 증착시키기 위해 사용된다. 한 가지 구체예에서, 필름은 ALD 공정을 통해, 전형적으로 단일 웨이퍼 ALD 반응기, 세미-배치(semi-batch) ALD 반응기, 또는 배치 로(batch furnace) ALD 반응기에서 기판 표면을 하나 이상의 규소-함유 전구체, 산소 공급원, 질소-함유 공급원, 또는 다른 전구체 또는 시약에 교대로 노출시킴으로써 증착된다. 필름 성장은 표면 반응, 각 전구체 또는 시약의 펄스 길이, 및 증착 온도의 자가-제한적 제어에 의해 진행된다. 그러나, 기판의 표면이 포화되면 필름 성장은 중지된다. 또 다른 구체예에서, 사이클릭 카보실란 및 반응성 가스를 포함하는 각각의 반응물은 반응기의 상이한 섹션으로 기판으로 이동시키거나 그에 대해 회전시킴으로써 기판에 노출되고, 각각의 섹션은 불활성 가스 커튼(inert gas curtain), 즉, 공간적 ALD 반응기 또는 롤 투 롤(roll to roll) ALD 반응기에 의해 분리된다.
증착 방법에 좌우하여, 특정 구체예에서, 본원에 기재된 사이클릭 카보실란 전구체, 및 임의로 다른 규소-함유 전구체는 소정 몰 부피, 또는 약 0.1 내지 약 1000 마이크로몰로 반응기로 도입될 수 있다. 이러한 또는 다른 구체예에서, 전구체는 소정 기간 동안 반응기로 도입될 수 있다. 특정 구체예에서, 기간은 약 0.001 내지 약 500초의 범위이다.
특정 구체예에서, 본원에 기재된 방법을 이용하여 증착된 규소-함유 필름은 산소를 포함하는 산소 공급원, 시약 또는 전구체를 사용하여 산소의 존재하에 형성된다. 산소 공급원은 적어도 하나의 산소 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에서 사용되는 다른 전구체에 부수적으로 존재할 수 있다. 적합한 산소 공급원 가스는, 예를 들어, 물(H2O)(예를 들어, 탈이온수, 정제수 및/또는 증류수, 수증기, 수증기 플라즈마, 과산화수소수(oxygenated water), 물을 포함하는 조성물 및 다른 유기 액체), 산소(O2), 산소 플라즈마, 오존(O3), 산화질소(NO), 이산화질소(NO2), 일산화탄소(CO), 물을 포함하는 플라즈마, 물 및 아르곤을 포함하는 플라즈마, 과산화수소, 수소를 포함하는 조성물, 수소 및 산소를 포함하는 조성물, 이산화탄소(CO2), 공기, 및 이들의 조합물을 포함할 수 있다. 특정 구체예에서, 산소 공급원은 약 1 내지 약 2000 제곱 입방 센티미터(square cubic centimeter: sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기에 도입되는 산소 공급원 가스를 포함한다. 산소 공급원은 약 0.1 내지 약 100초 범위의 기간 동안 도입될 수 있다.
필름이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01초 초과인 펄스 기간을 지닐 수 있고, 산소 공급원은 0.01초 미만인 펄스 기간을 지닐 수 있는 반면, 물 펄스 기간은 0.01초 미만인 펄스 기간을 지닐 수 있다.
특정 구체예에서, 산소 공급원은 반응기에 연속하여 유동되는 반면, 전구체 펄스 및 플라즈마는 순차적으로 도입된다. 전구체 펄스는 0.01초 초과의 펄스 기간을 지닐 수 있는 반면, 플라즈마 기간은 0.01초 내지 100초의 범위일 수 있다.
특정 구체예에서, 규소-함유 필름은 규소 및 질소 및 임의로 산소를 포함한다. 이러한 구체예에서, 본원에 기재된 방법을 이용하여 증착된 규소-함유 필름은 질소-함유 공급원의 존재에서 형성된다. 질소-함유 공급원은 적어도 하나의 질소 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에 사용되는 다른 전구체에 부수적으로 존재할 수 있다.
적합한 질소-함유 또는 질소 공급원 가스는, 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 대칭 또는 비대칭 디알킬하이드라진, 질소, NO, N2O, NO2, 질소 및 수소를 포함하는 조성물, 암모니아 플라즈마, 질소 플라즈마, 암모니아 및 질소를 포함하는 플라즈마, 질소 및 수소 플라즈마, 유기아민 플라즈마, 유기디아민 플라즈마 및 이들의 조합물을 포함할 수 있다. 유기아민 플라즈마 또는 유기디아민 플라즈마가 질소-함유 공급원으로서 사용되는 구체예에서, 예시적인 유기아민 플라즈마는, 이로 제한되지는 않지만, 디에틸아민 플라즈마, 디메틸아민 플라즈마, 트리메틸 플라즈마, 트리메틸아민 플라즈마, 알콕시아민 플라즈마, 메틸아민, 에틸아민, 에틸렌디아민, 에탄올아민, 피페라진, N,N'-디메틸에틸렌디아민, 이미다졸리딘, 사이클로트리메틸렌트리아민, 및 이들의 조합물을 포함하지만, 이로 제한되지 않는다.
특정 구체예에서, 질소 공급원은 약 1 내지 약 2000 제곱 평방 센티미터(sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기에 도입된다. 질소-함유 공급원은 약 0.1 내지 약 100초 범위인 시간 동안 도입될 수 있다. 필름이 질소 공급원과 산소 공급원 둘 모두를 사용하여 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01초 초과인 펄스 기간을 지닐 수 있고, 질소 공급원은 0.01초 미만인 펄스 기간을 지닐 수 있는 반면, 물 펄스 기간은 0.01초 미만인 펄스 기간을 지닐 수 있다. 추가의 또 다른 구체예에서, 펄스들 간의 펄스 기간은 0초 정도로 낮을 수 있거나, 그 사이에 퍼지 없이 연속해서 펄싱된다.
특정 구체예에서, 하나 이상의 방법 단계가 촉매의 존재에서 실시된다. 이러한 또는 다른 구체예에서, 촉매는 루이스 염기, 예컨대, 피리딘, 피페라진, 암모니아, 또는 다른 유기 아민으로부터 선택된다.
본원에 개시된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소모되지 않은 반응물 및/또는 반응 부산물들을 퍼징시키는데 사용되는 퍼지 가스는 전구체와 반응하지 않은 불활성 가스이다. 예시적인 퍼지 가스는 아르곤 (Ar), 질소 (N2), 헬륨 (He), 네온, 수소 (H2), 및 이들의 조합물을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 퍼지 가스, 예컨대, Ar은 약 0.1 내지 1000초 동안 약 10 내지 약 2000 sccm 범위의 유량으로 반응기에 공급됨으로써 반응기에 남아 있을 수 있는 미반응 물질 및 임의의 부산물을 퍼징시킨다.
전구체, 산소 공급원, 질소-함유 공급원, 및/또는 다른 전구체, 공급원 가스, 및/또는 시약을 공급하는 각각의 단계는 생성되는 필름의 화학량론적 조성이 변화되도록 그것들을 공급하는 시간을 변동시킴으로써 수행될 수 있다.
전구체, 질소-함유 공급원, 환원제, 다른 전구체 또는 이들의 조합물 중 적어도 하나에 에너지가 가해져 반응을 유도하고 기판 상에 필름 또는 코팅을 형성시킨다. 그러한 에너지는, 이로 제한되지는 않지만, 열, 플라즈마, 펄싱된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 포톤(photon), 원격(remote) 플라즈마 방법들, 및 이들의 조합에 의해 제공될 수 있다.
특정 구체예들에서, 2차 RF 주파수 소스가 기판 표면에서 플라즈마 특징을 변형시키는데 사용될 수 있다. 증착이 플라즈마를 포함하는 구체예에서, 플라즈마-발생 공정은 플라즈마가 반응기에서 직접 생성되는 직접 플라즈마-발생 공정, 또는 대안적으로 플라즈마가 반응기 외부에서 생성되고 반응기에 공급되는 원격 플라즈마-발생 공정을 포함할 수 있다.
사이클릭 카보실란 전구체 및/또는 다른 규소-함유 전구체는 다양한 방식으로 반응 챔버, 예컨대, CVD 또는 ALD 반응기에 전달될 수 있다. 한 가지 구체예에서, 액체 전달 시스템이 사용될 수 있다. 대안적인 구체예에서, 결합형 액체 전달 및 플래쉬 기화 공정 유닛, 예컨대, MSP Corporation(Shoreview, MN)에 의해 제작된 터보 기화기가 사용되어 저휘발성 물질을 용량적으로 전달되게 할 수 있는데, 이는 전구체의 열적 분해 없이 재현가능한 수송 및 증착을 유도한다. 액체 전달 포뮬레이션에서, 본원에 기재된 전구체는 순수 액체 형태로 전달될 수 있거나, 대안적으로 이를 포함하는 용매 포뮬레이션 또는 조성물에 사용될 수 있다. 따라서, 특정 구체예에서, 전구체 포뮬레이션은 기판 상에 필름을 형성시키기 위해 주어진 최종 용도 적용에서 바람직할 수 있고 유리할 수 있으므로 적합한 특징의 용매 성분(들)을 포함할 수 있다.
이러한 또는 다른 구체예에서, 본원에 기재된 방법의 단계들은 다양한 순서로 수행될 수 있고, 순차적으로 또는 동시에(예를 들어, 또 다른 단계의 적어도 일부 동안에) 수행될 수 있고, 이들의 어떠한 조합으로 수행될 수 있는 것으로 이해된다. 전구체 및 질소-함유 공급원 가스를 공급하는 각각의 단계는 그것들을 공급하는 기간을 달리하여 형성되는 규소-함유 필름의 화학량론적 조성을 변동시킴으로써 수행될 수 있다.
본원에 기재된 방법의 또 다른 추가의 구체예에서, 필름 또는 증착 시 필름은 처리 단계에 주어진다. 처리 단계는 증착 단계의 적어도 일부 동안, 증착 단계 후에, 및 이들의 조합으로 실시될 수 있다. 예시적인 처리 단계는 필름의 하나 이상의 특성들에 영향을 주기 위해서, 제한 없이, 열적 어닐링을 통한 처리; 플라즈마 처리; 자외선 (UV) 광 처리; 레이저; 전자 빔 처리, 및 이들의 조합을 포함한다. 본원에 기재된 사이클릭 카보실란 전구체로 증착된 필름은, 동일한 조건하에 앞서 개시된 카보실란 전구체로 증착된 필름에 비해서, 제한 없이, 처리 단계 전 필름의 습식 에칭률보다 낮은 습식 에칭률, 처리 단계 전 밀도보다 높은 밀도, 또는 필름 내 하나 이상의 불순물의 감소와 같은 개선된 특성들을 지닌다. 한 가지 특정 구체예에서, 증착 공정 동안, 증착 시 필름은 단속적으로 처리된다. 이러한 단속적 또는 중간-증착 처리는, 예를 들어, 각각의 ALD 사이클 후에, 모든 특정 횟수의 ALD 사이클, 예컨대, 제한 없이, 2회 (2)의 ALD 사이클, 5회 (5)의 ALD 사이클 후에, 또는 모든 10회 (10) 이상의 ALD 사이클 후에 수행될 수 있다.
필름이 열적 어닐링 단계로 처리되는 구체예에서, 어닐링 온도는 증착 온도보다 적어도 100℃ 이상 높다. 이러한 또는 다른 구체예에서, 열적 어닐링 온도는 약 400℃ 내지 약 1000℃의 범위이다. 대안적인 구체예에서, 어닐링 온도는 증착 온도와 플러스 또는 마이너스 100℃ 이내로 동일하다. 이러한 또는 다른 구체예에서, 열적 어닐링 처리는 진공(< 760 Torr) 또는 불활성 환경에서 실시될 수 있다.
필름이 UV 처리로 처리되는 구체예에서, 필름은 광대역 UV, 또는 대안적으로 약 150나노미터(nm) 내지 약 400 nm 범위의 파장을 지니는 UV 공급원에 노출된다. 한 가지 특정 구체예에서, 증착 시 필름은 요망되는 필름 두께에 이른 후에 증착 챔버와 상이한 챔버에서 UV에 노출된다.
필름이 플라즈마로 처리되는 구체예에서, 플라즈마 공급원은 질소 플라즈마, 질소 및 헬륨을 포함하는 플라즈마, 질소 및 아르곤을 포함하는 플라즈마, 암모니아 플라즈마, 암모니아 및 헬륨을 포함하는 플라즈마, 암모니아 및 아르곤을 포함하는 플라즈마, 헬륨 플라즈마, 아르곤 플라즈마, 네온 플라즈마, 수소 플라즈마, 수소 및 헬륨을 포함하는 플라즈마, 수소 및 아르곤을 포함하는 플라즈마, 유기 아민 플라즈마, 유기 디아민 플라즈마, 및 이들의 조합으로 이루어진 군으로부터 선택된다. 한 가지 특정 구체예에서, 플라즈마는 헬륨, 네온, 아르곤, 크립톤 및 이들의 조합물로 이루어진 군으로부터 선택된 불활성 가스를 포함한다.
한 가지 특정 구체예에서, 방법은
하나 이상의 기판을 반응기에 위치시키는 단계;
반응기를 약 25℃ 내지 약 700℃ 범위의 하나 이상의 온도로 가열하고, 임의로 100 torr 또는 그 미만의 압력으로 유지시키는 단계;
사이클릭 할로카보실란 및 사이클릭 할로아미노카보실란으로 이루어진 군으로부터 선택된 적어도 하나의 사이클릭 카보실란을 도입하는 단계;
화학적으로 흡수된 전구체와 반응하도록 질소 공급원을 도입하여 탄소 도핑된 실리콘 니트라이드 필름을 형성시키는 단계; 및
대략 주위 온도(예, 25℃) 내지 1000℃, 또는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 탄소 도핑된 실리콘 니트라이드 필름을 산소 공급원에 노출시켜 탄소 도핑된 실리콘 니트라이드 필름을 탄소 도핑된 실리콘 옥사이드 또는 탄소-도핑된 실리콘 옥시니트라이드 필름으로 변환시키는 단계를 포함하여, 탄소 도핑된 실리콘 옥사이드, 탄소 도핑된 실리콘 니트라이드, 및 탄소 도핑된 실리콘 옥시니트라이드 필름으로부터 선택된 규소-함유 필름을 증착시킨다.
본원에 기재된 방법의 추가의 구체예에서, 규소-함유 필름은 열적 ALD 공정을 이용하여 증착된다. 이러한 구체예에서, 방법은
하나 이상의 기판을 반응기에 위치시키는 단계;
반응기를 주위(예, 25℃) 내지 약 700℃ 범위의 하나 이상의 온도로 가열하고, 임의로 100 torr 또는 그 미만의 압력으로 유지시키는 단계;
사이클릭 할로카보실란 및 사이클릭 할로아미노카보실란으로 이루어진 군으로부터 선택된 적어도 하나의 사이클릭 카보실란을 도입하는 단계;
표면과 반응하도록 산소 공급원 및 임의로 촉매를 반응기로 제공하여 증착 시 필름을 형성시키는 단계로서, 촉매가 루이스 염기를 포함하는 단계;
임의로, 증착 시 필름을 가열 온도보다 높은 하나 이상의 온도에서 어닐링하는 단계로서, 어닐링 단계를 약 400℃ 내지 약 1000℃ 범위의 하나 이상의 온도에서 실시하는 단계;
임의로, 증착 시 필름을 대략 주위 온도 내지 약 1000℃, 또는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 산소 공급원으로 후 증착 처리하여 소정 밀도를 지니는 필름을 제공하는 단계; 및
임의로, 증착 시 필름을 UV 공급원에 노출시키는 단계; 및
임의로, 가공된 필름을 노블 가스(noble gas) 또는 수소를 포함하는 플라즈마로 후 증착 처리하는 단계를 포함한다.
본원에 기재된 방법의 또 다른 추가의 구체예에서, 규소 함유 필름은 유기 아민을 포함하는 질소 공급원으로 열적 ALD 공정을 이용하여 증착된다. 이러한 구체예에서, 방법은
표면 피쳐를 포함하는 하나 이상의 기판을 반응기에 위치시키는 단계;
반응기를 주위 온도 내지 약 700℃ 범위의 하나 이상의 온도로 가열하고, 임의로 반응기를 100 torr 또는 그 미만의 압력으로 유지시키는 단계;
반응기에 사이클릭 할로카보실란, 사이클릭 할로아미노카보실란, 및 사이클릭 아미노카보실란으로 이루어진 군으로부터 선택된 적어도 하나의 사이클릭 카보실란을 도입하는 단계;
전구체와 반응하도록 반응기에 질소 공급원을 제공하여 실리콘 카보니트라이드 증착 시 필름을 형성시키는 단계; 및
임의로, 증착 시 필름을 후 증착 어닐링하는 단계;
임의로, 탄소 도핑된 실리콘 니트라이드 필름을 대략 주위 온도 내지 1000℃, 또는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 산소 공급원으로 후 증착 처리하여 탄소 도핑된 실리콘 니트라이드 필름을 탄소 도핑된 실리콘 옥사이드 필름으로 변환시키는 단계로서, 산소 공급원이 수증기, 물 플라즈마, 과산화수소수, 과산화수소수 스팀, 산소, 산소 플라즈마, 산소/헬륨 플라즈마, 산소/아르곤 플라즈마, 산화질소 플라즈마, 이산화탄소 플라즈마, 과산화수소, 유기 과산화물, 아산화질소, 오존, 오존처리수, 및 이들의 조합물로 이루어진 군으로부터 선택되는 단계; 및
임의로, 탄소 도핑된 실리콘 옥사이드 필름을 UV 광 공급원에 노출시키는 단계를 포함한다. 이러한 또는 다른 구체예에서, UV 노출 단계는 필름 증착 동안 또는 증착이 완료되자마자 수행될 수 있다.
본원에 기재된 방법의 추가의 또 다른 구체예에서, 탄소 도핑된 실리콘 옥사이드, 실리콘 옥시니트라이드, 탄소 도핑된 실리콘 옥시니트라이드 필름, 탄소 도핑된 실리콘 니트라이드, 또는 실리콘 카바이드 필름은 플라즈마 ALD 공정을 이용하여 증착된다. 이러한 구체예에서, 방법은
공극, 바이어 또는 트렌치 또는 이들의 조합으로부터 선택된 표면 피쳐를 포함하는 하나 이상의 기판을 반응기에 위치시키는 단계;
주위 온도 내지 약 700℃ 범위의 하나 이상의 온도로 반응기를 가열하고, 임의로 100 torr 또는 그 미만의 압력에서 반응기를 유지시키는 단계;
반응기에 사이클릭 할로카보실란, 사이클릭 할로아미노카보실란, 및 사이클릭 아미노카보실란으로 이루어진 군으로부터 선택된 적어도 하나의 사이클릭 카보실란을 도입하는 단계;
전구체와 반응하도록 플라즈마 공급원을 반응기에 제공하여 실리콘 카보니트라이드 필름을 제공하는 단계로서, 플라즈마 공급원이 질소 플라즈마, 질소 및 헬륨을 포함하는 플라즈마, 질소 및 아르곤을 포함하는 플라즈마, 암모니아 플라즈마, 암모니아 및 헬륨을 포함하는 플라즈마, 암모니아 및 아르곤을 포함하는 플라즈마, 헬륨 플라즈마, 아르곤 플라즈마, 네온 플라즈마, 수소 플라즈마, 수소 및 헬륨을 포함하는 플라즈마, 수소 및 아르곤을 포함하는 플라즈마, 유기 아민 플라즈마, 유기 디아민 플라즈마, 및 이들의 조합물로 이루어진 군으로 선택되는 단계; 및
임의로, 증착 시 필름을 약 400℃ 내지 1000℃ 범위의 하나 이상의 온도에서 후 증착 열적 어닐링하는 단계;
임의로, 탄소 도핑된 실리콘 니트라이드 필름을 대략 주위 온도 내지 약 1000℃ 또는 약 100℃ 내지 약 400℃ 범위의 하나 이상의 온도에서 산소 공급원으로 후 증착 처리하여 탄소 도핑된 실리콘 니트라이드 필름을 탄소 도핑된 실리콘 옥사이드 필름으로 변환시키는 단계로서, 산소 공급원이 수증기, 물 플라즈마, 과산화수소수, 과산화수소수 스팀, 산소, 산소 플라즈마, 산소/헬륨 플라즈마, 산소/아르곤 플라즈마, 산화질소 플라즈마, 이산화탄소 플라즈마, 과산화수소, 유기 과산화물, 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나인 단계; 및
임의로, 가공된 필름을 UV 광 공급원에 후 증착 노출시키는 단계; 및
임의로, 필름의 특성들 중 적어도 하나를 개선시키기 위해 가공된 필름을 노블 가스 또는 수소 함유 플라즈마로 후 증착 처리하는 단계를 포함한다.
본원에 기재된 방법의 또 다른 추가의 구체예에서, 탄소 도핑된 실리콘 옥사이드, 실리콘 옥시니트라이드, 탄소 도핑된 실리콘 옥시니트라이드 필름, 탄소 도핑된 실리콘 니트라이드, 또는 실리콘 카바이드는 플라즈마 ALD 공정을 이용하여 증착된다. 이러한 구체예에서, 방법은
공극, 바이어 또는 트렌치, 또는 이들의 조합으로부터 선택된 표면 피쳐를 포함하는 하나 이상의 기판을 반응기에 위치시키는 단계;
반응기를 25℃ 내지 약 650℃ 범위의 하나 이상의 온도로 가열하고, 임의로 반응기를 10 torr 또는 그 미만의 압력으로 유지시키는 단계;
반응기에 사이클릭 할로카보실란, 사이클릭 할로아미노카보실란, 및 사이클릭 아미노카보실란으로 이루어진 군으로부터 선택된 적어도 하나의 사이클릭 카보실란을 도입하는 단계;
샘플 표면과 반응하도록 플라즈마 공급원을 반응기에 제공하여 실리콘 카보니트라이드 필름을 형성시키는 단계로서, 플라즈마 공급원이 질소 플라즈마, 질소 및 헬륨을 포함하는 플라즈마, 질소 및 아르곤을 포함하는 플라즈마, 암모니아 플라즈마, 암모니아 및 헬륨을 포함하는 플라즈마, 암모니아 및 아르곤을 포함하는 플라즈마, 헬륨 플라즈마, 아르곤 플라즈마, 네온 플라즈마, 수소 플라즈마, 수소 및 헬륨을 포함하는 플라즈마, 수소 및 아르곤을 포함하는 플라즈마, 유기 아민 플라즈마, 유기 디아민, 및 이들의 조합물로 이루어진 군으로부터 선택되는 단계; 및
임의로, 증착 시 필름을 후 증착 열적 어닐링하는 단계;
임의로, 탄소 도핑된 실리콘 니트라이드 필름을 대략 주위 온도(예, 25℃) 내지 약 1000℃ 범위의 하나 이상의 온도에서 산소 공급원으로 후 증착 처리하는 단계로서, 산소 공급원이 수증기, 물 플라즈마, 과산화수소수, 과산화수소수 스팀, 산소, 산소 플라즈마, 산소/헬륨 플라즈마, 산소/아르곤 플라즈마, 산화질소 플라즈마, 이산화탄소 플라즈마, 과산화수소, 유기 과산화물, 및 이들의 조합물로 이루어진 군으로부터 선택되는 단계;
임의로, 가공된 필름을 UV 광에 후 증착 노출시키는 단계;
임의로, 가공된 필름을 노블 가스 및 수소-함유 플라즈마로부터 선택된 플라즈마에 후 증착 처리하는 단계를 포함한다.
본원에 기재된 방법의 또 다른 추가의 구체예에서, 탄소 도핑된 실리콘 옥사이드, 실리콘 옥시니트라이드, 탄소 도핑된 실리콘 옥시니트라이드, 또는 탄소 도핑된 실리콘 니트라이드 필름은 필름 특성을 개선시키기 위해 열적 ALD 공정 및 불활성 플라즈마를 이용하여 증착된다. 이러한 구체예에서, 방법은
표면 피쳐를 포함하는 하나 이상의 기판을 반응기에 위치시키는 단계;
반응기를 25℃ 내지 약 700℃ 범위의 하나 이상의 온도로 가열하고, 임의로 반응기를 100 torr 또는 그 미만의 압력으로 유지시키는 단계;
반응기에 사이클릭 할로카보실란, 사이클릭 할로아미노카보실란, 및 사이클릭 아미노카보실란으로 이루어진 군으로부터 선택된 적어도 하나의 사이클릭 카보실란을 도입하는 단계;
표면과 반응하도록 반응기에 질소 공급원을 제공하여 실리콘 카보니트라이드 필름을 형성시키는 단계; 및
불활성 플라즈마 및 수소 플라즈마로부터 선택된 플라즈마를 제공하는 단계로서, 불활성 플라즈마가 헬륨, 네온, 아르곤, 크립톤 및 이들의 조합물로부터 선택된 적어도 하나를 포함하는 단계;
임의로, 필름을 후 증착 어닐링하는 단계;
임의로, 탄소 도핑된 실리콘 니트라이드 필름을 대략 주위 온도 내지 1000℃ 또는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 산소 공급원으로 후 증착 처리하여 탄소 도핑된 실리콘 니트라이드 필름을 탄소 도핑된 실리콘 옥사이드 필름으로 변환시키는 단계; 및
임의로, 가공된 필름을 UV 광 공급원에 후 증착 노출시키는 단계; 및
임의로, 필름의 특성들 중 적어도 하나를 개선시키기 위해 가공된 필름을 노블 가스 또는 수소 함유 플라즈마로 후 증착 처리하는 단계를 포함한다.
본원에 기재된 또 다른 추가의 구체예에서, 탄소 도핑된 실리콘 옥사이드, 실리콘 옥시니트라이드, 탄소 도핑된 실리콘 옥시니트라이드, 또는 탄소 도핑된 실리콘 니트라이드 필름은 열적 ALD 공정을 이용하여 증착된다. 이러한 구체예에서, 방법은
표면 피쳐를 지니는 하나 이상의 기판을 위치시키는 단계;
반응기를 25℃ 내지 약 700℃ 범위의 하나 이상의 온도로 가열하고, 임의로 반응기를 100 torr 또는 그 미만의 압력으로 유지시키는 단계;
반응기에 사이클릭 할로카보실란, 사이클릭 할로아미노카보실란, 및 사이클릭 아미노카보실란으로 이루어진 군으로부터 선택된 적어도 하나의 사이클릭 카보실란을 도입하는 단계;
표면과 반응하도록 반응기에 질소 공급원을 제공하여 실리콘 카보니트라이드 필름을 형성시키는 단계; 및
산소 공급원을 제공하여 실리콘 카보니트라이드를 탄소 도핑된 실리콘옥시니트라이드로 변환시키는 단계로서, 산소 공급원이 수증기, 산소, 아산화질소, 에틸렌 글리콜, 오존, 산소 플라즈마, 아산화질소 플라즈마, 이산화탄소 (CO2), 일산화탄소 (CO), CO2 플라즈마, CO 플라즈마, O2 플라즈마 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 단계;
임의로, 증착 시 필름을 약 400℃ 내지 약 1000℃ 범위의 하나 이상의 온도에서 진공 (< 760 Torr) 또는 불활성 환경하에서 후 증착 어닐링하는 단계;
임의로, 탄소 도핑된 실리콘 니트라이드 필름을 약 25℃ 내지 1000℃ 또는 약 100℃ 내지 400℃ 범위의 하나 이상의 온도에서 산소 공급원으로 후 증착 처리하여 탄소 도핑된 실리콘 니트라이드 필름을 탄소 도핑된 실리콘 옥사이드 필름으로 변환시키는 단계; 및
임의로, 가공된 필름을 UV 광 공급원에 후 증착 노출시키는 단계; 및
임의로, 필름의 특성들 중 적어도 하나를 개선시키기 위해 가공된 필름을 노블 가스 또는 수소 함유 플라즈마로 후 증착 처리하는 단계를 포함한다.
실시예
전반적인 필름 증착 실시예
달리 명시되지 않는 한, 필름 증착을 실험실 규모의 원자 층 증착 (ALD) 반응기에서 사이클릭 카보실란 전구체 및 질소 공급원 암모니아를 사용하여 수행하였다. ALD 사이클 단계 및 공정 조건은 하기 표 IV에 제공되어 있다.
표 IV: ALD 사이클 단계 및 공정 조건
증착 동안, 증착 시 탄소 도핑된 실리콘 니트라이드 필름의 요망되는 두께를 얻기 위해서 공정 단계 3 내지 10을 2000회 이하의 다수 사이클로 반복하였다. 생성된 증착 시 필름을 동일 반응계(in situ)(증착 시 필름에 대하여 반응기 내부에서 어닐링 수행) 또는 반응계 외(ex situ) 어닐링(외부에서 또는 별개의 챔버에서 어닐링)에 주어지게 하여 필름을 탄소 도핑된 실리콘 옥사이드 필름으로 변환시켰다. 수행된 전형적인 어닐링 조건은 다음과 같았다: 200 mTorr에서 진공하에 수분 어닐링을 수행하거나; 2 Torr에서 산소 어닐링을 수행하거나; 주위 온도(예, 25℃) 또는 약 300℃에서 핫 플레이트 상에서 공기 어닐링을 수행하였다.
굴절 지수 및 두께를 632.8 nm에서 엘립소미터(ellipsometer)를 사용하여 증착 직후에 측정하였다. 부정성 탄소의 영향을 없애기 위해서 벌크 필름 조성을 표면 아래의 몇 나노미터(2-5 nm)에서 X-선 광전자 분광기(XPS)를 사용하여 특성화시켰다. 필름 밀도를 X-선 반사계측기(X-Ray Reflectometry: XRR)를 사용하여 특성화시켰다.
습식 에칭률 공정을 희석 HF(dHF, 1:99-49% HF 및 DI 수)하에 수행하였다. 공정 동안, 에칭 용액 일관성을 보장하기 위해서 열적 옥사이드 필름을 동시에 사용하여 에칭시켰다. 전형적인 열적 옥사이드 WER은 0.55 Å/s이다.
실시예 1: 1-클로로-1,3-디실라사이클로부탄의 합성
질소-충전된 글로브박스에서, 1,3-디실라사이클로부탄 (15.0 g, 0.170 mol)을 Et2O (50 mL) 중의 염화구리(I) (5.61 g, 0.0567 mol)의 교반된 혼합물에 신속하게 첨가하였다. 반응물을 30분 동안 교반하였는데, 그 동안 고형물은 어두운 회색/갈색으로 변했고, 기체 부산물(아마도 H2)이 발생했다. 반응 혼합물을 여과하여 고형물을 제거하고, 용매 및 미반응된 출발 물질을 1대기압(atm)에서 증류에 의해 제거하였다. 이 절차를 총 5회 수행하고, 합한 미정제 생성물을 진공 증류에 의해 정제하여 20.4 그램 (g)의 용액 중 1-클로로-1,3-디실라사이클로부탄을 수득하였다. 용액 중 1-클로로-1,3-디실라사이클로부탄을 가스 크로마토그래피(GC-TCD), 질량 분석기(GC-MS), 및 1H NMR 분광법에 의해 확인하였다. 가스 크로마토그래피 분석은 3μm 두께의 SPB-5 매질을 함유하는 TCD 구비 HP-5890 시리즈 II GC 및 0.53mm 직경 × 30m Supleco 컬럼을 사용하여 생성물 용출액 상에서 수행하였다. GC-MS는 다음 피크들을 나타냈다: 122 (M+), 107 (M-15), 93, 85, 81, 58, 및 43.
실시예 2: 1,3-디클로로-1,3-디실라사이클로부탄의 합성.
질소-충전된 글로브박스에서, 염화구리(II) (89.6 g, 0.666 mol) 및 요오드화구리(I) (1.51 g, 0.00793 mol)를 함께 혼합하고, 실온에서 2시간에 걸쳐 Et2O (300 mL) 중의 1,3-디실라사이클로부탄 (14.0 g, 0.159 mol)의 교반된 용액에 서서히 첨가하였다. 반응 혼합물이 니들-피어스드 셉텀(needle-pierced septum)을 통해 과압에서 환기되게 하였다. 6시간 후, 벤트 니들(vent needle)을 제거하고, 회색 반응 혼합물이 밤새 교반되게 하였다. 고형물을 여과에 의해 제거하고, 여과액을 감압(80 Torr 미만)하에 농축시켰다. 20.4 그램의 양의 연황색 미정제 생성물을 얻고, GC-MS에 의해 측정하였는데, 주성분으로서 1,3-디클로로-1,3-디실라사이클로부탄의 둘 모두의 이성질체(시스 및 트랜스)의 혼합물을 함유하였다. GC-MS는 다음 피크들을 나타냈다: 156 (M-1), 141, 119, 105, 93, 78, 63, 58, 및 43.
실시예 3: 1-브로모-1,3-디실라사이클로부탄 및 1,3-디브로모-1,3-디실라사이클로부탄의 합성.
질소 충전된 글로브박스에서, 1,3-디실라사이클로부탄 (1.0 g, 0.011 mol)을 신틸레이션 바이알(scintillation vial)에서 디에틸 에테르(5 g) 중의 브롬화구리(I)(1.6 g, 0.011 mol)의 교반된 혼합물에 첨가하고, 반응물을 밤새 교반하였다. 기체 부산물(아마도 H2)이 환기되게 하고, 용액을 GC-MS에 의해 측정하였는데, 1-브로모-1,3-디실라사이클로부탄 (m/z = 166 (M+), 151 (M-15), 137, 123, 109, 85, 69, 59, 43) 및 1,3-디브로모-1,3-디실라사이클로부탄 (m/z = 246 (M+) 231 (M-15), 216, 202, 189, 159, 137, 109, 69, 58, 43)의 혼합물을 함유하였다.
실시예 4: 1,1,3,3-테트라브로모-1,3-디실라사이클로부탄의 합성.
질소의 보호하에, 아세틸 브로마이드 (209 g, 1.70 mol)를 AlBr3 (1.9 g, 0.0071 mol)의 존재에서 1,1,3,3-테트라에톡시-1,3-디실라사이클로부탄(75 g, 0.28 mol)과 합하고, 실온에서 대략 1달 동안 교반하였다. 미반응된 아세틸 브로마이드 및 에틸 아세테이트 부산물을 감압하에 제거하였다. 남아있는 습윤 고형물을 펜탄 (30 mL)에서 교반한 후, 진공 여과에 의해 수거하였다. 미정제 고형물을 플라스크-대-플라스크 진공 승화(150 mTorr에서 90℃)를 통해 정제하여 59 g의 무색 결정질 고형물을 얻고, 이를 GC-MS에 의해 측정하였는데, 1,1,3,3-테트라브로모-1,3-디실라사이클로부탄이었다. GC-MS는 다음 피크들을 나타냈다: 404 (M+), 376, 323, 297, 267, 243, 229, 202, 188, 165, 149, 135, 109, 81, 55, 42. 융점(m.p.) = 117℃; 비점(b.p) = 252℃. 단일 결정체를 X-선 회절에 의해 분석하여 이의 구조를 확인하였다.
실시예 5: 1,3-비스(디에틸아미노)-1,3-디클로로-1,3-디실라사이클로부탄의 합성.
질소의 보호하에, 헥산 (80 mL) 중의 디에틸아민 (29.5 g, 0.403 mol)의 용액을 첨가용 깔때기를 통해 헥산 (400 mL) 중의 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄 (40.0 g, 0.177 mol) 및 트리에틸아민 (44.4 g, 0.439 mol)의 교반된 용액에 -20℃에서 적가하였다. 생성된 백색 슬러리가 실온으로 가온되게 하고, 이를 밤새 교반하였다. 백색 고형물을 여과를 통해 제거하고, 헥산 (30 mL)으로 2회 세정하였다. 합한 여과액을 잔여 부피가 변하지 않을 때까지 실온에서 감압하에 농축시켰다. 미정제 생성물을 100℃로 가열하고, 콜드 트랩(cold trap)으로 -78℃에서 진공-전달하여 28.6 g의 무색 액체를 수득하고, 이를 GC-MS에 의해 측정하였는데, 1,3-비스(디에틸아미노)-1,3-디클로로-1,3-디실라사이클로부탄의 이성질체(시스 및 트랜스)의 혼합물이었다. GC-MS는 다음 피크들을 나타냈다: 298 (M-1), 283, 269, 255, 239, 226, 212, 198, 184, 170, 157, 143, 134, 121, 106, 93, 72, 58, 42. B.p. = 283℃.
실시예 6: 1,3-비스(디메틸아미노)-1,3-디클로로-1,3-디실라사이클로부탄의 합성.
질소의 보호하에, THF 중의 디메틸아민 (2.11 M, 500 mL, 1.06 mol)의 용액을 헥산 (1.5 L) 중의 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄 (120.8 g, 0.535 mol) 및 Et3N (119 g, 1.18 mol)의 교반된 용액에 -20℃에서 적가하였다. 생성된 백색 슬러리가 실온으로 가온되게 하고, 이를 밤새 교반하였다. 백색 고형물을 여과를 통해 제거하고, 헥산 (100 mL)으로 2회 세정하였다. 용매를 잔여 부피가 변하지 않을 때까지 실온에서 감압하에 제거하였다. 미정제 생성물을 플라스크-대-플라스크 진공 증류(150 mTorr에서 80℃)에 의해 정제하고, 콜드 트랩(-15℃)에서 수거하여 77 g의 무색 결정질 고형물을 얻고, 이를 GC-MS에 의해 측정하였는데, 1,3-비스(디메틸아미노)-1,3-디클로로-1,3-디실라사이클로부탄의 이성질체 혼합물(시스 및 트랜스)이었다. GC-MS는 다음 피크들을 나타냈다: 243 (M+), 228 (M-15), 208, 199,185, 171, 157, 143, 121, 106, 93, 72, 63, 43. M.p = 6 - 41 ℃; b.p = 230 ℃. 트랜스 이성질체의 단일 결정체를 펜탄 중의 농축 용액으로부 -35℃에서 성장시키고, X-선 회절에 의해 분석하여 이의 구조를 확인하였다.
실시예 7: 1,3-디클로로-1,3-디메틸-1,3-디실라사이클로부탄의 합성.
질소의 보호하에, 아세틸 클로라이드 (115 g, 1.46 mol)를 실온에서 1,3-디에톡시-1,3-디메틸-1,3-디실라사이클로부탄 (120 g, 0.587 mol) 및 염화철(III) (0.47 g, 0.0029 mol)의 교반된 혼합물에 첨가용 깔때기를 통해 적가하였다. 반응물을 14일 동안 교반하였다. 휘발물을 철 촉매로부터 진공 전달한 후에, 진공 증류(12 Torr/70℃)에 의해 정제하여 98 g의 무색 액체를 수득하고, 이를 GC-MS에 의해 측정하였는데, 1,3-디클로로-1,3-디메틸-1,3-디실라사이클로부탄의 이성질체(시스 및 트랜스)의 혼합물이었다. GC-MS는 다음 피크들을 나타냈다: 184 (M-1), 169, 149, 133, 93, 79, 71, 63.
실시예 8: 사이클릭 카보실란 전구체 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄을 사용하여 열적 ALD 증착을 통한 규소-함유 필름의 증착
후증착 처리 없이 표 IV에서 본원에 기재된 공정 단계를 이용하여 300℃ 내지 500℃의 기판 온도에서 사이클릭 카보실란 전구체로서 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄 및 암모니아로부터 규소-함유 필름을 증착시켰다. 초기 굴절 지수 및 두께 측정이 얻어진 후, 샘플을 실온에서 저장하고, 단속적으로 측정하였다. 증착된 필름의 굴절 지수 및 두께를 표 V에 요약하였다. 표 VI는 300℃에서 증착된 필름의 굴절 지수가 시간에 걸쳐 24시간 후 1.65에서부터 1.53로 감소되었음을 보여주고 있는데, 이는 실온에서 주위에 의한 산화 과정을 나타내는 것이다. 추가의 굴절 지수의 하락은 24시간 후에 관찰되지 않았다. 다른 필름 특성들, 예컨대, XPS 및 희석 HF에서의 습식 에칭률을 필름 증착의 대략 1주 후에 얻었다. 이러한 시험의 결과는 표 VII에 제공되어 있다.
표 V. 열적 ALD 탄소 도핑된 실리콘 옥사이드 필름의 굴절 지수 및 두께
표 VI. 실온에서 주위에 저장된 후 300℃에서 증착된 필름의 굴절 지수 변화
표 VII. ALD 필름의 필름 조성 및 WER
표 VII를 참조하면, XPS 데이터는 사이클릭 카보실란 및 암모니아로부터 증착된 탄소 도핑된 실리콘 옥사이드 필름이 낮은 염소 함량(예를 들어, 0.5 at.% 미만)을 갖는다는 것을 보여주고 있다. 300℃에서 증착된 필름에서는 소량의 질소가 검출된 반면, 500℃에서 증착된 필름은 상당히 더 높은 질소 함량을 가졌다. 300℃의 더 낮은 증착 온도에서 공정은 산화되기 쉬운 더 많은 Si-NH2 또는 Si-NH-Si 단편을 제공할 수 있는 것으로 사료된다. 다른 한 편으로, 더 높은 500℃ 온도에서 증착은 산화에 더 저항성인 Si-Nx 네트워크를 형성시키기에 충분한 에너지를 제공할 수 있다.
실시예 9. 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄을 사용한 탄소 도핑된 규소-함유 필름의 ALD 증착 후 다양한 환경에서의 반응계 외 어닐링
표 IV에 기재된 공정 단계를 이용하여 300℃에서 암모니아 및 사이클릭 카보실란 전구체로서 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄으로부터 Si 함유 필름을 증착시켰다.
증착 후, 굴절 지수 및 두께를 측정하고, 각각의 증착으로부터의 샘플을 세 개(3)의 섹션으로 분리시켰다. 각각의 섹션을 앞서 상술된 바와 같이 300℃에서 주위(공기), 산소 (O2), 또는 수증기 (H2O)하에 열적으로 어닐링시켰다. 굴절 지수 및 두께를, 어닐링 1시간 후에 그리고 후속하여 추가 2시간 동안 추가의 어닐링 처리에 주어지게 한 후에 측정하였다. 결과는 하기 표 VIII에 제공되어 있다.
표 VIII. 상이한 어닐링 환경에서 300℃로의 어닐링에 의한 굴절 지수 변화
필름 증착 성장률은 0.2 Å/사이클이었다. 표 VIII는 상이한 조건하에서의 어닐링 시의 굴절 지수 변화를 나타낸 것이다. 어닐링 후 굴절 지수의 하락은 필름이 실리콘 옥시카바이드 (SiO:C) 필름으로 변환되었음을 나타낸다. 추가 어닐링 시 최소 굴절 변화로 나타난 바와 같이, 공정은 1시간 어닐링 후에 완료에 가까웠다.
증착 후 어닐링 이후에 1:99 HF 필름에서의 습식 에칭률은 검출되지 않은 반면(< 0.01 Å/s), 열적 옥사이드 필름은 0.55 Å/s의 에칭률을 나타냈다. 필름이, 용액 중 더 높은 농도의 HF(10:90 49% HF: DI 수)로 되어 있는 희석 HF에 주어지게 하였다. 필름 에칭은 더 높은 HF 산 농도에 주어진 후에 검출되지 않은 반면(< 0.01 Å/s), 비교 열적 옥사이드 필름은 5.5 Å/s의 에칭률을 나타냈다.
실시예 10. 열적 ALD 증착을 통한 탄소 도핑된 규소-함유 필름의 필름 조성
표 IV에 기재된 공정 단계를 이용하여 300℃에서 암모니아 및 사이클릭 카보실란 전구체로서 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄으로부터 규소-함유 필름을 증착시켰다. 증착 후, 굴절 지수 및 두께를 측정한 다음, 필름을 세 개(3)의 섹션으로 분리하였다. 각각의 섹션을 300℃에서 앞서 상술된 바와 같이 O2, 수증기 또는 공기하에 각각 연속 3시간 동안 반응계 외에서 열적 어닐링시켰다. 그 후에 반응기로부터 취해진 증착 시 필름의 필름 굴절 지수는 1.64였다. 굴절 지수는, 표 VIII에서의 결과와 일관되게, 반응계 외 후 증착 처리 이후에 1.55-1.57로 하락했다. 증착된 필름의 필름 조성 및 밀도는 표 IX에 요약되어 있다.
표 IX. 어닐링된 ALD 필름의 필름 조성 및 밀도
실시예 11. 암모니아 및 물 공정을 이용한 열적 ALD 증착을 통한 탄소 도핑된 규소-함유 필름
표 X에 기재된 공정 단계를 이용하여 사이클릭 할로아미노카본실란 전구체 1,3-디클로로-1,3-(디메틸)아미노-1,3-디실라사이클로부탄으로부터 Si 함유 필름을 증착시켰다. 각각의 ALD 사이클에서, SiCNHx 표면을 SiCOH로 변환시키고, 그에 따라서 후 증착 처리에 대한 필요성을 없앴다.
표 X. SiOC를 증착시키기 위한 ALD 사이클
증착 동안, 요망되는 필름 두께를 얻기 위해서 공정 단계 3 내지 13을 다회 반복하였다. 생성된 필름은 0.27 Å/사이클의 사이클 당 성장과 함께 1.58의 굴절 지수를 가졌다. 샘플의 IR 스펙트럼을 얻었고, 이는 도 1에 제공되어 있다. 도 1은 증착된 필름이 대부분 SiO:C이고, 24.3 %C, 5.0 %N, 41.0 %O, 33.6 %Si의 조성을 지닌다는 것을 보여준다. 생성된 필름은 희석 HF에서의 검출불가능한 습식 에칭률 또는 0.01 Å/s 미만의 WER을 가졌다.
실시예 12. 탄소 도핑된 Si 함유 필름의 열적 ALD 증착 및 후 증착 공기에서의 반응계 외 어닐링
표 IV에 기재된 공정 단계를 이용하여 300℃에서 암모니아 및 사이클릭 할로아미노카보실란 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄 전구체로부터 규소-함유 필름을 증착시켰다. 증착된 필름이 후 증착 반응계 외 반복 어닐링 및 상술된 특성화 공정에 주어지게 하였다. 어닐링을 300℃로 핫 플레이트 상에서 주위 분위기에서 수행하였다. 상이한 어닐링 시간으로의 굴절 지수 및 두께의 변화는 표 XI에 보고되어 있다.
표 XI. 공기하의 어닐링으로의 굴절 지수 및 두께 변화
표 XI를 참조하면, 필름 굴절 지수는 주위하의 어닐링 처리에 주어진 후에 1.64에서 1.55로 떨어졌는데, 이는 실리콘 니트라이드 필름의 실리콘 옥사이드 필름으로의 변환을 나타내는 것이다.
실시예 13. 열적 ALD 증착을 통한 탄소 도핑된 Si-함유 필름 및 산소 공급원으로의 동일 반응계 후 처리
표 XII에 기재된 공정 단계를 이용하여 300℃에서 암모니아 및 사이클릭 할로카보실란 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄 전구체로부터 규소-함유 필름을 증착시켰다.
표 XII. ALD 사이클 및 공정 파라미터
요망되는 필름 두께를 얻기 위해서 공정 단계 3 내지 11을 다회 반복하였다. ALD 사이클이 완료된 후, 수증기를 반응기에 도입하면서 필름을 동일 반응계로 챔버에 도입하여 실리콘 옥시카바이드 (SiOC) 필름을 증착시켰다. 생성된 필름은 XPS에 의해 측정하는 경우, 39.0 %O, 2.6 %N, 25.1 %C, 33.2 %Si의 조성, 및 XRR에 의해 측정하는 경우, 1.55 g/cc의 밀도를 가졌다.
실시예 14. 탄소 도핑된 규소 함유 필름의 후 증착 UV 처리
실시예 10에 기재된 바와 같은 사이클릭 할로카보실란 전구체 1,1,3,3-테트라클로로디실라사이클로부탄으로부터 다중 규소-함유 필름을 증착시켰다. 필름을 질소 공급원으로서 암모니아를 사용하여 증착시키고, 이어서 3시간 동안 핫 플레이트 상에서 주위 분위기하에 반응계 외 열적 어닐링시켰다. 증착 온도와 어닐링 온도 둘 모두는 300℃였다. 필름으로부터 포집된 물 및 말단 하이드록실을 제거하기 위해서, 필름을 UV 어닐링에 주어지게 하였다. UV 어닐링을 Applied Materials Precision-5000 시스템 상에 설치된 광대역 UV 벌브가 구비된 Fusion UV 시스템을 사용하여 수행하였다. 샘플을 10 Torr 미만의 하나 이상의 압력에서 그리고 400℃ 미만의 하나 이상의 온도에서 헬륨 가스 유동하에 유지시켰다. 두께 및 굴절 지수를 UV 어닐링 전 및 후에 Woollam 엘립소미터 시스템 상에서 측정하였다. 유전 상수를 중간-저항 p-형 웨이퍼 상에서 Hg 프로브 기술을 이용하여 결정하였다.
표 XIII. 필름 유전 상수 및 수축률에 대한 UV 처리의 영향
표 XIV. UV 처리 후 필름 조성 및 밀도
표 XIII는 UV 노출에 의한 수축률 및 유전 상수의 비교를 나타낸 반면, 표 XIV는 상응하는 밀도 및 조성을 나타낸 것이다. 3분의 UV에 노출된 샘플은 취급 동안 손상되었고, 그에 따라서 밀도 측정은 표 XIV에서 (ND)로 나타난 바와 같이 이루어지지 않았다. 1분 이상의 UV 노출은 유의하게 더 낮은 유전 상수를 나타내고, 일부 필름 수축은 더 높은 필름 밀도를 초래했지만, 필름의 탄소 함량은 영향을 받은 것으로 보여지지 않았다.
실시예 15. 탄소 도핑된 규소-함유 필름의 후 증착 진공 어닐링
실시예 10에 기재된 바와 같이 사이클릭 할로카보실란 1,1,3,3-테트라클로로디실라사이클로부탄 전구체로부터 규소-함유 필름을 증착시켰다. 필름을 암모니아 공정을 이용하여 증착시킨 후, 3시간 동안 핫 플레이트 상에서 주위 분위기하에 반응계 외 어닐링 단계를 수행하였다. 증착 온도와 어닐링 온도 둘 모두는 300℃였다. 필름에서 말단 하이드록실 기를 제거하기 위해서, 필름을 이후 600℃에서 1 시간 동안 진공 어닐링(< 0.001 Torr)시켰다. 필름 두께는 9-10% 수축하였고, 굴절 지수는 1.55에서 1.50로 하락했다. 도 2에 나타나 있는 필름의 IR 스펙트럼은 진공 어닐 후에 감소된 870 cm-1 에서의 Si-OH 밴드를 보여주는 것이다.
실시예 16. 탄소 도핑된 규소-함유 필름의 PEALD
상업적 PEALD 반응기를 사용하여 300℃ 기판 온도에서 사이클릭 할로카보실란 1,1,3,3-테트라클로로디실라사이클로부탄 전구체, 암모니아, 및 불활성 플라즈마를 사용함으로써 규소-함유 필름을 증착시켰다. 불활성 플라즈마를 사용하여 필름 밀도를 향상시켰다. 표 XV에 제공된 증착 단계는 하기와 같다:
표 XV. 증착 단계 및 공정 파라미터
요망되는 필름 두께를 얻기 위해서 공정 단계 3 내지 6을 다회 반복한 후에, 단계 7을 수행하였다. 생성된 필름은 1.82 g/cc의 밀도, XPS에 의해 측정하는 경우, 29.5 %O, 14 %N, 20.8 %C, 34.6 %Si, 1.1 %Cl의 조성 및 희석 HF에서 측정되는 0.19 Å/s의 습식 에칭률을 가졌다. 필름 중의 고수준의 산소 함량은 주위 공기에 대한 노출일 가능성이 있는 것으로 사료된다.
실시예 17. 탄소 도핑된 규소-함유 필름의 단차 피복(step coverage)
표면 피쳐를 지니는 Si 패턴화된 웨이퍼 상에서 실시예 10에 기재된 바와 같은 사이클릭 할로카보실란 전구체 1,1,3,3-테트라클로로디실라사이클로부탄으로부터 규소-함유 필름을 증착시켰다. 표면 피쳐의 구조 종횡비는 1 마이크론 개구 및 10 마이크론 깊이로 1:10였다. 단면은 도 3a 내지 3c에 도시된 바와 같이 주사 전자 현미경하에 검사된 증착된 필름으로 이루어진 것이었다. 표면 피쳐의 종횡비는 3a에 도시된 구조의 (가장 높은) 상부, 3b에 도시된 구조의 (중간) 측벽; 및 3c에 도시된 구조의 (가장 낮은) 하부에서 1:10(1마이크론 개구)이었다. 단면 SEM 이미지는 필름의 ~100% 표면 피복을 보여주는 것이다.
실시예 18. 탄소 도핑된 규소-함유 필름의 ALD 증착 동안의 플라즈마 고밀화 처리
300℃ 기판 온도에서 모든 ALD 사이클로 사이클릭 할로카보실란 전구체 1,1,3,3-테트라클로로디실라사이클로부탄, 암모니아 및 불활성 플라즈마 고밀화 단계를 이용하여 규소-함유 필름을 증착시켰는데, 이는 단속적 필름 처리가 밀도와 같은 생성된 필름의 특정 특성을 개선시킬 수 있다는 것을 입증한다. 증착 단계는 하기 표 XVI에 제공되어 있다:
표 XVI. 증착 단계 및 공정 파라미터
규소-함유 필름의 요망되는 두께를 얻기 위해서 표 XVI의 공정 단계 3 내지 8을 100회 반복하였다. 생성된 필름은, XPS에 의해 측정하는 경우, 7.1 %O, 48.0 %N, 5.3 %C, 39.3 %Si 및 0.3 %Cl를 함유하고, 2.6 g/cc의 비교적 높은 밀도를 가졌다. 필름에서 고수준의 산소 함량은 주위 공기에 대한 노출 때문일 가능성이 있는 것으로 사료된다.
실시예 19. 열적 ALD 공정에서 에틸렌디아민을 사용하여 증착된 탄소 도핑된 규소-함유 필름
300℃의 온도에서 에틸렌디아민 및 사이클릭 카보실란 전구체로서 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄으로부터 규소-함유 필름을 증착시켰다. 질소 공급원을 증기 유도를 이용하여 30℃에서 전달하면서 전구체를 70℃ 공급 온도로 증기 유도를 이용하여 전달하였다.
표 XVII.
요망되는 필름 두께에 이르기 위해서 공정 단계 3 내지 14를 다회 반복하였다. 생성된 필름은 1.56의 굴절 지수를 가졌다. 필름 밀도는 1.55 g/cc였다. 필름 조성은, XRR 및 XPS에 의해 측정하는 경우, 33.7 %C, 6.4% N, 24.4 %N, 34.3 %O, 1.2 %Cl였다. 필름에서의 고수준의 산소 함량은 주위 공기로의 노출 때문일 가능성이 있는 것으로 사료된다. 에틸렌디아민 공정에 대한 대한 탄소 함량(33.7%C)은 암모니아 공정 (24.7%C)보다 높았다.
비교예 1. SiCl4 및 암모니아를 사용하여 증착된 규소-함유 필름
표 IV에 기재된 공정 단계를 이용하여 300℃에서 사이클릭 카보실란 전구체 및 질소 공급원으로서 암모니아 대신에 실리콘 테트라클로라이드(SiCl4)로부터 규소-함유 필름을 증착시켰다. 생성된 필름은 1.70의 굴절 지수와 함께 250 nm의 두께를 가졌다.
희석 HF에서 15초 후에 필름은 완전히 에칭시켰는데, 이는 매우 높은 에칭률 또는 16.7 Å/s 초과의 에칭률로 나타났다.
비교예 2. 비스(트리클로로실릴)메탄 및 암모니아를 사용하여 증착된 탄소 도핑된 규소-함유 필름
표 IV에 기재된 공정 단계를 이용하여 300℃ 및 500℃에서 전구체 비스(트리클로로실릴)메탄, 선형 카보실란, 및 질소 공급원으로서 암모니아로부터 규소-함유 필름을 증착시켰다. 필름을 증착한 지 대략 1주 후에 희석 HF에 주어지게 하여 이의 에칭률을 얻었다. 표 XVIII 및 XIX는 필름의 조성 데이터, 굴절 지수 및 습식 에칭률(WER)을 제공한 것이다. 실시예 9에서의 사이클릭 카보실란에 비해, 선형 카보실란을 사용하여 증착된 필름은 훨씬 더 높은 WER 및 훨씬 더 낮은 탄소 함량을 나타냈다.
표 XVIII
표 XIX. 비스(트리클로로실릴)메탄 및 암모니아로부터 증착된 필름의 굴절 지수 및 습식 에칭률
비교예 3. 비스(트리클로로실릴)메탄 및 암모니아를 사용하여 증착된 다음 반응계 외 증착 후 처리된 탄소 도핑된 규소-함유 필름
표 IV에 기재된 공정 단계를 이용하여 300℃에서 사이클릭 카보실란 및 암모니아 대신에 비스(트리클로로실릴)메탄으로부터 규소-함유 필름을 증착시켰다. 증착된 필름을 이후 주위에서 3시간 동안 300℃로 반응계 외에서 어닐링시켰다. 생성된 필름은 57.9%O, 2.4%N, 11.9%C 및 27.7%Si의 조성을 가졌다. 희석 HF에서의 필름의 WER은 0.17 Å/s였다. 동일한 실험 조건하에서 실시예 9 및 10에서와 동일한 사이클릭 카보실란 전구체로 증착된 필름에 비해서 탄소 함량은 훨씬 더 낮고, WER은 훨씬 더 높았다.
비교예 4. 비스(트리클로로실릴)메탄 (BTCSM)을 사용한 탄소 도핑된 규소-함유 필름의 ALD 증착 동안의 플라즈마 고밀화 처리
비스(트리클로로실릴)메탄, 질소 공급원으로서 암모니아를 사용하여 Si 함유 필름을 증착시킨 다음, ALD 증착의 말미에 동일 반응계에서 불활성 플라즈마 고밀화 단계를 수행하였다. 공정 온도는 300℃였다. 증착 단계는 하기와 같이 표 XXI에 기재되어 있다:
표 XXI. 증착 단계 및 공정 파라미터
규소-함유 필름의 요망되는 두께를 제공하기 위해서 공정 단계 3 내지 6을 다회 반복한 후에 단계 7을 수행하였다. 증착된 필름은 9 Å/s보다 높은 희석 HF WER을 가졌는데, 이는 동일한 증착 조건하에 실시예 16의 사이클릭 카보실란으로부터의 규소-함유 필름보다 훨씬 더 높은 것이다.

Claims (15)

  1. 삭제
  2. 탄소 도핑된 실리콘 옥사이드 필름 및 탄소 도핑된 실리콘 옥시니트라이드 필름으로부터 선택된 탄소 도핑된 규소 함유 필름을 증착시키기 위한 방법으로서, 방법이
    기판을 반응기에 위치시키고;
    반응기를 25℃ 내지 700℃ 범위의 하나 이상의 온도로 가열하고;
    하나 이상의 사이클릭 카보실란 전구체를 도입하고;
    하나 이상의 사이클릭 카보실란 전구체와 반응하기에 충분한 조건하에 질소 공급원을 도입하여 탄소 도핑된 실리콘 니트라이드 필름을 형성시키고;
    100℃ 내지 1000℃ 범위의 하나 이상의 온도에서 실리콘 카보니트라이드 필름을 산소 공급원에 노출시켜 실리콘 카보니트라이드 필름을 상기 필름으로 변환시키고;
    400℃ 내지 1000℃ 범위의 하나 이상의 온도에서 200 mTorr 미만의 압력의 진공 환경에서 상기 변환된 필름을 어닐링시킴을 포함하고,
    사이클릭 카보실란이 1-클로로-1,3-디실라사이클로부탄, 1-브로모-1,3-디실라사이클로부탄, 1-아이오도-1,3-디실라사이클로부탄, 1,3-디클로로-1,3-디실라사이클로부탄, 1,3-디브로모-1,3-디실라사이클로부탄, 1,3-디아이오도-1,3-디실라사이클로부탄, 1,1-디클로로-1,3-디실라사이클로부탄, 1,1-디브로모-1,3-디실라사이클로부탄, 1,1-디아이오도-1,3-디실라사이클로부탄, 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄, 1,1,3,3-테트라브로모-1,3-디실라사이클로부탄, 1,1,3,3-테트라아이오도-1,3-디실라사이클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라클로로-1,3,5-트리실라사이클로헥산, 및 1,3,5-트리클로로-1,3,5-트리실라사이클로헥산으로 이루어진 군으로부터 선택된 사이클릭 할로카보실란이고,
    탄소 도핑된 규소 함유 필름이 0.5 at.% 미만의 할로겐을 포함하는, 방법.
  3. 제 2항에 있어서, 플라즈마, 자외선 노출, 레이저 노출, 전자 빔 노출, 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상으로 규소 함유 필름을 처리함을 추가로 포함하는 방법.
  4. 제 2항에 있어서, 사이클릭 할로카보실란이 1-클로로-1,3-디실라사이클로부탄, 1,3-디클로로-1,3-디실라사이클로부탄, 1,1-디클로로-1,3-디실라사이클로부탄, 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라클로로-1,3,5-트리실라사이클로헥산, 및 1,3,5-트리클로로-1,3,5-트리실라사이클로헥산으로 이루어진 군으로부터 선택되고, 탄소 도핑된 규소 함유 필름이 0.5 at.% 미만의 염소를 포함하는, 방법.
  5. 탄소 도핑된 실리콘 옥사이드 필름 및 탄소 도핑된 실리콘 옥시니트라이드 필름으로부터 선택된 탄소 도핑된 규소 함유 필름을 증착시키기 위한 방법으로서, 방법이
    기판을 반응기에 위치시키고;
    반응기를 25℃ 내지 700℃ 범위의 하나 이상의 온도로 가열하고;
    하나 이상의 사이클릭 카보실란 전구체를 도입하고;
    하나 이상의 사이클릭 카보실란 전구체와 반응하기에 충분한 조건하에 질소 공급원을 도입하여 탄소 도핑된 실리콘 니트라이드 필름을 형성시키고;
    100℃ 내지 1000℃ 범위의 하나 이상의 온도에서 실리콘 카보니트라이드 필름을 산소 공급원에 노출시켜 실리콘 카보니트라이드 필름을 상기 필름으로 변환시키고;
    400℃ 내지 1000℃ 범위의 하나 이상의 온도에서 200 mTorr 미만의 압력의 진공 환경에서 상기 변환된 필름을 어닐링시킴을 포함하고,
    사이클릭 카보실란이 1,3-비스(디메틸아미노)-1,3-디클로로-1,3-디실라사이클로부탄, 1,3-비스(디에틸아미노)-1,3-디클로로-1,3-디실라사이클로부탄, 및 1-(디메틸아미노)-1,3,3-트리클로로-1,3-디실라사이클로부탄으로 이루어진 군으로부터 선택된 사이클릭 할로아미노카보실란인 방법.
  6. 탄소 도핑된 실리콘 옥사이드 필름 및 탄소 도핑된 실리콘 옥시니트라이드 필름으로부터 선택된 탄소 도핑된 규소 함유 필름을 증착시키기 위한 방법으로서, 방법이
    기판을 반응기에 위치시키고;
    반응기를 25℃ 내지 700℃ 범위의 하나 이상의 온도로 가열하고;
    하나 이상의 사이클릭 카보실란 전구체를 도입하고;
    하나 이상의 사이클릭 카보실란 전구체와 반응하기에 충분한 조건하에 질소 공급원을 도입하여 탄소 도핑된 실리콘 니트라이드 필름을 형성시키고;
    100℃ 내지 1000℃ 범위의 하나 이상의 온도에서 실리콘 카보니트라이드 필름을 산소 공급원에 노출시켜 실리콘 카보니트라이드 필름을 상기 필름으로 변환시키고;
    400℃ 내지 1000℃ 범위의 하나 이상의 온도에서 200 mTorr 미만의 압력의 진공 환경에서 상기 변환된 필름을 어닐링시킴을 포함하고,
    사이클릭 카보실란이 1,1,3,3-테트라키스(메틸아미노)-1,3-디실라사이클로부탄, 1,1,3,3-테트라키스(디메틸아미노)-1,3-디실라사이클로부탄, 1,3-비스(디메틸아미노)-1,3-디메틸-1,3-디실라사이클로부탄, 1,3-비스(메틸아미노)-1,3-디메틸-1,3-디실라사이클로부탄, 및 1,3-비스(이소-프로필아미노)-1,3-디메틸-1,3-디실라사이클로부탄으로 이루어진 군으로부터 선택된 사이클릭 아미노카보실란인 방법.
  7. 제 2항에 있어서, 필름이, XPS에 의해 측정하는 경우, 10 원자 중량% 또는 그 초과의 탄소 함량을 포함하는 방법.
  8. 제 2항에 있어서, 필름이, 희석 불산(dilute hydrofluoric acid)에 의해 측정하는 경우, 0.15Å/s 또는 그 미만의 습식 에칭(wet etch)을 포함하는 방법.
  9. 실리콘 카바이드 필름 및 탄소 도핑된 실리콘 니트라이드 필름으로부터 선택된 규소 함유 필름을 증착시키기 위한 방법으로서, 방법이
    반도체 기판을 반응기에 위치시키고;
    반응기를 25℃ 내지 700℃ 범위의 하나 이상의 온도로 가열하고, 임의로 반응기를 100 torr 또는 그 미만의 압력으로 유지시키고;
    하나 이상의 사이클릭 카보실란을 도입하고;
    반응기에 카보실란 전구체와 반응하기에 충분한 조건하에 질소-함유 플라즈마 공급원을 도입하여 필름을 형성시키고;
    400℃ 내지 1000℃ 범위의 하나 이상의 온도에서 200 mTorr 미만의 압력의 진공 환경에서 상기 형성된 필름을 어닐링시킴을 포함하고,
    사이클릭 카보실란이 1-클로로-1,3-디실라사이클로부탄, 1-브로모-1,3-디실라사이클로부탄, 1-아이오도-1,3-디실라사이클로부탄, 1,3-디클로로-1,3-디실라사이클로부탄, 1,3-디브로모-1,3-디실라사이클로부탄, 1,3-디아이오도-1,3-디실라사이클로부탄, 1,1-디클로로-1,3-디실라사이클로부탄, 1,1-디브로모-1,3-디실라사이클로부탄, 1,1-디아이오도-1,3-디실라사이클로부탄, 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄, 1,1,3,3-테트라브로모-1,3-디실라사이클로부탄, 1,1,3,3-테트라아이오도-1,3-디실라사이클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라클로로-1,3,5-트리실라사이클로헥산, 및 1,3,5-트리클로로-1,3,5-트리실라사이클로헥산으로 이루어진 군으로부터 선택된 사이클릭 할로카보실란이고,
    규소 함유 필름이 0.5 at.% 미만의 할로겐을 포함하는, 방법.
  10. 제 9항에 있어서, 질소-함유 플라즈마 공급원이 질소 플라즈마, 질소 및 헬륨을 포함하는 플라즈마, 질소 및 아르곤을 포함하는 플라즈마, 암모니아 플라즈마, 암모니아 및 헬륨을 포함하는 플라즈마, 암모니아 및 아르곤을 포함하는 플라즈마, 헬륨 플라즈마, 아르곤 플라즈마, 네온 플라즈마, 수소 플라즈마, 수소 및 헬륨을 포함하는 플라즈마, 수소 및 아르곤을 포함하는 플라즈마, 유기 아민 플라즈마, 유기 디아민 플라즈마, 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  11. 제 9항에 있어서, 플라즈마 처리, 자외선 노출, 레이저 노출, 전자 빔 노출, 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상으로 규소 함유 필름을 처리함을 추가로 포함하는 방법.
  12. 제 9항에 있어서, 필름을 반응기에서 산소 공급원에 노출시켜 규소 함유 필름을 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드 필름으로 변환시킴을 추가로 포함하는 방법.
  13. 제 12항에 있어서, 산소 공급원이 수증기, 물 플라즈마, 과산화수소수(oxygenated water), 과산화수소수 스팀, 산소, 산소 플라즈마, 산소 및 헬륨을 포함하는 플라즈마, 산소 및 아르곤 플라즈마를 포함하는 플라즈마, 산화질소를 포함하는 플라즈마, 이산화탄소를 포함하는 플라즈마, 과산화수소, 유기 과산화물, 및 이들의 조합물로 이루어진 군으로부터 선택되는 방법.
  14. 제 12항에 있어서, 사이클릭 할로카보실란이 1-클로로-1,3-디실라사이클로부탄, 1,3-디클로로-1,3-디실라사이클로부탄, 1,1-디클로로-1,3-디실라사이클로부탄, 1,1,3,3-테트라클로로-1,3-디실라사이클로부탄, 1,3-디클로로-1,3-디메틸-1,3-디실라사이클로부탄, 1,1,3,3-테트라클로로-1,3,5-트리실라사이클로헥산, 및 1,3,5-트리클로로-1,3,5-트리실라사이클로헥산으로 이루어진 군으로부터 선택되고, 규소 함유 필름이 0.5 at.% 미만의 염소를 포함하는, 방법.
  15. 실리콘 카바이드 필름 및 탄소 도핑된 실리콘 니트라이드 필름으로부터 선택된 규소 함유 필름을 증착시키기 위한 방법으로서, 방법이
    반도체 기판을 반응기에 위치시키고;
    반응기를 25℃ 내지 700℃ 범위의 하나 이상의 온도로 가열하고, 임의로 반응기를 100 torr 또는 그 미만의 압력으로 유지시키고;
    하나 이상의 사이클릭 카보실란을 도입하고;
    반응기에 카보실란 전구체와 반응하기에 충분한 조건하에 질소-함유 플라즈마 공급원을 도입하여 필름을 형성시키고;
    400℃ 내지 1000℃ 범위의 하나 이상의 온도에서 200 mTorr 미만의 압력의 진공 환경에서 상기 형성된 필름을 어닐링시킴을 포함하고,
    필름을 반응기에서 산소 공급원에 노출시켜 규소 함유 필름을 실리콘 옥사이드 또는 탄소 도핑된 실리콘 옥사이드 필름으로 변환시킴을 추가로 포함하고,
    사이클릭 카보실란이 1,3-비스(디메틸아미노)-1,3-디클로로-1,3-디실라사이클로부탄, 1,3-비스(디에틸아미노)-1,3-디클로로-1,3-디실라사이클로부탄, 및 1-(디메틸아미노)-1,3,3-트리클로로-1,3-디실라사이클로부탄으로 이루어진 군으로부터 선택된 사이클릭 할로아미노카보실란인 방법.
KR1020227005956A 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법 KR102650626B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247009235A KR20240042186A (ko) 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562113024P 2015-02-06 2015-02-06
US62/113,024 2015-02-06
US201562142546P 2015-04-03 2015-04-03
US62/142,546 2015-04-03
KR1020197037069A KR20190141034A (ko) 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
PCT/US2016/016514 WO2016126911A2 (en) 2015-02-06 2016-02-04 Compositions and methods using same for carbon doped silicon containing films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197037069A Division KR20190141034A (ko) 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247009235A Division KR20240042186A (ko) 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법

Publications (2)

Publication Number Publication Date
KR20220027287A KR20220027287A (ko) 2022-03-07
KR102650626B1 true KR102650626B1 (ko) 2024-03-21

Family

ID=55436159

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020197037069A KR20190141034A (ko) 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
KR1020247009235A KR20240042186A (ko) 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
KR1020177025009A KR102058595B1 (ko) 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
KR1020227005956A KR102650626B1 (ko) 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020197037069A KR20190141034A (ko) 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
KR1020247009235A KR20240042186A (ko) 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
KR1020177025009A KR102058595B1 (ko) 2015-02-06 2016-02-04 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법

Country Status (9)

Country Link
US (1) US10145008B2 (ko)
EP (2) EP3460827B1 (ko)
JP (4) JP6585724B2 (ko)
KR (4) KR20190141034A (ko)
CN (1) CN107406978B (ko)
IL (1) IL253746B (ko)
SG (2) SG10202012631SA (ko)
TW (1) TWI585230B (ko)
WO (1) WO2016126911A2 (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6585724B2 (ja) * 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
TWI706957B (zh) * 2015-03-30 2020-10-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
WO2019058477A1 (ja) * 2017-09-21 2019-03-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US10510852B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
JP6806719B2 (ja) * 2018-01-17 2021-01-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) * 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US20200071819A1 (en) * 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
CN112805405B (zh) * 2018-09-24 2024-04-23 弗萨姆材料美国有限责任公司 用于制备含硅和氮的膜的方法
WO2020072625A1 (en) * 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
CN112969817B (zh) * 2018-10-05 2024-04-19 弗萨姆材料美国有限责任公司 含硅膜的高温原子层沉积
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US20200165727A1 (en) * 2018-11-27 2020-05-28 Versum Materials Us, Llc 1-Methyl-1-Iso-Propoxy-Silacycloalkanes And Dense Organosilica Films Made Therefrom
JP6957442B2 (ja) 2018-11-30 2021-11-02 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11756786B2 (en) 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
KR20210114546A (ko) * 2019-02-05 2021-09-23 버슘머트리얼즈 유에스, 엘엘씨 탄소 도핑된 산화규소의 증착
TWI776666B (zh) * 2019-07-25 2022-09-01 美商慧盛材料美國責任有限公司 含有矽雜環烷的組合物及使用其沉積含矽膜的方法
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210098360A (ko) * 2020-01-31 2021-08-10 주식회사 유피케미칼 실리콘 전구체 화합물, 이를 포함하는 실리콘-함유 막 형성용 조성물 및 실리콘-함유 막 형성 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
JP2023531513A (ja) * 2020-06-23 2023-07-24 インテグリス・インコーポレーテッド ケイ素前駆体化合物及びケイ素含有膜を形成するための方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100267605B1 (ko) * 1992-09-24 2000-10-16 안자이 이치로 파이프 조인트
US5415126A (en) * 1993-08-16 1995-05-16 Dow Corning Corporation Method of forming crystalline silicon carbide coatings at low temperatures
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
JP5218765B2 (ja) * 2006-03-29 2013-06-26 Jsr株式会社 ポリマーの製造方法、ポリマー、ポリマー膜形成用組成物、ポリマー膜の形成方法およびポリマー膜
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
KR100923775B1 (ko) * 2007-11-30 2009-10-27 한국과학기술연구원 폴리염화카보실란의 제조방법
JPWO2009123032A1 (ja) * 2008-04-02 2011-07-28 Jsr株式会社 含ケイ素重合体を含む組成物およびその硬化物
US8241624B2 (en) 2008-04-18 2012-08-14 Ecolab Usa Inc. Method of disinfecting packages with composition containing peracid and catalase
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
KR20110084517A (ko) 2008-10-20 2011-07-25 다우 코닝 코포레이션 Cvd 전구체
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5374638B2 (ja) * 2010-04-09 2013-12-25 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
KR20130135261A (ko) * 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 실리콘 카바이드 및 실리콘 카보나이트라이드 막들을 증착하기 위한 장치 및 방법들
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
KR20150121217A (ko) 2013-03-01 2015-10-28 어플라이드 머티어리얼스, 인코포레이티드 SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
US20140302690A1 (en) 2013-04-04 2014-10-09 Applied Materials, Inc. Chemical linkers to impart improved mechanical strength to flowable films
US9343293B2 (en) 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
JP6585724B2 (ja) * 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法

Also Published As

Publication number Publication date
WO2016126911A3 (en) 2016-11-24
JP2018506185A (ja) 2018-03-01
TW201629255A (zh) 2016-08-16
WO2016126911A2 (en) 2016-08-11
JP7048548B2 (ja) 2022-04-05
EP3254303A2 (en) 2017-12-13
KR20190141034A (ko) 2019-12-20
KR102058595B1 (ko) 2019-12-23
EP3254303B1 (en) 2018-12-05
SG10202012631SA (en) 2021-01-28
KR20240042186A (ko) 2024-04-01
IL253746A0 (en) 2017-09-28
JP6585724B2 (ja) 2019-10-02
CN107406978B (zh) 2019-12-03
KR20220027287A (ko) 2022-03-07
EP3460827A1 (en) 2019-03-27
TWI585230B (zh) 2017-06-01
JP2023182658A (ja) 2023-12-26
US20180023192A1 (en) 2018-01-25
CN107406978A (zh) 2017-11-28
US10145008B2 (en) 2018-12-04
KR20170115590A (ko) 2017-10-17
EP3460827B1 (en) 2022-05-25
JP2019220713A (ja) 2019-12-26
SG11201706257YA (en) 2017-08-30
JP2022031313A (ja) 2022-02-18
IL253746B (en) 2021-10-31

Similar Documents

Publication Publication Date Title
KR102650626B1 (ko) 탄소 도핑된 규소 함유 필름을 위한 조성물 및 이의 사용 방법
KR102434249B1 (ko) 규소-함유 막을 증착시키기 위한 조성물 및 방법
JP7153100B2 (ja) 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
KR102242461B1 (ko) 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
KR102256536B1 (ko) 질화규소 막을 증착시키는 방법
EP3620549B1 (en) Methods for making silicon and nitrogen containing films
KR20210114546A (ko) 탄소 도핑된 산화규소의 증착
JP6999620B2 (ja) 高い炭素含有量を有する炭素ドープ酸化ケイ素膜および炭化ケイ素膜の製造方法
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
KR102411034B1 (ko) 알킬아미노 치환 카보실란 전구체
US20220213597A1 (en) Compositions and methods using same for thermal deposition silicon-containing films
KR20210118018A (ko) 실리콘 박막 형성용 전구체 및 이를 이용한 실리콘 함유 박막 형성 방법 및 상기 실리콘 함유 박막을 포함하는 반도체 소자.

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant