TWI710661B - 含矽及氮膜的製造方法 - Google Patents

含矽及氮膜的製造方法 Download PDF

Info

Publication number
TWI710661B
TWI710661B TW108130791A TW108130791A TWI710661B TW I710661 B TWI710661 B TW I710661B TW 108130791 A TW108130791 A TW 108130791A TW 108130791 A TW108130791 A TW 108130791A TW I710661 B TWI710661 B TW I710661B
Authority
TW
Taiwan
Prior art keywords
disilidine
reactor
film
silicon
silicon nitride
Prior art date
Application number
TW108130791A
Other languages
English (en)
Other versions
TW202014549A (zh
Inventor
哈里賓 錢德拉
新建 雷
金武性
Original Assignee
美商慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司 filed Critical 美商慧盛材料美國責任有限公司
Publication of TW202014549A publication Critical patent/TW202014549A/zh
Application granted granted Critical
Publication of TWI710661B publication Critical patent/TWI710661B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)

Abstract

本發明揭示出一種組合物及將該組合物使用在電子裝置之製造的方法。一種用以沉積出高品質氮化矽或摻雜碳的氮化矽之化合物、組合物及方法。

Description

含矽及氮膜的製造方法
相關申請案之相互參照 本申請案主張2018年8月29日提出的美國臨時專利申請62/724,205之優先權,其整體內容於此以參考之方式併入本文用於全部可允許的目的。
發明領域 本發明係針對一種用於電子裝置之製造的組合物及方法。更特別是,本發明係針對一種用以沉積介電常數(>7.0)及高抗氧灰化性含矽膜的化合物、組合物及方法,其中該含矽膜有諸如但不限於化學計量的氮化矽、摻雜碳的氮化矽膜及摻雜碳的氧氮化矽膜。
發明背景 在半導體中,氮化矽膜係使用於多種應用。例如,氮化矽膜係使用於積體電路作為最後鈍化及機械保護層、使用於矽的選擇性氧化之遮罩層、作為在DRAM儲存器中或在3D NAND快閃記憶晶片中之堆疊的氧化物-氮化物-氧化物(O-N-O)層的介電材料之一、或作為在淺溝隔絕應用中的CMP停止層。在一個特別的應用中,於3D NAND快閃記憶體中的O-N-O堆疊需要具有低應力及在磷酸中高溼蝕刻速率之氮化矽。
Olsen的「Analysis of LPCVD Process Conditions for the Deposition of Low Stress Silicon Nitride」,5 Materials Science in Semiconductor Process 51(2002)描述出藉由低壓化學氣相沉積法,使用寬範圍或製程條件來最佳化低應力氮化矽膜之沉積。結果顯示出藉由增加氣體流讓折射率增加超過2.3並不會可觀地減少殘餘應力,但是在厚度均勻性及沉積速率上具有明顯有害的效應。
Taylor等人的「Hexachlorodisilane as a Precursor in the LPCVD of Silicon Dioxide and Silicon Oxynitride Films」,136 J. Electrochem. Soc. 2382(1989)描述出藉由LPCVD,使用Si2 Cl6 、N2 及NH3 之氣相混合物生長二氧化矽及氧氮化矽膜。該二氧化矽及氧氮化矽膜係藉由LPCVD,使用HCDS、N2 O及NH3 之氣相混合物,在600-850℃之溫度範圍下生長。所沉積的二氧化矽及氧氮化矽膜具有低氯含量,其典型為>1%原子百分比。
M. Tanaka等人的「Film Properties of Low-k Silicon Nitride Films Formed by Hexachlorodisilane and Ammonia」,147 J. Electrochem. Soc. 2284(2000)描述出藉由低壓化學氣相沉積法(LPCVD),使用六氯二矽烷(HCD)形成具有好的步階覆蓋之氮化矽(SiN)的低溫方法。
JP 2000100812描述出一種使用SiCl4 及NH3 作為來源氣體來沉積膜之方法。該基材表面可在沉積前使用NH3 氮化。形成一具有改良的絕緣性質之極薄膜。該氮化矽膜係有用作為半導體積體電路的儲存器絕緣膜。
美國專利案號6,355,582描述出一種用以形成氮化矽膜的方法,其中加熱該欲接受該膜形成的基材,及將四氯化矽與氨氣供應至該已加熱至預定溫度的基材。
美國專利案號10,049,882描述出一種用以製造半導體裝置的原子層沉積(ALD)方法,其包括在一具有高度差的結構上形成一介電層的步驟。該方法包括在一基材上形成一具有高度差的結構及在該結構上形成一介電層結構。形成該介電層結構包括在該具有高度差的結構上形成一包括氮化矽之第一介電層。形成該第一介電層包括將一包含五氯二矽烷(PCDS)或二異丙基胺五氯二矽烷(DPDC)的第一氣體作為矽前驅物及一包含氮組分的第二氣體進料至包括該基材的艙中,以便在該具有高度差的結構上就地形成該第一介電層。
PCT公告案號WO 2018063907揭示出一種類別的氯二矽氮烷類、由彼合成之矽-雜原子化合物、包括該矽-雜原子化合物的裝置、製造該氯二矽氮烷類、矽-雜原子化合物及裝置的方法;及該氯二矽氮烷類、矽-雜原子化合物及裝置的用途。
PCT公告案號WO 2018057677揭示出一種包括三氯二矽烷的組合物,其係使用在膜形成中作為矽前驅物。該組合物包括該矽前驅物化合物及惰性氣體、分子氫、碳前驅物、氮前驅物與氧前驅物之至少一種。該公告亦揭示出一種使用該矽前驅物化合物及因此形成的含矽膜在一基材上形成一含矽膜的方法。
美國專利案號9,984,868揭示出一種在基材上沉積氮化矽膜的循環方法。在一個具體實例中,此方法包括將一作為矽前驅物的鹵素矽烷供應進一反應器中;將一吹洗氣體供應至該反應器;及將一離子化的氮前驅物提供進該反應器中以與該基材反應及形成該氮化矽膜。
最後,美國公告案號2009/0155606揭示出一種在基材上沉積一氮化矽膜的循環方法。在一個具體實例中,該方法包括將氯矽烷供應至一加工基材的反應器、將一吹洗氣體供應至該反應器及將氨電漿提供至該反應器。該方法允許在低製程溫度及高沉積速率下形成一氮化矽膜。所產生的氮化矽膜具有相當少數雜質及相當高品質。此外,可形成一在具有高縱深比率的構形上具有好的步階覆蓋及薄且均勻的厚度之氮化矽膜。
在技藝中,對提供一種用於電子設備工業的某些應用之組合物及使用其來沉積摻雜高碳含量(例如,碳含量約10原子%或較多,如藉由X射線光電子光譜(XPS)測量)的含矽膜之方法有需求。
因此,對發展出一種使用化學氣相沉積(CVD)或原子層沉積(ALD)方法或類ALD方法諸如但不限於循環化學氣相沉積方法,來形成高品質氮化矽或摻雜碳的氮化矽之方法有需求。一種特別的應用,例如,在3D NAND快閃記憶體中的O-N-O堆疊需要具有低應力及/或在磷酸中高溼蝕刻速率之氮化矽、氧氮化矽或羧基氮化矽膜。再者,可想要在CVD、ALD或類ALD方法中發展出一種低溫沉積(例如,在約500℃或較低之一或多種溫度下沉積)以改良一或多種膜性質,諸如但不限於純度及/或密度。
先前認定的專利、專利申請案及公告之揭示藉此以參考方式併入本文。
在技藝中,對提供一種組合物及使用其來沉積具有下列特徵的氮化矽或摻雜碳的氮化矽之方法有需求:a)碳含量約5原子%或較少、約3原子%或較少、約2原子%或較少、約1原子%或甚至較少,如藉由X射線光電子光譜(XPS)測量,較佳為化學計量的氮化矽;b)氧含量約5原子%或較少、約3原子%或較少、約2原子%或較少、約1原子%或較少,如藉由X射線光電子光譜(XPS)測量;步階覆蓋90%或較高、95%或較高、99%或較高;介電常數7.0或較小、6.0或較小及5.0或較小。
在一個考量下,上述需求係藉由提供一種經由電漿ALD方法形成一摻雜碳的氮化矽膜之方法而滿足。根據該方法,將一包括表面構形的基材引進一反應器中。將該反應器加熱至最高約550℃的一或多個溫度範圍,較佳為在300℃或較低下的一或多種溫度。該反應器的壓力可維持在100托耳或較低。將至少一種具有二個Si-C-Si鏈結的矽前驅物引進該反應器中以在該基材上形成一含矽物種,其中該前驅物係選自於由下列所組成之群:1-氯-1,3-二矽雜環丁烷、1-溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二矽雜環丁烷、1,3-二溴-1,3-二矽雜環丁烷、1,1,3-三氯-1,3-二矽雜環丁烷、1,1,3-三溴-1,3-二矽雜環丁烷、1,1,3,3-四氯-1,3-二矽雜環丁烷、1,1,3,3-四溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷、1,3-溴-1,3-二甲基-1,3-二矽雜環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽雜戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽雜戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽雜戊烷、1,1,5,5-四氯-1,3,5-三矽雜戊烷、1-碘-1,3-二矽雜環丁烷、1,1-二碘-1,3-二矽雜環丁烷、1,3-二碘-1,3-二矽雜環丁烷、1,1,3-三碘-1,3-二矽雜環丁烷、1,1,3,3-四碘-1,3-二矽雜環丁烷、及1,3-二碘-1,3-二甲基-1,3-二矽雜環丁烷。
使用合適的惰性氣體來吹洗掉該反應器之任何未被消耗的前驅物及/或反應副產物。將一包含氮的電漿引進該反應器中以與該含矽物種反應而形成一摻雜碳的氮化矽膜。
其次,再次以合適的惰性氣體來吹洗掉該反應器之任何反應副產物。如需要,重覆該引進前驅物、如需要的話吹洗、引進電漿、及再次如需要的話吹洗之步驟,以將該沉積的摻雜碳的氮化矽膜帶至預定厚度。
上述需求及其它更進一步藉由一種經由電漿ALD方法來形成氮化矽、摻雜碳的氮化矽或摻雜碳的氧氮化矽膜之方法而滿足。根據該方法,將一包括表面構形的基材引進反應器中。將該反應器加熱至最高約550℃的一或多個溫度範圍,較佳為在300℃或較低下的一或多種溫度。該反應器的壓力可維持在100托耳或較低。將至少一種具有二個Si-C-Si鏈結的矽前驅物引進該反應器中以在該基材上形成一含矽物種,其中該矽前驅物係選自於由下列所組成之群:1-氯-1,3-二矽雜環丁烷、1-溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二矽雜環丁烷、1,3-二溴-1,3-二矽雜環丁烷、1,1,3-三氯-1,3-二矽雜環丁烷、1,1,3-三溴-1,3-二矽雜環丁烷、1,1,3,3-四氯-1,3-二矽雜環丁烷、1,1,3,3-四溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷、1,3-溴-1,3-二甲基-1,3-二矽雜環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽雜戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽雜戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽雜戊烷、1,1,5,5-四氯-1,3,5-三矽雜戊烷、1-碘-1,3-二矽雜環丁烷、1,1-二碘-1,3-二矽雜環丁烷、1,3-二碘-1,3-二矽雜環丁烷、1,1,3-三碘-1,3-二矽雜環丁烷、1,1,3,3-四碘-1,3-二矽雜環丁烷、及1,3-二碘-1,3-二甲基-1,3-二矽雜環丁烷。
使用合適的惰性氣體來吹洗掉該反應器之任何未被消耗的前驅物及/或反應副產物。將一包括氨來源的電漿引進該反應器中以與該含矽物種反應而形成一氮化矽或摻雜碳的氮化矽膜。
其次,再次以合適的惰性氣體來吹洗掉該反應器之任何反應副產物。如需要,重覆該引進前驅物、如需要的話吹洗、引進電漿、及再次如需要的話吹洗之步驟,以將該氮化矽或摻雜碳的氮化矽膜帶至預定厚度。
然後,選擇性在約周溫至1000℃,較佳為約100o 至400℃的一或多個溫度範圍下,將所產生的氮化矽或矽摻雜碳的氮化矽膜曝露至氧來源,以將該氮化矽膜轉換成氧氮化矽膜,或將摻雜碳的氮化矽膜轉換成摻雜碳的氧氮化矽膜。
較佳實施例之詳細說明 遍及本說明,用語「ALD或類ALD」指為包括但不限於下列製程的方法:a)將包括矽前驅物及反應性氣體的每種反應物相繼地引進反應器中,諸如單晶圓ALD反應器、半批次ALD反應器或批次爐ALD反應器;b)藉由將該基材移動或轉動至該反應器的不同區段,讓包括矽前驅物及反應性氣體的每種反應物曝露至該基材及每個區段係由惰性氣體簾幕分開,即,空間式ALD反應器或捲繞式(roll to roll)ALD反應器。
遍及本說明,用語「包括/包含氨的電漿」指為經由電漿產生器就地或遠程產生之反應性氣體或氣體混合物。該氣體或氣體混合物係選自於由下列所組成之群:氨、氨與氦之混合物、氨與氖之混合物、氨與氬之混合物、氨與氮之混合物、氨與氫之混合物及其組合。
遍及本說明,用語「惰性氣體電漿」指為經由電漿產生器就地或遠程產生之反應性惰性氣體或惰性氣體混合物。該惰性氣體或惰性氣體混合物係選自於由氦、氖、氬及其組合所組成之群。
遍及本說明,用語「灰化」指為在半導體製造方法中使用包含氧來源的電漿來移除光阻或碳硬遮罩之方法,其中該電漿有諸如O2 /惰性氣體電漿、O2 電漿、CO2 電漿、CO電漿、H2 /O2 電漿或其組合。
遍及本說明,用語「抗損傷性」指為在氧灰化製程後之膜性質。好或高的抗損傷性係定義為在氧灰化後之下列膜性質:膜介電常數低於7;在本體(進入膜中多於50埃深)中的碳含量係於5原子%內,如在灰化前般;藉由觀察在接近表面的膜(少於50埃深)與本體(多於50埃深)間之稀HF蝕刻速率差異,該膜的損傷係少於50埃。
遍及本說明,用語「烷基烴」指為線性或分枝的C1 至C20 烴、環狀C6 至C20 烴。範例性烴包括但不限於庚烷、辛烷、壬烷、癸烷、十二烷、環辛烷、環壬烷、環癸烷。
遍及本說明,用語「芳香烴」指為C6 至C20 芳香烴。範例性芳香烴包括但不限於甲苯、均三甲苯。
遍及本說明,用語「惰性氣體」指為非反應性氣體。範例性惰性氣體包括但不限於氦、氬、氖、氮及其組合。
遍及本說明,如於本文中所使用之用語「步階覆蓋」係定義為在具有通道或溝槽或二者的結構化或構形化基材中之二種沉積膜的厚度百分比,其中底部步階覆蓋係在該構形底部處的厚度除以在該構形頂端處的厚度之比率(以%計);及中間步階覆蓋係在該構形側壁上的厚度除以在該構形頂端處的厚度之比率(以%計)。使用於本文中所描述的方法沉積之膜具有約80%或較大,或約90%或較大的步階覆蓋,此指示出該膜係保形。
遍及本說明,用語「包括/包含氮/氮來源的電漿」指為經由電漿產生器就地或遠程產生的反應性氣體或氣體混合物。該氣體或氣體混合物係選自於由下列所組成之群:氮、氮與氦之混合物、氮與氖之混合物、氮與氬之混合物、氨與氮之混合物、氮與氫之混合物及其組合。
於本文中描述出一種矽前驅物組合物及包含此組合物來沉積具有下列特徵的氮化矽或摻雜碳的氮化矽之方法:a)碳含量約5原子%或較少、約3原子%或較少、約2原子%或較少、約1原子%或甚至較少,如藉由X射線光電子光譜(XPS)測量,較佳為化學計量的氮化矽;b)氧含量約5原子%或較少、約3原子%或較少、約2原子%或較少、約1原子%或較少,如藉由X射線光電子光譜(XPS)測量;步階覆蓋90%或較高、95%或較高、99%或較高。
在一個態樣中,該用於沉積含矽膜的組合物包含:(a)至少一種具有一個Si-C-Si或二個Si-C-Si鏈結的矽前驅物化合物,其係選自於由下列所組成之群:1,1,1,3,3,3-六氯-1,3-二矽雜丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二矽雜丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二矽雜丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二矽雜丙烷、1-氯-1,3-二矽雜環丁烷、1-溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二矽雜環丁烷、1,3-二溴-1,3-二矽雜環丁烷、1,1,3-三氯-1,3-二矽雜環丁烷、1,1,3-三溴-1,3-二矽雜環丁烷、1,1,3,3-四氯-1,3-二矽雜環丁烷、1,1,3,3-四溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷、1,3-溴-1,3-二甲基-1,3-二矽雜環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽雜戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽雜戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽雜戊烷、1,1,5,5-四氯-1,3,5-三矽雜戊烷、1-碘-1,3-二矽雜環丁烷、1,1-二碘-1,3-二矽雜環丁烷、1,3-二碘-1,3-二矽雜環丁烷、1,1,3-三碘-1,3-二矽雜環丁烷、1,1,3,3-四碘-1,3-二矽雜環丁烷、及1,3-二碘-1,3-二甲基-1,3-二矽雜環丁烷;及(b)至少一種溶劑。 表1. 具有一個Si-C-Si鏈結的矽前驅物
Figure 108130791-A0304-0001
表2. 具有二個Si-C-Si鏈結的矽前驅物
Figure 108130791-A0304-0002
及在本發明的至少一個態樣中,(b)選擇性至少一種溶劑。在本文所描述的組合物之某些具體實例中,範例性溶劑可包括但不限於醚、三級胺、烷基烴、芳香烴、三級胺基醚、矽氧烷及其組合。在某些具體實例中,於具有一個Si-C-Si或二個Si-C-Si鏈結的化合物之沸點與該溶劑的沸點間之差異係40℃或較少。在該溶劑中的矽前驅物化合物之重量%可自1變化至99重量%、或10至90重量%、或20至80重量%、或30至70重量%、或40至60重量%、或50至50重量%。在某些具體實例中,該組合物可使用習知的直接液體注入設備及方法經由直接液體注入來輸送進反應器艙中用於含矽膜。
在本文所描述的方法之一個具體實例中,使用電漿輔助ALD方法來沉積一具有碳含量少於5原子%或較少之氮化矽或摻雜碳的氮化矽膜。在此具體實例中,該方法包含: a.將一或多個包含表面構形的基材放進一反應器中; b.將該反應器加熱至周溫至約600℃的一或多個溫度範圍及選擇性將該反應器的壓力維持在100托耳或較低; c.將至少一種具有一個Si-C-Si鏈結的矽前驅物引進該反應器中,其中該前驅物係選自於由下列所組成之群:1,1,1,3,3,3-六氯-1,3-二矽雜丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二矽雜丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二矽雜丙烷及1,1,1,3,3,3-六氯-2-乙基-1,3-二矽雜丙烷; d.使用惰性氣體吹洗,因此移除任何未反應的矽前驅物及形成一包含該吹洗氣體及矽前驅物的組合物; e.將一包括/包含氨來源的電漿提供進該反應器中以與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; f.使用惰性氣體吹洗以移除任何反應副產物; g.重覆步驟c至f以提供一想要之氮化矽或摻雜碳的氮化矽膜厚度; h.選擇性在溫度400至1000℃下或以UV光源熱退火或尖波退火對該氮化矽或摻雜碳的氮化矽膜進行沉積後處理,其中該UV曝光步驟可在膜沉積期間或一旦已經完成沉積時進行; i.選擇性將該氮化矽或摻雜碳的氮化矽膜沉積後曝露至一包含氫的電漿或惰性氣體或氮,以改良該膜的物理性質之至少一種。
在本文所描述的方法之另一個具體實例中,使用電漿輔助ALD方法來沉積一具有碳含量少於5原子%或較少之氮化矽或摻雜碳的氮化矽膜。在此具體實例中,該方法包含: a.將一或多個包含表面構形的基材放進一反應器中; b.將該反應器加熱至周溫至約600℃的一或多個溫度範圍及選擇性將該反應器的壓力維持在100托耳或較低; c.將至少一種具有一個Si-C-Si鏈結或二個Si-C-Si鏈結的矽前驅物引進該反應器中,其中該前驅物係選自於由下列所組成之群:1,1,1,3,3,3-六氯-1,3-二矽雜丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二矽雜丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二矽雜丙烷、1,1,1,3,3,3-六氯-2-乙基-1,3-二矽雜丙烷、1-氯-1,3-二矽雜環丁烷、1-溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二矽雜環丁烷、1,3-二溴-1,3-二矽雜環丁烷、1,1,3-三氯-1,3-二矽雜環丁烷、1,1,3-三溴-1,3-二矽雜環丁烷、1,1,3,3-四氯-1,3-二矽雜環丁烷、1,1,3,3-四溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷、1,3-溴-1,3-二甲基-1,3-二矽雜環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽雜戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽雜戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽雜戊烷、1,1,5,5-四氯-1,3,5-三矽雜戊烷、1-碘-1,3-二矽雜環丁烷、1,1-二碘-1,3-二矽雜環丁烷、1,3-二碘-1,3-二矽雜環丁烷、1,1,3-三碘-1,3-二矽雜環丁烷、1,1,3,3-四碘-1,3-二矽雜環丁烷、及1,3-二碘-1,3-二甲基-1,3-二矽雜環丁烷; d.使用惰性氣體吹洗,因此移除任何未反應的矽前驅物及形成一包含該吹洗氣體及矽前驅物的組合物; e.將一包括/包含氨來源的電漿提供進該反應器中以與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; f.使用惰性氣體吹洗以移除任何反應副產物; g.重覆步驟c至f以提供一想要之氮化矽或摻雜碳的氮化矽膜厚度。
在本文所描述的方法之另一個具體實例中,使用電漿輔助ALD方法來沉積一具有碳含量5原子%或較少之氮化矽或摻雜碳的氮化矽膜。在此具體實例中,該方法包含: a.將一或多個包含表面構形的基材放進一反應器中(例如,習知的ALD反應器); b.將該反應器加熱至周溫至約600℃的一或多個溫度範圍及選擇性將該反應器的壓力維持在100托耳或較低; c.將至少一種具有二個Si-C-Si鏈結的矽前驅物引進該反應器中,其中該前驅物係選自於由下列所組成之群:1-氯-1,3-二矽雜環丁烷、1-溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二矽雜環丁烷、1,3-二溴-1,3-二矽雜環丁烷、1,1,3-三氯-1,3-二矽雜環丁烷、1,1,3-三溴-1,3-二矽雜環丁烷、1,1,3,3-四氯-1,3-二矽雜環丁烷、1,1,3,3-四溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷、1,3-溴-1,3-二甲基-1,3-二矽雜環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽雜戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽雜戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽雜戊烷、1,1,5,5-四氯-1,3,5-三矽雜戊烷、1-碘-1,3-二矽雜環丁烷、1,1-二碘-1,3-二矽雜環丁烷、1,3-二碘-1,3-二矽雜環丁烷、1,1,3-三碘-1,3-二矽雜環丁烷、1,1,3,3-四碘-1,3-二矽雜環丁烷、及1,3-二碘-1,3-二甲基-1,3-二矽雜環丁烷; d.以惰性氣體吹洗; e.將一包括/包含氨來源的電漿提供進該反應器中以與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; f.使用惰性氣體吹洗以移除反應副產物; g.重覆步驟c至f以提供一想要之氮化矽或摻雜碳的氮化矽膜厚度; h.選擇性在溫度400至1000℃下或以UV光源尖波退火來沉積後處理該氮化矽或摻雜碳的氮化矽膜,其中該UV曝光步驟可在膜沉積期間或一旦已經完成沉積時進行; i.選擇性將該氮化矽或摻雜碳的氮化矽膜沉積後曝露至一包含氫的電漿或惰性氣體或氮,以改良該膜的物理性質之至少一種。
在本文所描述的方法之另一個具體實例中,使用電漿輔助ALD方法來沉積一具有碳含量5原子%或較少之摻雜碳的氧氮化矽膜。在此具體實例中,該方法包含: a.將一或多個包含表面構形的基材放進一反應器中(例如,習知的ALD反應器); b.將該反應器加熱至周溫至約600℃的一或多個溫度範圍及選擇性將該反應器的壓力維持在100托耳或較低; c.將至少一種具有二個Si-C-Si鏈結的矽前驅物引進該反應器中,其中該前驅物係選自於由下列所組成之群:1-氯-1,3-二矽雜環丁烷、1-溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二矽雜環丁烷、1,3-二溴-1,3-二矽雜環丁烷、1,1,3-三氯-1,3-二矽雜環丁烷、1,1,3-三溴-1,3-二矽雜環丁烷、1,1,3,3-四氯-1,3-二矽雜環丁烷、1,1,3,3-四溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷、1,3-溴-1,3-二甲基-1,3-二矽雜環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽雜戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽雜戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽雜戊烷、1,1,5,5-四氯-1,3,5-三矽雜戊烷、1-碘-1,3-二矽雜環丁烷、1,1-二碘-1,3-二矽雜環丁烷、1,3-二碘-1,3-二矽雜環丁烷、1,1,3-三碘-1,3-二矽雜環丁烷、1,1,3,3-四碘-1,3-二矽雜環丁烷、及1,3-二碘-1,3-二甲基-1,3-二矽雜環丁烷; d.以惰性氣體吹洗; e.將一包括/包含氨來源的電漿提供進該反應器中以與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; f.使用惰性氣體吹洗以移除反應副產物; g.重覆步驟c至f以提供一想要之氮化矽或摻雜碳的氮化矽膜厚度; h.在約周溫至1000℃或約100o 至400℃的一或多個溫度範圍下,就地或於另一個艙中,以氧來源沉積後處理該氮化矽或摻雜碳的氮化矽膜,以將該氮化矽或摻雜碳的氮化矽膜轉換成氧氮化矽或摻雜碳的氧氮化矽膜。
在本文所描述的方法之更另一個具體實例中,使用電漿輔助ALD方法來沉積具有碳含量少於5原子%之氮化矽或摻雜碳的氮化矽膜。在此具體實例中,該方法包含: a.將一或多個包含表面構形的基材放進一反應器中; b.將該反應器加熱至周溫至約600℃的一或多個溫度範圍及選擇性將該反應器的壓力維持在100托耳或較低; c.將至少一種具有一個Si-C-Si鏈結的矽前驅物引進該反應器中,其中該前驅物係選自於由下列所組成之群:1,1,1,3,3,3-六氯-1,3-二矽雜丙烷、1,1,1,3,3,3-六氯-2-甲基-1,3-二矽雜丙烷、1,1,1,3,3,3-六氯-2,2-二甲基-1,3-二矽雜丙烷及1,1,1,3,3,3-六氯-2-乙基-1,3-二矽雜丙烷; d.使用惰性氣體吹洗,因此移除任何未反應的矽前驅物及形成一包含該吹洗氣體及矽前驅物的組合物; e.將一包括/包含氨來源的第一電漿提供進該反應器中以與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; f.使用惰性氣體吹洗以移除任何反應副產物; g.將一包括/包含氮來源的第二電漿提供進該反應器中以與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; h.使用惰性氣體吹洗以移除任何反應副產物;及 i.重覆步驟c至h以提供一想要之氮化矽或摻雜碳的氮化矽膜厚度。
在本文所描述的方法之更另一個具體實例中,該氮化矽或摻雜碳的氮化矽膜具有碳含量少於5原子%或較少及使用電漿輔助ALD方法沉積。在此具體實例中,該方法包含: a.將一或多個包含表面構形的基材放進一反應器中; b.將該反應器加熱至周溫至約600℃的一或多個溫度範圍及選擇性將該反應器的壓力維持在100托耳或較低; c.將至少一種具有二個Si-C-Si鏈結的矽前驅物引進該反應器中,其中該前驅物係選自於由下列所組成之群:1-氯-1,3-二矽雜環丁烷、1-溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二矽雜環丁烷、1,3-二溴-1,3-二矽雜環丁烷、1,1,3-三氯-1,3-二矽雜環丁烷、1,1,3-三溴-1,3-二矽雜環丁烷、1,1,3,3-四氯-1,3-二矽雜環丁烷、1,1,3,3-四溴-1,3-二矽雜環丁烷、1,3-二氯-1,3-二甲基-1,3-二矽雜環丁烷、1,3-溴-1,3-二甲基-1,3-二矽雜環丁烷、1,1,1,3,3,5,5,5-八氯-1,3,5-三矽雜戊烷、1,1,3,3,5,5-六氯-1,5-二甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-3,3-二甲基-1,3,5-三矽雜戊烷、1,1,3,5,5-五氯-1,3,5-三甲基-1,3,5-三矽雜戊烷、1,1,1,5,5,5-六氯-1,3,5-三矽雜戊烷、1,1,5,5-四氯-1,3,5-三矽雜戊烷、1-碘-1,3-二矽雜環丁烷、1,1-二碘-1,3-二矽雜環丁烷、1,3-二碘-1,3-二矽雜環丁烷、1,1,3-三碘-1,3-二矽雜環丁烷、1,1,3,3-四碘-1,3-二矽雜環丁烷、及1,3-二碘-1,3-二甲基-1,3-二矽雜環丁烷; d.使用惰性氣體吹洗,因此移除任何未反應的矽前驅物及形成一包含該吹洗氣體及矽前驅物的組合物; e.將一包括/包含氮來源的第一電漿提供進該反應器中以與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; f.使用惰性氣體吹洗以移除任何反應副產物; g.將一包括/包含氨來源的第二電漿提供進該反應器中以與該表面反應而形成一氮化矽或摻雜碳的氮化矽膜; h.使用惰性氣體吹洗以移除任何反應副產物;及 i.重覆步驟c至h以提供一想要之氮化矽或摻雜碳的氮化矽膜厚度。
在一個具體實例中,該基材包括至少一種構形,其中該構形包含一具有縱深比率1:9或更大,開口180奈米或較小的圖案溝槽。
在某些具體實例中,該反應器於該引進步驟中的溫度係在約室溫(例如,20℃)至約600℃的一或多個溫度範圍下。該基材溫度之可替代的範圍具有下列端點之一或多個:20、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475及500℃。範例性溫度範圍包括下列:20至300℃、100至300℃或100至350℃。
在更另一個具體實例中,該用以沉積含矽膜的容器包括一或多種於本文中描述之矽前驅物化合物。在一個特別的具體實例中,該容器係至少一種可加壓容器(較佳為具有諸如在美國專利案號US 7334595、US 6077356、US 5069244及US 5465766中所揭示出的設計之不銹鋼,此揭示藉此以參考方式併入本文)。該容器可包含安裝有適合閥及配件的玻璃(硼矽酸鹽或石英玻璃)或型式316、316L、304或304L不銹鋼合金(UNS標號S31600、S31603、S30400、S30403),以允許將一或多種前驅物輸送至該用於CVD或ALD方法的反應器。在此或其它具體實例中,將該矽前驅物提供在一包含不銹鋼的可加壓容器中,及該前驅物之純度係合適於半導體應用的98重量%或較大、或99.5%或較大。該矽前驅物化合物較佳為實質上無金屬離子,諸如Al3+ 、Fe2+ 、Fe3+ 、Ni2+ 、Cr3+ 離子。如於本文中所使用,用語「實質上無」當其係關於Al3+ 、Fe2+ 、Fe3+ 、Ni2+ 、Cr3+ 離子時,其意謂著少於約5 ppm(以重量計),較佳為少於約3 ppm,及更佳為少於約1 ppm,及最佳為約0.1 ppm。用語「實質上無」當其係關於Al、Fe、Ni、Cr時,其意謂著少於5 ppm(以重量計),較佳為少於3 ppm,及更佳為少於1 ppm,及最佳為0.1 ppm,如藉由ICP-MS測量。在某些具體實例中,若須要時,此容器亦可具有一用於混合該前驅物與一或多種額外的前驅物之工具。在這些或其它具體實例中,該容器的內容物可與額外的前驅物預混合。任擇地,可將該矽前驅物及/或其它前驅物維持在分別的容器中或在具有分隔工具的單一容器中,用以在儲存期間將該矽前驅物與其它前驅物維持成分開。
將該含矽膜沉積在一基材諸如半導體基材之至少一表面上。在本文所描述的方法中,該基材可包含及/或塗佈多種在技藝中熟知的材料,包括矽膜諸如結晶矽或非晶矽、氧化矽、氮化矽、非晶碳、氧碳化矽、氧氮化矽、碳化矽、鍺、摻雜鍺的矽、摻雜硼的矽;金屬,諸如銅、鎢、鋁、鈷、鎳、鉭;金屬氮化物,諸如氮化鈦、氮化鉭;金屬氧化物;第III/V族金屬或類金屬,諸如GaAs、InP、GaP及GaN;及其組合。這些塗佈物可完全塗佈該半導體基材、可呈多種材料的多重層及可被部分蝕刻而曝露出下面材料層。該表面亦可在其上面具有一光阻材料,其已經使用圖案曝光及顯影而部分塗佈該基材。在某些具體實例中,該半導體基材包含至少一種選自於由孔洞、通道、溝槽及其組合所組成之群的表面構形。該含矽膜的潛在應用包括但不限於用於FinFET或奈米片的低k間隔器、用於自我對準圖形化方法(諸如SADP、SAQP或SAOP)之犧牲用硬遮罩。
該使用來形成含矽膜或塗佈物的沉積方法係沉積方法。合適於本文所揭示的方法之沉積方法的實施例包括但不限於化學氣相沉積或原子層沉積方法。如於本文中所使用,用語「化學氣相沉積方法」指為將一基材曝露至一或多種揮發性前驅物,讓該前驅物在該基材表面上反應及/或分解而產生想要的沉積之任何方法。如於本文中所使用,用語「原子層沉積方法」指為一自限制性(例如,在每個反應循環中所沉積之膜材料量固定)按特定順序的表面化學,其將不同組合物之材料膜沉積到基材上。雖然於本文中所使用之前驅物、試劑及來源有時可描述為「氣體」,要了解的是,該前驅物可係經由直接蒸發、吹泡或昇華,以或不以惰性氣體運送進該反應器中之液體或固體。在某些情況中,該蒸發的前驅物可通過一電漿產生器。
在一個具體實例中,該含矽膜係使用ALD方法沉積。在另一個具體實例中,該含矽膜係使用循環CVD(CCVD)方法沉積。在進一步具體實例中,該含矽膜係使用熱ALD方法沉積。如於本文中所使用,用語「反應器」包括但不限於反應艙或沉積艙。
在某些具體實例中,於本文中所揭示出的方法避免前驅物因使用ALD或循環CVD方法而預反應,其中該等前驅物在引進至該反應器前及/或期間係分開。在這方面,使用諸如ALD或CCVD方法之沉積技術來沉積該含矽膜。在一個具體實例中,該膜係經由ALD方法,在典型的單晶圓ALD反應器、半批次ALD反應器或批次爐ALD反應器中,藉由將該基材表面任擇地曝露至該含矽前驅物、氧來源、含氮來源或其它前驅物或試劑之一或多種而沉積。該膜生長係藉由表面反應之自限制性控制、每種前驅物或試劑的脈衝長度及沉積溫度繼續。但是,一旦該基材表面飽和,膜生長會停止。在另一個具體實例中,藉由將基材移動或轉動至該反應器的不同區段將包括該矽前驅物及反應性氣體的每種反應物曝露至該基材,及其中該反應器的每個區段係由惰性氣體簾幕分開,即,空間式ALD反應器或捲繞式ALD反應器。
在某些具體實例中,可依沉積方法而將於本文中所描述的矽前驅物及選擇性其它含矽前驅物以預定的莫耳體積或約0.1至約1000微莫耳引進該反應器中。在此或其它具體實例中,可將該前驅物引進該反應器中。在此或其它具體實例中,可將該前驅物引進該反應器中一段預定時間。在某些具體實例中,該時間週期範圍係約0.001至約500秒。
在某些具體實例中,以氧來源、包含氧的試劑或前驅物,即,水蒸氣來處理使用於本文中所描述的方法所沉積之氮化矽或摻雜碳的矽膜,以便轉換成摻雜碳的氧氮化物。可以至少一種氧來源形式將氧來源引進該反應器中,及/或其可附隨地存在於在該沉積方法中所使用之其它前驅物中。合適的氧來源氣體可包括例如空氣、水(H2 O)(例如,去離子水、純水、蒸餾水、水蒸氣、水蒸氣電漿、過氧化氫、氧化的水、空氣、包含水及其它有機液體的組合物)、氧(O2 )、氧電漿、臭氧(O3 )、氧化氮(NO)、二氧化氮(NO2 )、一氧化二氮(N2 O)、一氧化碳(CO)、過氧化氫(H2 O2 )、包含水的電漿、包含水及氬的電漿、過氧化氫、包含氫的組合物、包含氫及氧的組合物、二氧化碳(CO2 )、空氣及其組合。在某些具體實例中,該氧來源包含氧來源氣體,其係以約1至約10000平方立方公分(sccm)或約1至約1000 sccm之流速範圍引進該反應器中。該氧來源可引進一段時間,其範圍係約0.1至約100秒。該觸媒係選自於路易士鹼,諸如吡啶、哌口井、三甲胺、三級丁胺、二乙胺、三甲胺、乙二胺、氨或其它有機胺。
在該膜係藉由ALD或循環CVD方法沉積的具體實例中,該前驅物脈衝可具有脈衝週期大於0.01秒,及該氧來源可具有脈衝週期少於0.01秒,同時該水脈衝週期可具有脈衝週期少於0.01秒。
在某些具體實例中,該氧來源係連續流入該反應器中,同時依次引進前驅物脈衝及電漿。該前驅物脈衝可具有脈衝週期大於0.01秒,同時該電漿週期範圍可在0.01秒至100秒間。
在某些具體實例中,該含矽膜包含矽及氮。在這些具體實例中,該使用於本文中所描述的方法所沉積之含矽膜係於含氮來源存在下形成。可以至少一種氮來源形式將一含氮來源引進該反應器中,及/或其可附隨地存在於在該沉積方法中所使用之其它前驅物中。
合適的含氨氣體可包括例如氨、氨與惰性氣體之混合物、氨與氮之混合物、氨與氫之混合物及其組合。
在某些具體實例中,該氮來源係以約1至約10000平方立方公分(sccm)或約1至約1000 sccm之流速範圍引進該反應器中。該含氮來源可引進一段時間,其範圍係約0.1至約100秒。在該膜係藉由ALD或循環CVD方法使用氮及氧來源二者沉積的具體實例中,該前驅物脈衝可具有脈衝週期大於0.01秒,及該氮來源可具有脈衝週期少於0.01秒,同時該水脈衝週期可具有脈衝週期少於0.01秒。在更另一個具體實例中,於脈衝間之吹洗週期可低如0秒或係在其間沒有吹洗的連續脈衝。
於本文中所揭示出的沉積方法包括一或多個使用吹洗氣體自該反應器吹洗掉不想要或未反應的材料之步驟。該使用來吹洗掉未被消耗的反應物及/或反應副產物之吹洗氣體係不與該前驅物反應的惰性氣體。範例性吹洗氣體包括但不限於氬(Ar)、氮(N2 )、氦(He)、氖(Ne)、氫(H2 )及其組合。在某些具體實例中,以約10至約10000 sccm的流速範圍將諸如Ar之吹洗氣體供應進該反應器中約0.1至1000秒,因此吹洗掉可餘留在該反應器中之未反應的材料及任何副產物。
供應該前驅物、氧來源、含氨來源及/或其它前驅物、來源氣體及/或試劑的各別步驟可藉由改變其供應時間來進行,以改變所產生的膜之化學計量組合物。
對該前驅物、含氨來源、還原劑諸如氫電漿、其它前驅物或其組合之至少一種施加能量以引發反應及在該基材上形成該膜或塗佈物。此能量可藉由下列提供但不限於:熱、電漿、脈衝電漿、螺旋電漿、高密度電漿、誘導耦合電漿、X射線、E束、光子、遠程電漿方法及其組合。
在某些具體實例中,可使用二次RF頻率來源來修改在該基材表面處之電漿特徵。在該沉積包括電漿的具體實例中,該電漿產生方法可包含直接電漿產生方法,其中該電漿係在該反應器中直接產生;或任擇地,遠程電漿產生方法,其中該電漿係在該反應器外產生及供應進該反應器中。
可以多種方式將該矽前驅物及/或其它含矽前驅物輸送至該反應艙,諸如CVD或ALD反應器。在一個具體實例中,可使用液體輸送系統。在任擇的具體實例中,可使用結合的液體輸送及閃蒸製程單元,諸如例如,由MSP Corporation of Shoreview,MN製造之渦輪蒸發器,以便能夠容積地輸送低揮發性材料而導致可再現的運送及沉積而沒有該前驅物之熱分解。在液體輸送調配物中,於本文中所描述之前驅物可以純淨液體形式輸送,或任擇地,可以包含其之溶劑調配物或組合物使用。因此,在某些具體實例中,該前驅物調配物可包括如可在所提供的末端用途應用中想要及優良之合適特徵的溶劑組分,以於基材上形成一膜。
在此或其它具體實例中,要了解的是,於本文中所描述的方法之步驟可以多種順序進行、可相繼或同時(例如,在另一個步驟的至少一部分期間)進行及其任何組合。供應該前驅物及含氮來源氣體的各別步驟可藉由變化其供應時間週期來進行,以改變所產生的含矽膜之化學計量組合物。
在本文所描述的方法之又進一步具體實例中,讓該膜或如所沉積的膜接受一處理步驟。該處理步驟可在該沉積步驟的至少一部分期間、在該沉積步驟後及其組合進行。範例性處理步驟包括但不限於經由高溫熱退火處理、電漿處理、紫外光(UV)處理、雷射、電子束處理及其組合,以影響該膜的一或多種性質。當與使用先前揭示的矽前驅物在相同條件下沉積之膜比較時,使用於本文中所描述的具有一或二個Si-C-Si鏈結之矽前驅物所沉積的膜具有改良的性質,諸如但不限於溼蝕刻速率,其係低於該膜在該處理步驟前之溼蝕刻速率;或密度,其係高於在該處理步驟前之密度。在一個特別的具體實例中,於該沉積製程期間,間歇地處理如所沉積的膜。這些間歇或中間沉積處理可例如在每個ALD循環後、在某些次數的ALD後進行,諸如但不限於一個(1)ALD循環、二個(2)ALD循環、五個(5)ALD循環、或在每十個(10)或更多個ALD循環後。
在該膜係以高溫退火步驟處理的具體實例中,該退火溫度係高於沉積溫度至少100℃或較高。在此或其它具體實例中,該退火溫度範圍係約400℃至約1000℃。在此或其它具體實例中,該退火處理可在真空(>760托耳)、惰性環境中或在含氧環境(諸如臭氧、H2 O、H2 O2 、N2 O、NO2 或O2 )中進行。
在該膜係以UV處理進行處理之具體實例中,該膜係曝露至寬帶UV,或任擇地,具有波長範圍約150奈米(奈米)至約400奈米的UV來源。在一個特別的具體實例中,於達到想要的膜厚度後,在與該沉積艙不同的艙中,將如所沉積的膜曝露至UV。
在該膜係以電漿處理的具體實例中,沉積一諸如摻雜碳的氧化矽之鈍化層以防止在隨後的電漿處理中來自滲透過該膜的氯及氮污染。該鈍化層可使用原子層沉積法或循環化學氣相沉積法沉積。
在該膜係以電漿處理的具體實例中,該電漿來源係選自於由下列所組成之群:氫電漿、包含氫及氦的電漿、包含氫及氬的電漿。氫電漿會降低膜介電常數及推升對接下來的電漿灰化製程之抗損傷性,同時仍然保持在本體中的碳含量幾乎未改變。
下列實施例闡明本發明的某些態樣及不限制所附加的申請專利範圍之範圍。 實施例
在下列實施例中,除非其它方面有所描述,否則將自沉積到作為基材之具有電阻率5-20 Ω-公分的矽晶圓上之樣品膜獲得性質。使用具有蓮蓬頭設計與13.56 MHz直接電漿的CN-1反應器進行全部的膜沉積。
在典型的製程條件下,除非其它方面有所描述,否則艙壓係固定在約1至約5托耳之壓力範圍。使用額外的惰性氣體來維持艙壓。
該膜沉積包含列在表3及4中用於熱ALD及電漿輔助ALD(「PEALD」)的步驟。在表3中的步驟a至d構成一個PEALD循環及重覆。在表4或5中的步驟a至f構成一個PEALD循環及重覆。除非其它方面有具體指定,否則重覆總共100、或200、或300、或500次以獲得想要的膜厚度。 表3. 在ALD氮化矽膜中的沉積步驟
Figure 108130791-A0304-0003
表4. 在ALD氮化矽膜中的沉積步驟
Figure 108130791-A0304-0004
表5. 在ALD氮化矽膜中的沉積步驟
Figure 108130791-A0304-0005
使用偏振光橢圓計來測量沉積膜的折射率(RI)及厚度。使用標準方程式來計算膜不均勻性:不均勻性%=((最大厚度-最小厚度)/(2*平均(avg)厚度))。使用Fourier轉換紅外線(FTIR)光譜及X射線光電子光譜(XPS)來分析膜結構及組合物。使用X射線反射儀(XRR)來測量膜的密度。
實施例1:使用1,1,3,3-四氯-1,3-二矽雜環丁烷及NH3 /氬電漿的ALD氮化矽 將矽晶圓負載進裝備有蓮蓬頭設計與13.56 MHz直接電漿的CN-1反應器中及加熱至300℃與艙壓1托耳。使用吹泡或蒸氣汲取,將作為矽前驅物的1,1,3,3-四氯-1,3-二矽雜環丁烷以蒸氣輸送進該反應器中。
該ALD循環包含在表3中所提供的製程步驟,除了步驟e及f外;及使用下列製程參數: a.將1,1,3,3-四氯-1,3-二矽雜環丁烷蒸氣引進該反應器中 氬流:100 sccm通過前驅物容器 脈衝:2秒 Ar流:1000 sccm b.吹洗 氬流:1000 sccm 吹洗時間:10秒 c.引進氨電漿 氬流:1000 sccm 氨流:100 sccm 電漿功率:300瓦 脈衝:10秒 d.吹洗 氬流:1000 sccm 吹洗時間:5秒 重覆步驟a至d 250循環以提供一具有58.0原子%氮、39.7原子%矽、2.3原子%氧及0.12原子%氯的組合物之30奈米氮化矽。探測不到碳。如所沉積的氮化矽當曝露至週圍空氣超過24小時時並無變化,此建議其係一穩定膜。
實施例2:使用1,1,3,3-四氯-1,3-二矽雜環丁烷及NH3 /氬電漿的ALD氮化矽 將矽晶圓負載進裝備有蓮蓬頭設計與13.56 MHz直接電漿的CN-1反應器中及加熱至300℃與艙壓1托耳。使用吹泡,將1,1,3,3-四氯-1,3-二矽雜環丁烷以蒸氣輸送進該反應器中。該ALD循環包含在表3中所提供的製程步驟,除了步驟e及f外;及使用下列製程參數: a.將1,1,3,3-四氯-1,3-二矽雜環丁烷蒸氣引進該反應器中 氬流:100 sccm通過前驅物容器 脈衝:2秒 氬:1000 sccm b.惰性氣體吹洗 氬流:1000 sccm 吹洗時間:10秒 c.引進氨電漿 氬流:1000 sccm 氨流:25 sccm 電漿功率:300瓦 脈衝:10秒 d.吹洗 氬流:1000 sccm 吹洗時間:5秒 重覆步驟a至d 250循環以提供一具有58.0原子%氮、39.6原子%矽、2.2原子%氧及0.27原子%氯之組合物的30奈米氮化矽。探測不到碳。
實施例3:使用1,1,3,3-四氯-1,3-二矽雜環丁烷、NH3 /氬電漿及氮/Ar電漿的ALD氮化矽
將矽晶圓負載進裝備有蓮蓬頭設計與13.56 MHz直接電漿的CN-1反應器中及加熱至300℃與艙壓1托耳。使用吹泡,將1,1,3,3-四氯-1,3-二矽雜環丁烷以蒸氣輸送進該反應器中。該ALD循環包含在表4中所提供的製程步驟及使用下列製程參數: a.將1,1,3,3-四氯-1,3-二矽雜環丁烷蒸氣引進該反應器中 氬流:100 sccm通過前驅物容器 脈衝:2秒 氬:1000 sccm b.惰性氣體吹洗 氬流:1000 sccm 吹洗時間:20秒 c.引進氨電漿 氬流:1000 sccm 氨流:50 sccm 電漿功率:100瓦 脈衝:10秒 d.吹洗 氬流動:1000 sccm 吹洗時間:5秒 e.引進氮電漿 氬流:1000 sccm 氮流:500 sccm 電漿功率:100瓦 脈衝:5秒 f.吹洗 氬流:1000 sccm 吹洗時間:5秒
重覆步驟a至f 400循環以提供一具有40.76原子%氮、37.04原子%矽、0.23原子%氧、及0.17原子%氯、0.28原子%碳之組合物的24奈米氮化矽。密度係2.73克/立方公分。
實施例4:使用1,1,3,3-四氯-1,3-二矽雜環丁烷、氮/Ar電漿及NH3 /氬電漿的ALD氮化矽
將矽晶圓負載進裝備有蓮蓬頭設計與13.56 MHz直接電漿的CN-1反應器中及加熱至300℃與艙壓1托耳。使用吹泡,將1,1,3,3-四氯-1,3-二矽雜環丁烷以蒸氣輸送進該反應器中。該ALD循環包含在表5中所提供的製程步驟及使用下列製程參數: a.將1,1,3,3-四氯-1,3-二矽雜環丁烷蒸氣引進該反應器中 氬流:100 sccm通過前驅物容器 脈衝:2秒 氬:1000 sccm b.惰性氣體吹洗 氬流:1000 sccm 吹洗時間:30秒 c.引進氮電漿 氬流:1000 sccm 氮流:500 sccm 電漿功率:100瓦 脈衝:5秒 d.吹洗 氬流:1000 sccm 吹洗時間:5秒 e.引進氨電漿 氬流:1000 sccm 氨流:50 sccm 電漿功率:100瓦 脈衝:10秒 f.吹洗 氬流:1000 sccm 吹洗時間:5秒
重覆步驟a至f 400循環以提供一具有58.78原子%氮、38.30原子%矽、2.43原子%氧、及0.50原子%氯、0.28原子%碳之組合物的40奈米氮化矽。密度係2.75克/立方公分。
雖然上述伴隨著參照某些特定具體實例及操作實施例進行闡明及描述,然而本發明不意欲受限於所顯示出的細節。而是,可在申請專利範圍的同等物之領域及範圍內於細節上製得多種修改而沒有離開本發明的精神。明確意欲的是,例如,在此文件中,於其領域中概括敘述的全部範圍包括落在該較寬範圍內之全部較窄範圍。

Claims (5)

  1. 一種含矽及氮的膜,其具有介電常數(k)約7或較小、氧含量約5原子%或較少、及碳含量約5原子%或較少,以X射線光電子光譜進行測量。
  2. 如請求項1之膜,其具有碳含量約3原子重量百分比或較少,以X射線光電子光譜進行測量。
  3. 如請求項2之膜,其具有碳含量約2原子重量百分比或較少,以X射線光電子光譜進行測量。
  4. 如請求項3之膜,具有碳含量約1原子重量百分比或較少,以X射線光電子光譜進行測量。
  5. 如前述請求項1至4中任一項的膜,其具有密度約2.7克/立方公分或較高。
TW108130791A 2018-08-29 2019-08-28 含矽及氮膜的製造方法 TWI710661B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862724205P 2018-08-29 2018-08-29
US62/724,205 2018-08-29
US16/553,091 2019-08-27
US16/553,091 US10985010B2 (en) 2018-08-29 2019-08-27 Methods for making silicon and nitrogen containing films

Publications (2)

Publication Number Publication Date
TW202014549A TW202014549A (zh) 2020-04-16
TWI710661B true TWI710661B (zh) 2020-11-21

Family

ID=67809396

Family Applications (3)

Application Number Title Priority Date Filing Date
TW109124717A TWI749655B (zh) 2018-08-29 2019-08-28 含矽及氮膜的製造方法
TW108130791A TWI710661B (zh) 2018-08-29 2019-08-28 含矽及氮膜的製造方法
TW110142527A TWI785891B (zh) 2018-08-29 2019-08-28 含矽及氮膜的製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109124717A TWI749655B (zh) 2018-08-29 2019-08-28 含矽及氮膜的製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110142527A TWI785891B (zh) 2018-08-29 2019-08-28 含矽及氮膜的製造方法

Country Status (7)

Country Link
US (1) US10985010B2 (zh)
EP (1) EP3620549B1 (zh)
JP (2) JP6959304B2 (zh)
KR (2) KR20200026143A (zh)
CN (2) CN114959653A (zh)
SG (1) SG10201907960SA (zh)
TW (3) TWI749655B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
JP6584347B2 (ja) * 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR20230028418A (ko) * 2020-06-23 2023-02-28 엔테그리스, 아이엔씨. 규소-함유 필름을 형성시키기 위한 규소 전구체 화합물 및 방법
US20220199458A1 (en) * 2020-12-18 2022-06-23 Intel Corporation Gap fill dielectrics for electrical isolation of transistor structures in the manufacture of integrated circuits
CN112635475B (zh) * 2020-12-18 2024-05-24 长江存储科技有限责任公司 一种堆叠结构及其制备方法
US20220216048A1 (en) * 2021-01-06 2022-07-07 Applied Materials, Inc. Doped silicon nitride for 3d nand
CN114242578B (zh) * 2022-02-21 2022-06-17 威海银创微电子技术有限公司 SGT Mosfet中IPO厚度的可控方法、装置及介质

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107406978A (zh) * 2015-02-06 2017-11-28 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
TW201804012A (zh) * 2016-07-27 2018-02-01 慧盛材料美國責任有限公司 用於碳摻雜的含矽膜的組合物及其方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2614338B2 (ja) 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5204138A (en) * 1991-12-24 1993-04-20 International Business Machines Corporation Plasma enhanced CVD process for fluorinated silicon nitride films
JP3258439B2 (ja) * 1993-04-14 2002-02-18 株式会社半導体エネルギー研究所 気相反応装置
US5465766A (en) 1993-04-28 1995-11-14 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
WO1998027247A1 (en) 1996-12-17 1998-06-25 Advanced Technology Materials, Inc. Reagent supply vessel for chemical vapor deposition
JP2000100812A (ja) 1998-09-17 2000-04-07 Tokyo Electron Ltd シリコンナイトライド膜の成膜方法
JP4209563B2 (ja) 1999-09-17 2009-01-14 東京エレクトロン株式会社 窒化シリコン膜の形成方法
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
CN102047386B (zh) * 2008-06-03 2013-06-19 气体产品与化学公司 含硅薄膜的低温沉积
KR101659463B1 (ko) * 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9643844B2 (en) * 2013-03-01 2017-05-09 Applied Materials, Inc. Low temperature atomic layer deposition of films comprising SiCN or SiCON
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
JP5883049B2 (ja) * 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US10316407B2 (en) * 2014-10-24 2019-06-11 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing films
US9879340B2 (en) * 2014-11-03 2018-01-30 Versum Materials Us, Llc Silicon-based films and methods of forming the same
JP6803368B2 (ja) * 2015-07-09 2020-12-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード アルキルアミノ置換ハロカルボシラン前駆体
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
WO2018057677A1 (en) 2016-09-26 2018-03-29 Dow Corning Corporation Trichlorodisilane
CN115504477A (zh) 2016-09-28 2022-12-23 美国陶氏有机硅公司 氯二硅氮烷
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107406978A (zh) * 2015-02-06 2017-11-28 弗萨姆材料美国有限责任公司 用于碳掺杂含硅膜的组合物以及使用所述组合物的方法
TW201804012A (zh) * 2016-07-27 2018-02-01 慧盛材料美國責任有限公司 用於碳摻雜的含矽膜的組合物及其方法

Also Published As

Publication number Publication date
TWI785891B (zh) 2022-12-01
TW202014549A (zh) 2020-04-16
TWI749655B (zh) 2021-12-11
JP2022008961A (ja) 2022-01-14
JP2020033643A (ja) 2020-03-05
CN114959653A (zh) 2022-08-30
US10985010B2 (en) 2021-04-20
TW202039924A (zh) 2020-11-01
JP6959304B2 (ja) 2021-11-02
TW202208663A (zh) 2022-03-01
KR20200026143A (ko) 2020-03-10
EP3620549B1 (en) 2023-11-08
EP3620549A1 (en) 2020-03-11
SG10201907960SA (en) 2020-03-30
CN110872703B (zh) 2022-06-24
KR20220059456A (ko) 2022-05-10
JP7182680B2 (ja) 2022-12-02
US20200075312A1 (en) 2020-03-05
CN110872703A (zh) 2020-03-10
KR102543855B1 (ko) 2023-06-14

Similar Documents

Publication Publication Date Title
TWI710661B (zh) 含矽及氮膜的製造方法
JP7153100B2 (ja) 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
JP6999620B2 (ja) 高い炭素含有量を有する炭素ドープ酸化ケイ素膜および炭化ケイ素膜の製造方法
JP2024032775A (ja) ケイ素及び窒素を含有する膜を製造するための方法
TWI728478B (zh) 含矽及氮膜的製造方法
TW202348590A (zh) 用於碳摻雜的含矽膜的組合物及使用其的方法
CN117980534A (zh) 用于包含硅和硼的膜的组合物及其使用方法