JP2020511796A - 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物 - Google Patents

強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物 Download PDF

Info

Publication number
JP2020511796A
JP2020511796A JP2019550704A JP2019550704A JP2020511796A JP 2020511796 A JP2020511796 A JP 2020511796A JP 2019550704 A JP2019550704 A JP 2019550704A JP 2019550704 A JP2019550704 A JP 2019550704A JP 2020511796 A JP2020511796 A JP 2020511796A
Authority
JP
Japan
Prior art keywords
hafnium
bis
dimethylamino
ethylmethylamino
tetrakis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019550704A
Other languages
English (en)
Other versions
JP6920457B2 (ja
Inventor
レイ シンチエン
レイ シンチエン
アール.マクドナルド マシュー
アール.マクドナルド マシュー
ム−ソン キム
ム−ソン キム
イ ソ−ウォン
イ ソ−ウォン
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー, バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2020511796A publication Critical patent/JP2020511796A/ja
Priority to JP2021120485A priority Critical patent/JP7202423B2/ja
Application granted granted Critical
Publication of JP6920457B2 publication Critical patent/JP6920457B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Silicon Polymers (AREA)

Abstract

1つの側面において、本発明は、ヒドロキシル基を有する所与の表面上に、ケイ素含有フラグメントとハフニウム含有フラグメントの両方を固定して、強誘電体材料に好適な0.5〜8モル%のケイ素ドーピング量を有するケイ素ドープ酸化ハフニウムを堆積させる、有機アミノハフニウム及び有機アミノシラン前駆体化合物の両方を含む配合物である。別の側面において、本発明は、該配合物を用いて強誘電体材料としてケイ素ドープ酸化ハフニウム膜を堆積させるための方法及び装置である。

Description

発明の分野
本発明は、電気メモリ用途に関する強誘電体材料としてのケイ素ドープ酸化ハフニウムを堆積させるのに用いることのできる配合物に関する。
発明の背景
本開示に記載されるのは、熱原子層堆積(ALD)又はプラズマ増強原子層堆積(PEALD)プロセス、サイクリック化学気相堆積、プラズマ増強サイクリック化学気相堆積、又はこれらの組み合わせを介してケイ素ドープ酸化ハフニウムを堆積させる新規の配合物又は組成物(これらは交換可能である)、方法及びこれを含む装置である。
より詳細には、本開示に記載されるのは、約600℃又はそれより低い、例えば約200℃〜約350℃などの1つ又はそれより多くの堆積温度における、2〜6モル%の範囲のケイ素ドーピング量を有するケイ素ドープ酸化ハフニウムの形成のための組成物、方法及び装置である。
原子層堆積(ALD)及びプラズマ増強原子層堆積(PEALD)は、超サイクルアプローチ、すなわち、得られるナノラミネートをアニールして斜方晶相に結晶化させると強誘電体材料を与える、酸化ハフニウムの多くのサイクル、それに次ぐケイ素ドーパントの量を制御する1つ又は幾つかの酸化ケイ素のサイクルを用いて、ケイ素ドープ酸化ハフニウムを堆積させるのに用いられる現在の方法である。
ALD及びPEALDプロセスの両方において、前駆体及び反応性ガス(酸素、酸素プラズマ、オゾン、又は水等)は、あるサイクル数で個別にパルス化され、各超サイクルにおいて酸化ハフニウムの複数層及び酸化ケイ素の単層を形成する。しかし、ケイ素ドーパントは結晶格子に均一に分布しない場合があり、このことは、半導体応用において強誘電体材料の性能に悪影響を及ぼす可能性がある。これを改善するために、1つの可能な解決策は、各ALD又はPEALDサイクルにおいて、酸化ケイ素及び酸化ハフニウムの両方を共堆積させることであり、これは、後の熱アニールにより、強誘電体材料として好適な適切な斜方晶相に結晶化するケイ素及びハフニウム原子のより良好な混合を可能にする。
既知の前駆体及び方法の例は、以下の出版物、特許及び特許出願において開示されている。
Claudia Richter,M.H.P.,Tony Schenk,Robin Materlik,Christopher Kuenneth,Alfred Kersch,Cheol Seong Hwang,Thomas Mikolajick,Uwe Schroeder(2016).Impact of ALD processing on non−volatile memory performance of ferroelectric HfO2 based capacitors.16th International Conference on Atomic Layer Deposition.24th−27th、2016年7月,Dublin,Ireland。
最近では、非中心対称性斜方晶相により生じる薄いドープハフニウム酸化物膜の強誘電性挙動が報告された[Boescke,T.S.,Mueller,J.,Braeuhaus,D.,Schroeder,U.及びBoettger,U.(2011).「ferroelectricity in hafnium oxide thin films.」Appl.Phys.Lett.99(10):102903/102901−102903/102903.]。
その後、不揮発性ストレージ層としてHfO2を用いる新規のメモリデバイスが提案された。この今までのところ未知の相の主要因を理解するための研究が続けられてきた。したがって、ドープHfO2薄膜の強誘電体特性及び結晶構造が研究された。強誘電体ランダムアクセスメモリ(FRAM(登録商標))キャパシタにおけるドープHfO2の実装後の不揮発性データストレージの重要なパラメータ:例えば漏れ電流及び誘電率などの典型的な誘電体特性と共に、残留分極、ウェイクアップ性能、耐久性、疲労及びインプリントが特徴づけられた。強誘電性のSiドープHfO2膜は、HfO2堆積(テトラキス(エチルメチルアミノ)ハフニウム/H2O)中のある量のSiOxサブサイクル(シランジアミン、N,N,N’,N’−テトラエチル/O2プラズマ)のパルシングにより処理された。単一のSiOxサブサイクルの位置が最適化された。下部電極に対する第一のSiOx層の21のHfO2サイクルの距離が、強誘電体層の類似のウェイクアップ挙動において、残留及び緩和分極(1秒後)の改善をもたらした。同時に、サイクル耐久性を10〜100倍に増加させることができた。強誘電体材料内のSiO2又はAl23中間層は、ドープHfO2厚さが最小厚さを超えている限り、キャパシタ構造の強誘電体メモリ特性をさらに改善することができた。全体的に、結果は、HfO2内の制限されたSi拡散を示唆し、アブイニシオシミュレーションは、1000℃のアニール後でさえ、強誘電性HfOの相安定性にドーピング分布と酸素空孔が影響することを確認した。
Hoffmann,M.,Schroeder,U.,Kuenneth,C.,Kersch,A.,Starschich,S.,Boettger,U.及びMikolajick,T.(2015).「Ferroelectric phase transitions in nanoscale HfO2 films enable giant pyroelectric energy conversion and highly efficient supercapacitors.」Nano Energy18:154−164。3.8〜5.6モル%のSi含有量を有する強誘電性ナノスケールTiN/Si:HfO2/TiNキャパシタにおける温度−及び電解誘起相転移が、エネルギー変換及び貯蔵応用に関して研究される。5.6モル%Si濃度の膜は、スーパーキャパシタに有用な広範な温度範囲に亘って約80%の非常に高い効率で約40J/cm3のエネルギー貯蔵d.を示す。さらに、温度依存強誘電性の常誘電体への相転移による、最大−1300μC/(m2K)の巨大な焦電係数が観察される。広い転移領域は、粒度分布に関係し、Si含有量により調節可能である。この強い焦電性は、最大0.591の電熱カップリング因子k2を生み出し、それは、これまで報告されている最もよい値よりも1桁以上高い。これにより、これまで報告されているオルセンサイクル当たり20.27J/cm3の最も高いハーベスティング可能なエネルギーd.で焦電エネルギーをハーベスティングすることが可能となる。IRセンシングにおける可能な応用が議論される。逆に、誘電熱量効果により、最大9.5Kの断熱温度変化及びサイクル当たり19.6J/cm3のこれまで報告されている最も高い冷媒容量が達成可能である。これにより、エネルギー効率の高いオンチップ電気熱量冷却デバイスを可能にすることができる。加えて、これらの膜の低コストでの作製は、既存の半導体プロセス技術によって実現可能である。
Mueller,S.,Summerfelt,S.R.,Mueller,J.,Schroeder,U.及びMikolajick,T.(2012).「Ten−nanometer ferroelectric Si:HfO2 films for next−generation FRAM capacitors.」IEEE Electron Device Lett.33(9):1300−1302。
SiドープHfO2薄膜(10nm)の強誘電特性が研究されてきた。このレターの焦点は、これらの薄膜の将来の3−D強誘電体ランダムアクセスメモリキャパシタへの潜在的な適用可能性を評価することである。偏光スイッチングは、185℃までの高温にて試験され、深刻な劣化は示さなかった。ドメインスイッチングダイナミクスは、パルススイッチング試験により電気的に特徴づけられ、Kolmogorov−Avrami型スイッチングに従わなかった。核生成制限スイッチングは、これらの新しい種類の強誘電体薄膜に適用可能であると提案されている。さらに、同状態及び逆状態の保持試験が、125℃にて20時間まで実施された。800℃にて予めアニールされたサンプルが、書かれた状態及び逆状態の改善された保持を示したことがわかった。加えて、疲労測定が実施され、106回のプログラミング及び3Vにおける消去サイクルについて劣化は起きなかった。
Mueller,S.F.,Yurchuk,E.及びSchroeder,U.(2014))「Ferroelectric memory cells for integrated circuits.」米国特許第9053802号。
集積回路は、強誘電体メモリセルを含む。タイトル強誘電体メモリセルは、第一の酸化物ストレージ層と、第二の酸化物ストレージ層と、第一及び第二の酸化物ストレージ層間に配置されたアモルファス層とを含む。第一及び第二の酸化物ストレージ層の各々は、少なくとも部分的に強誘電状態である強誘電体材料を含み、主成分として、酸素と、Hf、Zr、及び(Hf、Zr)からなる群のいずれかとをさらに含む。
Park,J.U.,Kim,J.Y.,Cho,B.Y.,Yoo,G.H.,Chae,S.D.,Kim,Y.S.,Cho,Y.J.,Choi,H.M.及びHwang,G.H.(2012))「Organometallic compounds containing silylamines useful as precursors with good thermal stability for metal oxide or silicon−containing metal oxide deposition.」韓国特許第101284664号。
この発明は、シリルアミン配位子(R12N)3−xM(L)(NR3SiR456x(式中、M=Si、Ge、Ti、Zr又はHf;L=ハライド、C1〜6アルキル、又はシクロペンタジエニル;R1~6=独立してH、C1〜6アルキル、又はSiR121314;R12、R13、R14=独立してH又はC1〜6アルキル;及びx=0、1、2又は3である。)を有する有機金属化合物に関する。
Park,M.H.,Lee,Y.H.,Kim,H.J.,Kim,Y.J.,Moon,T.,Kim,K.D.,Mueller,J.,Kersch,A.,Schroeder,U.,Mikolajick,T.及びHwang,C.S.(2015).「Ferroelectricity and Antiferroelectricity of Doped Thin HfO2−Based Films.」Adv. Mater.(Weinheim,Ger.)27(11):1811−1831。
Parkらは、HfO2ベース薄膜における強誘電性及び反強誘電性の進歩を教示する。ほとんどの強誘電体薄膜の研究は、不揮発性半導体メモリデバイスの実現可能な候補材料と考えられるPb(Zr、Ti)O3、BaTiO3、及びSrBi2Ta29等のペロブスカイト構造材料に重点を置いている。しかし、これらの従来の強誘電体材料は、低いSi適合性、Pbに関連する環境的な課題、大きい物理、厚さ、水素に対する低い抵抗性、及び小さいバンドギャップなどの種々の問題を抱えている。
2011年に、SiドープHfO2薄膜における強誘電性が初めて報告された。Si、Zr、Al、Y、Gd、Sr、及びLa等の種々のドーパントは、HfO2薄膜における強誘電性又は反強誘電性を誘起することができる。これらは、最大40μCcm-2の大きな残留分極を有し、その保磁力(約1〜2MVcm-1)は、おおよそ1桁従来の強誘電体膜より大きい。また、これらは、極端に薄い(<10mm)ことができ、大きなバンドギャップ(>5eV)を有することができる。これらの相違は、強誘電性電界効果トランジスタ及び三次元キャパシタなどのメモリ応用における従来の強誘電体の障壁を克服すると考えられる。また、電気的カップリング、及び反強誘電体薄膜の熱特性は、エネルギーハーベスティング/ストレージ、固体状態冷却、及びIRセンサなどの種々の応用に有用であると期待される。
当分野において、将来のメモリデバイス作製に用いられる強誘電体材料として、斜方晶相に熱アニールされることのできるケイ素ドープ酸化ハフニウム含有膜を堆積させるための前駆体及び方法に対する必要がある。
発明の概要
本発明は、ヒドロキシル基を有する所与の表面上にケイ素含有フラグメント及びハフニウム含有フラグメントの両方を固定して、0.5〜8モル%、好ましくは2〜6モル%、最も好ましくは3〜5モル%の範囲のケイ素ドーピング量を有するケイ素ドープ酸化ハフニウムを堆積させる有機アミノハフニウム及び有機アミノシラン前駆体化合物の両方を含む配合物又は組成物(配合物及び組成物は交換可能である)を提供することにより従来の前駆体及び方法に関連する問題を解決する。
1つの側面において、本発明は、
(a)RxSi(NR124-x(式中、Rはハライド(Cl、Br、I)から選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノシラン前駆体化合物と、
(b)LxHf(NR124-x(式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルから選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物と
を含むケイ素ドープ酸化ハフニウム膜を堆積させるための組成物である。
別の側面において、本発明は、
a)反応器に基材を与える工程と、
b)反応器に、(a)RxSi(NR124-x(式中、Rはハライド(Cl、Br、I)から選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノシラン前駆体化合物と、(b)LxHf(NR124-x(式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルから選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物とを含む組成物を導入する工程と、
c)反応器をパージガスでパージする工程と、
d)反応器に酸素含有源を導入する工程と、
e)反応器をパージガスでパージする工程と
を含む、基材上に強誘電体材料としてケイ素ドープ酸化ハフニウム膜を堆積させる方法であって、
工程b)からe)が、所望の厚さの膜が堆積されるまで繰り返され、方法が、約100℃〜600℃の範囲の温度にて実施される、方法である。
また、さらに別の側面において、本発明は、
反応器中の基材と、
(a)RxSi(NR124-x(式中、Rはハライド(Cl、Br、I)から選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノシラン前駆体化合物と、(b)LxHf(NR124-x(式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルから選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物とを含む組成物と
を含み、
100℃〜600℃の範囲の温度である、基材上にケイ素ドープ酸化ハフニウム膜を堆積させる装置である。
ケイ素ドープ酸化ハフニウム膜を堆積させるための組成物は、(c)溶媒をさらに含む。
また、1つの側面において、本発明は、
組成物又は溶媒を含む組成物を用いる容器又はコンテナであって、
組成物が、(a)RxSi(NR124-x(式中、Rはハライド(Cl、Br、I)から選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノシラン前駆体化合物;及び(b)LxHf(NR124-x(式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルから選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物のうちの少なくとも1種を含む、容器又はコンテナである。
本開示で開示される方法により用いることのできる好適な有機アミノシラン前駆体化合物の例としては、以下に制限されるものではないが、テトラキス(ジメチルアミノ)シラン(TDMAS)、テトラキス(ジエチルアミノ)シラン(TDEAS)、テトラキス(エチルメチルアミノ)シラン(TEMAS)、テトラキス(ピロリジノ)シラン、トリス(ジエチルアミノ)クロロシラン、トリス(エチルメチルアミノ)クロロシラン、1,4,6,9−テトラメチル−1,4,6,9−テトラアザ−5−シラスピロ[4.4]ノナン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)−2−メチルジシラザン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)ジシロキサン、及びこれらの組み合わせが挙げられる。
本開示で開示される方法により用いることのできる好適な有機アミノハフニウム前駆体化合物の例としては、以下に制限されるものではないが、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、テトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ピロリジノ)ハフニウム、シクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(CpHf(NMe23)、メチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(MeCpHf(NMe23)、エチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(EtCpHf(NMe23)、シクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(CpHf(NMeEt)3)、メチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(MeCpHf(NMeEt)3)、エチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(EtCpHf(NMeEt)3)、シクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(CpHf(NEt23)、メチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(MeCpHf(NEt23)、エチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(EtCpHf(NEt23)、ビス(シクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム(Cp2Hf(NMe22)、ビス(メチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((MeCp)2Hf(NMe22)、ビス(エチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((EtCp)2Hf(NMe22)、ビス(シクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム(Cp2Hf(NMeEt)2)、ビス(メチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((MeCp)2Hf(NMeEt)2)、ビス(エチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((EtCp)2Hf(NMeEt)2)、ビス(シクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((Cp2Hf(NEt22)、ビス(メチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((MeCp)2Hf(NEt23)、ビス(エチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((EtCp)2Hf(NEt22)、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン、1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、及びこれらの組み合わせが挙げられる。
例示的な溶媒としては、制限されるものではないが、エーテル、第三級アミン、アルキル炭化水素、芳香族炭化水素、シロキサン、第三級アミノエーテル、及びこれらの組み合わせを挙げることができる。
溶媒なしの配合物中の有機アミノシラン前駆体化合物の質量%は、0.10〜99.90質量%、又は0.10〜30.00質量%、0.10〜20.00質量%、0.10〜10.00質量%、又は5.00〜30.00質量%、5.00〜20.00質量%、5.00〜10.00質量%、0.10〜5.00質量%で変化することができる。
溶媒を含む配合物中の有機アミノシラン前駆体化合物の質量%は、0.01〜99.99質量%、又は10.00〜90.00質量%、又は20.00〜80.00質量%、又は30.00〜70.00質量%、又は40.00〜60.00質量%で変化することができる。
溶媒なしの配合物中の有機アミノハフニウム前駆体化合物の質量%は、0.10〜99.00質量%、又は0.10〜30.00質量%、0.10〜20.00質量%、0.10〜10.00質量%、又は5.00〜30.00質量%、5.00〜20.00質量%、5.00〜10.00質量%、0.10〜5.00質量%で変化することができる。
溶媒を含む配合物中の有機アミノハフニウム化合物の質量%は、0.10〜99.00質量%、又は10.00〜90.00質量%、又は20.00〜80.00質量%、又は30.00〜70.00質量%、又は40.00〜60.00質量%で変化することができる。
また、別の側面において、本発明は、開示の組成物、方法、及び装置を用いて堆積された、0.50〜8.00モル%、好ましくは2.00〜6.00モル%、最も好ましくは3.00〜5.00モル%の範囲のケイ素ドーピング量を有するケイ素ドープ酸化ハフニウム膜である。
また、さらに別の側面において、本発明は、開示の組成物、方法、及び装置を用いて堆積された、0.50〜8.00モル%、好ましくは2.00〜6.00モル%、最も好ましくは3.00〜5.00モル%の範囲のケイ素ドーピング量を有するケイ素ドープ酸化ハフニウム膜を含有する強誘電体材料である。
幾つかの実施態様において、組成物を、ケイ素含有膜に関する反応器チャンバへの直接液体注入を介して輸送することができる。
本発明の実施態様を、単独で、又は互いに組み合わせて用いることができる。
図面の簡単な説明
図1は、後の熱アニールにより、強誘電体材料に好適なケイ素ドープ酸化ハフニウムを生み出すナノラミネート構造を与える、いわゆる超サイクルALDプロセスを用いてケイ素ドープ酸化ハフニウムを堆積させる従来技術の方法を示す図を与える(「Impact of ALD processing on non−volatile memory performance of ferroelectric HfO2 based capacitors」16th International Conference on Atomic Layer Deposition.24th−27th、2016年7月,Dublin,Irelandを参照)。 図2は、後の熱アニールにより、強誘電体材料に好適なケイ素ドープ酸化ハフニウムを生み出す、ALD又はPEALDプロセスの各サイクルにおいて、ケイ素及びハフニウム原子の均一な混合をもたらす、ケイ素ドープ酸化ハフニウムを堆積させる本発明を示す図を与える。 図3は、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)中の種々の量のテトラキス(ジメチルアミノ)シラン(TDMAS)を含む配合物に関する、融点対有機アミノシラン濃度のグラフを与える。
発明の詳細な説明
本発明を記載する文脈において(特に以下の特許請求の範囲の文脈において)、「1つの(a)」、「1つの(an)」及び「その(the)」という用語並びに同様の指示語の使用は、本開示で別段の指摘がないか又は文脈によって明確に否定されない限り、単数及び複数の両方を包含すると解されるべきである。
「含む(comprising)」、「有する(having)」、「含む(including)」及び「含有する(containing)」という用語は、特に断りのない限り、オープンエンドの用語(すなわち、「含むが、制限されない」ことを意味する)として解されるべきである。本開示における値の範囲の記載は、本開示で別段の示唆がない限り、範囲内に含まれる各々の別個の値を個々に言及することの省略方法として機能することが意図されるに過ぎず、各々の別個の値は、それが本開示で個々に列挙されたかのように本明細書中に組み込まれる。
本開示に記載の全ての方法は、本開示で別段の示唆がないか又は文脈によって明確に否定されない限り、任意の適切な順序で実施することができる。本開示で提供される任意の及び全ての例又は例示的な語(例えば「等(such as)」)の使用は、本発明をより明らかにすることを意図したものに過ぎず、特許請求の範囲に別段の記載がない限り、本発明の範囲に関する限定を与えるものではない。
本明細書中の如何なる言語も、本発明の実施に必須であるように、特許請求の範囲に記載されていない任意の要素を示すものとして解釈されるべきではない。
本発明の実施に関して本発明者らが知っているベストモードを含む本発明の好ましい実施態様を本開示に記載する。これらの好ましい実施態様の変形は、前述の記載を読んだ当業者に明らかになるであろう。本発明者らは、当業者がそのような変形を適切に採用することを期待し、本発明者らは本開示に具体的に記載された以外の方法で本発明を実施することを意図する。したがって、本発明は、適用法によって許容されるように、本開示に添付の特許請求の範囲に記載の主題のすべての修正形態および均等物を含む。さらに、そのすべての可能な変形形態における上記の要素の任意の組み合わせは、本開示中に別段の示唆がないか、文脈によって明確に否定されない限り、本発明に包含される。
本発明は、当分野で既知の装置を用いて実施することができる。例えば、本発明の方法は、半導体製造分野において従来型である反応器を用いることができる。
原子層堆積(ALD)及びプラズマ増強原子層堆積(PEALD)は、超サイクルアプローチ、すなわち、図1に示されるように、得られるナノラミネートをアニールして斜方晶相に結晶化させると強誘電体材料を与える、酸化ハフニウムの多くのサイクル、それに次ぐケイ素ドーパントの量を制御する1つ又は幾つかの酸化ケイ素のサイクルを用いてケイ素ドープ酸化ハフニウムを堆積させるのに用いられる現在の方法である。ALD及びPEALDプロセスの両方において、前駆体及び反応性ガス(酸素、酸素プラズマ、オゾン、又は水等)は、あるサイクル数で個別にパルス化され、各超サイクルにおいて酸化ハフニウムの複数層及び酸化ケイ素の単層を形成する。しかし、ケイ素ドーパントは結晶格子に均一に分布しない場合があり、このことは、半導体応用において強誘電体材料の性能に悪影響を及ぼす可能性がある。これを改善するために、1つの可能な解決策は、図2に示されるように、各ALD又はPEALDサイクルにおいて、酸化ケイ素及び酸化ハフニウムの両方を共堆積させることであり、これは、後の熱アニールにより、強誘電体材料として好適な適切な斜方晶相に結晶化するケイ素及びハフニウム原子のより良好な混合、及びSi−O−Hf、又はHf−O−Si結合を作り出すことを可能にする。
酸化ハフニウムは、単斜晶、正方晶、及び斜方晶の3種の異なる結晶相で存在することがよく知られている。単斜晶及び正方晶相の両方は、半導体産業において高誘電率材料として考えられてきた。薄膜における結晶化は、正方晶相における核生成と、結晶成長中の単斜晶相へのマルテンサイト変態により進行する傾向がある。この相変態には、単位セルの堆積膨張及びせん断が関与する。十分なSiO2(5〜10モル%)の混合物は、HfO2中の正方晶相を安定化することが見出されている。加えて、HfO2薄膜の結晶化中の上部電極の存在は、単斜晶相の割合の低減と、誘電率の大きな増加をもたらすことも報告された。ケイ素ドーピング量が2.00〜6.00モル%の範囲である場合、単斜晶相の形成は、結晶化が機械的カプセル化の下で起こる場合に抑制され、斜方晶相が得られる。この相は、明確な圧電応答を示し、一方で分極測定は、1MV/cmの保磁力にて10μC/cm2を超える残留分極を示し、この相が強誘電性であることを示唆する。本発明において開示される配合物組成物は、発明の背景において記載されたものと比較して、酸化ハフニウムへのより良好な均一なケイ素ドーピングを可能にし、したがって、前駆体合成のコスト又は利便性、熱安定性、融点、適合性、反応性、又は揮発性などの前駆体の物理的特性、ケイ素ドープ酸化ハフニウムを堆積させる方法、前駆体輸送のコスト又は利便性、ケイ素ドーピングの量を制御する能力、ケイ素ドーピングの再現性及び均一性、又は重要なことに、強誘電体材料として好適な堆積したケイ素ドープ酸化ハフニウム膜の特性に関する1つ又はそれより多くの側面において利点を提供することができる。
いかなる理論又は説明により束縛されることは望まないが、本発明の配合物の有効性は、有機アミノシラン前駆体の質量パーセンテージを調整することにより、酸化ハフニウムへのケイ素原子の適切なドーピングを可能にすることができ、特に、有機アミノシラン前駆体が、有機アミノハフニウム前駆体と同じ有機アミノ基を有することにより、両方の前駆体は互いに化学的に互換可能となる、すなわち、ストレージ又は使用中の組成変化はないが、ヒドロキシル基に対する異なる反応性を有する。酸化ハフニウム膜におけるケイ素ドーピングは、異なる混合可能なケイ素及びハフニウム前駆体の反応性の変更に基づいて調節されることもできる。例えば、より反応性に乏しい有機アミノシランと、より反応性の有機アミノハフニウム前駆体とを含む配合物を用いて、ケイ素ドープ酸化ハフニウム膜におけるより低いケイ素ドーピング量を達成することができる。同様に、より反応性に乏しい有機アミノシランと、より反応性の有機アミノハフニウム前駆体とを含む配合物を用いて、ケイ素ドープ酸化ハフニウム膜におけるより高いケイ素ドーピング量を達成することができる。また、ケイ素ドープ酸化ハフニウム材料におけるケイ素ドーピング量を、有機アミノシラン及び有機アミノハフニウム成分の反応性を変更することに基づいて、堆積温度を変更することにより調節することができると考えられる。
好ましい実施態様において、少なくとも1種の有機アミノシラン前駆体及び少なくとも1種の有機アミノハフニウム前駆体は、同じ有機アミノ基を有し、したがってストレージ又は使用中に配合物を安定にする。すなわち、組成変化がない。配合物等の例としては、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウム、テトラキス(エチルメチルアミノ)シラン及びテトラキス(エチルメチルアミノ)ハフニウムを含む配合物が挙げられる。別の好ましい実施態様において、少なくとも1種の有機アミノシラン前駆体及び少なくとも1種の有機アミノハフニウム前駆体は、シクロペンタジエニル又はアルキル置換シクロペンタジエニル、及び有機アミノ基等の異なる配位子を有するが、異なる配位子は互換性がある。すなわち、ストレージ又は使用中に2つの配位子間の交換反応はない。配合物等の例としては、テトラキス(ジメチルアミノ)シランとメチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(MeCpHf(NMe23)、テトラキス(ジメチルアミノ)シランとエチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(EtCpHf(NMe23)、テトラキス(エチルメチルアミノ)シランとシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(CpHf(NMeEt)3)、テトラキス(エチルメチルアミノ)シランとメチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(MeCpHf(NMeEt)3)、テトラキス(エチルメチルアミノ)シランとエチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(EtCpHf(NMeEt)3)を含む配合物が挙げられる。
1つの側面において、ケイ素ドープ酸化ハフニウム膜を堆積させるための組成物は、(a)RxSi(NR124-x(式中、Rはハライド(Cl、Br、I)から選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノシラン前駆体化合物;及び(b)LxHf(NR124-x(式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルから選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物のうちの少なくとも1種を含む。
さらに別の側面において、
a)反応器に基材を与える工程と、
b)反応器に、(a)RxSi(NR124-x(式中、Rはハライド(Cl、Br、I)から選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1、2である。)の式を有する少なくとも1種の有機アミノシラン前駆体化合物と、(b)LxHf(NR124-x(式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルから選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物とを含む組成物を導入する工程と、
c)反応器をパージガスでパージする工程と、
d)反応器に酸素含有源を導入する工程と、
e)反応器をパージガスでパージする工程と
を含む、基材上に強誘電体材料としてケイ素ドープ酸化ハフニウム膜を堆積させる方法であって、
工程b)からe)が、所望の厚さの膜が堆積されるまで繰り返され、方法が、約100℃〜600℃の範囲の温度にて実施される、方法が提供される。幾つかの実施態様において、工程d)における酸素含有源は、オゾン、酸素プラズマ等の他の酸素含有源が、シリコン又は窒化金属等の基材材料を潜在的に酸化する可能性があるため、水である。
さらに別の側面において、
a)反応器に基材を与える工程と、
b)LxHf(NR124-x(式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルから選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種のハフニウム前駆体化合物を導入する工程と、
c)反応器をパージガスでパージする工程と、
d)反応器に酸素含有源を導入する工程と、
e)反応器をパージガスでパージする工程と、
f)反応器に、(a)RxSi(NR124-x(式中、Rはハライド(Cl、Br、I)から選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1、2である。)の式を有する少なくとも1種の有機アミノシラン前駆体化合物と、(b)LxHf(NR124-x(式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルから選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物とを含む組成物を導入する工程と、
g)反応器をパージガスでパージする工程と、
h)反応器に酸素含有源を導入する工程と、
i)反応器をパージガスでパージする工程と、
を含む、基材上に強誘電体材料としてケイ素ドープ酸化ハフニウム膜を堆積させる方法であって、
工程b)からe)が、所望の厚さの膜が堆積されるまで繰り返され、方法が、約100℃〜600℃の範囲の温度にて実施される、方法が提供される。オゾン、酸素プラズマ等の他の酸素含有源が、シリコン又は窒化金属(すなわち窒化チタン)等の基材材料を潜在的に酸化する可能性があるため、幾つかの実施態様において、工程d)における酸素含有源は水であり、他の実施態様において、工程d)及びh)における酸素含有源は両方とも水である。
上述の方法の幾つかの実施態様において、組成物は、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含み、組成物中のテトラキス(ジメチルアミノ)シランは、制限されるものではないが、約2.00質量%、約3.00質量%、約4.00質量%、約5.00質量%、又は約10.00質量%、約20.00質量%、約30.00質量%、約40.00質量%、約50.00質量%、約60.00質量%、約70.00質量%、約80.00質量%、又は約90.00質量%の濃度を有する。
上述の方法の他の実施態様において、組成物は、テトラキス(エチルメチルアミノ)シラン及びテトラキス(エチルメチルアミノ)ハフニウムを含み、組成物中のテトラキス(エチルメチルアミノ)シランは、制限されるものではないが、約2.00質量%、約3.00質量%、約4.00質量%、約5.00質量%、又は約10.00質量%、約20.00質量%、約30.00質量%、約40.00質量%、約50.00質量%、約60.00質量%、約70.00質量%、約80.00質量%、又は約90.00質量%の濃度を有する。
さらに別の側面において、
反応器中の基材と、
(a)RxSi(NR124-x(式中、Rはハライド(Cl、Br、I)から選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノシラン前駆体化合物と、(b)LxHf(NR124-x(式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルから選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物とを含む組成物と
を含み、
100℃〜600℃の範囲の温度である、基材上にケイ素ドープ酸化ハフニウム膜を堆積させる装置が提供される。
別の側面において、ケイ素ドープ酸化ハフニウム膜を堆積させるための組成物は、(c)溶媒をさらに含む。
また、1つの側面において、本発明は、化合物又は溶媒を含む化合物を用いる容器又はコンテナであって、
化合物が、(a)RxSi(NR124-x(式中、Rはハライド(Cl、Br、I)から選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノシラン前駆体化合物;及び(b)LxHf(NR124-x(式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルから選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物からなる群から選択される少なくとも1種の前駆体化合物を含む、容器又はコンテナである。
本開示で開示される方法により用いることのできる好適な有機アミノシラン前駆体の例としては、以下に制限されるものではないが、テトラキス(ジメチルアミノ)シラン(TDMAS)、テトラキス(ジエチルアミノ)シラン(TDEAS)、テトラキス(エチルメチルアミノ)シラン(TEMAS)、テトラキス(ピロリジノ)シラン、トリス(ジメチルアミノ)クロロシラン、トリス(ジエチルアミノ)クロロシラン、トリス(エチルメチルアミノ)クロロシラン、1,4,6,9−テトラメチル−1,4,6,9−テトラアザ−5−シラスピロ[4.4]ノナン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)−2−メチルジシラザン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)ジシロキサン、及びこれらの組み合わせが挙げられる。
本開示で開示される方法により用いることのできる好適な有機アミノハフニウム前駆体の例としては、以下に制限されるものではないが、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、テトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ピロリジノ)ハフニウム、シクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(CpHf(NMe23)、メチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(MeCpHf(NMe23)、エチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(EtCpHf(NMe23)、シクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(CpHf(NMeEt)3)、メチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(MeCpHf(NMeEt)3)、エチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(EtCpHf(NMeEt)3)、シクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(CpHf(NEt23)、メチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(MeCpHf(NEt23)、エチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(EtCpHf(NEt23)、ビス(シクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム(Cp2Hf(NMe22)、ビス(メチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((MeCp)2Hf(NMe22)、ビス(エチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((EtCp)2Hf(NMe22)、ビス(シクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム(Cp2Hf(NMeEt)2)、ビス(メチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((MeCp)2Hf(NMeEt)2)、ビス(エチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((EtCp)2Hf(NMeEt)2)、ビス(シクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((Cp2Hf(NEt22)、ビス(メチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((MeCp)2Hf(NEt23)、ビス(エチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((EtCp)2Hf(NEt22)、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、及びこれらの組み合わせが挙げられる。
幾つかの実施態様において、方法において用いられる酸素含有源は、酸素プラズマ、オゾン、水蒸気、水蒸気プラズマ、不活性ガスを含むか含まない酸化窒素(例えばN2O、NO、NO2)プラズマ、酸化炭素(例えばCO2、CO)プラズマ、及びこれらの組み合わせからなる群から選択される源である。ある種の実施態様において、酸素源は、不活性ガスをさらに含む。これらの実施態様において、不活性ガスは、アルゴン、ヘリウム、窒素、水素、及びこれらの組み合わせからなる群から選択される。代わりの実施態様において、酸素源は不活性ガスを含まない。
本開示に記載の組成物のある種の実施態様において、例示的な溶媒としては、制限されるものではないが、エーテル、第三級アミン、アルキル炭化水素、芳香族炭化水素、シロキサン、第三級アミノエーテル、及びこれらの組み合わせを挙げることができる。
溶媒なしの配合物中の有機アミノシラン前駆体化合物の質量%は、0.10〜99.90質量%、又は0.10〜30.00質量%、0.10〜20.00質量%、0.10〜10.00質量%、又は5.00〜30.00質量%、5.00〜20.00質量%、5.00〜10.00質量%、0.10〜5.00質量%で変化することができる。
溶媒を含む配合物中の有機アミノシラン前駆体化合物の質量%は、0.10〜99.90質量%、又は10.00〜90.00質量%、又は20.00〜80.00質量%、又は30.00〜70.00質量%、又は40.00〜60.00質量%で変化することができる。
溶媒なしの配合物中の有機アミノハフニウム前駆体化合物の質量%は、0.10〜99.90質量%、又は0.10〜30.00質量%、0.10〜20.00質量%、0.10〜10.00質量%、又は5.00〜30.00質量%、5.00〜20.00質量%、5.00〜10.00質量%、0.10〜5.00質量%で変化することができる。
溶媒を含む配合物中の有機アミノハフニウム前駆体化合物の質量%は、0.10〜99.90質量%、又は10.00〜90.00質量%、又は20.00〜80.00質量%、又は30.00〜70.00質量%、又は40.00〜60.00質量%で変化することができる。
また、別の側面において、本発明は、開示の組成物、方法、及び装置を用いて堆積された、0.50〜8.00モル%、好ましくは2.00〜6.00モル%、最も好ましくは2.00〜5.00モル%の範囲のケイ素ドーピング量を有するケイ素ドープ酸化ハフニウム膜である。幾つかの実施態様において、強誘電体材料は、ハフニウム、ケイ素及び酸素を含む。他の実施態様において、強誘電体材料は、ハフニウム、ケイ素、酸素及び炭素を含む。炭素の含有量は、約1.00at%以下、約0.50at%以下、約0.10at%以下、約0.01at%以下であることができる。さらに別の実施態様において、強誘電体材料は、ハフニウム、ケイ素、酸素、炭素及び窒素を含む。炭素の含有量は、約1.00at%以下、約0.50at%以下、約0.10at%以下、約0.01at%以下であることができ、窒素の含有量は、約1.00at%以下、約0.50at%以下、約0.10at%以下、約0.01at%以下であることができる。
また、さらに別の側面において、本発明は、開示の組成物、方法、及び装置を用いて堆積された、0.50〜8.00モル%、好ましくは2.00〜6.00モル%、最も好ましくは3.00〜5.00モル%の範囲のケイ素ドーピング量を有するケイ素ドープ酸化ハフニウム膜を含有する強誘電体材料である。
幾つかの実施態様において、組成物を、ケイ素含有膜に関する反応器チャンバへの直接液体注入を介して輸送することができる。
本発明の実施態様を、単独で、又は互いに組み合わせて用いることができる。
記載を通して、「ケイ素ドーピング量」は、(Siat%)/(Siat%+Hfat%)、すなわち、XPS(X線光電子分光法)により測定された原子Siパーセンテージと原子Hfパーセンテージの合計で除した原子Siパーセンテージとして規定される。例えば、ケイ素ドープ酸化ハフニウム膜における3モル%のケイ素ドーピング量は、酸化ハフニウム材料において、100個のHf原子のうち3つがケイ素原子により置換されていることを意味し、したがって、ケイ素ドープ酸化ハフニウム膜におけるSi:Hfのモル比は3:97(3/(3+97)=3.00モル%のケイ素ドーピング量)である。この例において、HfO2における3.00モル%のケイ素ドーピング量は、XPSにより測定された1.00at%の全体のSi含有量に等しい。したがって、0.50〜8.00モル%のケイ素ドーピング量は、XPSにより測定された0.17at%〜2.67at%に対応し、2〜6モル%のケイ素ドーピング量は、XPSにより測定された0.67at%〜2.00at%に対応する。ケイ素ドーピング量は、最大2桁の小数点を有することができ、例えば酸化ハフニウム材料において、99個のHf原子のうち2つがケイ素原子により置換されている場合、ケイ素ドーピング量は2.02モル%と規定される。
記載を通して、「質量%」は、有機アミノシラン前駆体の質量/(有機アミノシラン前駆体の質量+有機アミノハフニウム前駆体の質量)、又は有機アミノシラン前駆体の質量/(有機アミノシラン前駆体の質量+有機アミノハフニウム前駆体の質量+溶媒の質量)として規定される。質量%は、最大2桁の小数点を有することができ、すなわち、0.10〜5.00質量%の範囲は、2桁の小数点を有する0.10〜5.00質量%のあらゆる質量パーセンテージを含む。
記載を通して、「約」という語は、パーセンテージ又は温度に関する値の前で用いられ、その値が最大10%のエラーバーを有することができることを示す。例えば、約10.00質量%は、9.00質量%〜11.00質量%の質量パーセンテージを含む。同様に、2質量%は、1.80〜2.20質量%のあらゆるパーセンテージを含む。
上記の式において、また、記載を通して、用語「アルキル」は、1〜10個の炭素原子を有する直鎖又は分岐鎖官能基を指す。例示的な直鎖アルキル基としては、以下に制限されるものではないが、メチル、エチル、プロピル、ブチル、ペンチル、及びヘキシル基が挙げられる。例示的な分岐鎖アルキル基としては、以下に制限されるものではないが、イソプロピル、イソブチル、sec−ブチル、tert−ブチル、イソペンチル、tert−ペンチル、イソヘキシル、及びネオヘキシルが挙げられる。ある種の実施態様において、アルキル基は、制限されるものではないが、これに結合したアルコキシ基、ジアルキルアミノ基、又はこれらの組み合わせ等の、これに結合した1つ又はそれより多くの官能基を有することができる。他の実施態様において、アルキル基は、これに結合した1つ又はそれより多くの官能基を有さない。アルキル基は飽和であることができ、代わりに、不飽和であることができる。
ある種の実施態様において、式中の置換基R1及びR2は、共に結合して環構造を形成することができる。当業者が理解するように、R1及びR2は、共に結合して環を形成する。これらの実施態様において、環構造は、不飽和、例えば環状アルキル環であることができ、または飽和、例えばアリール環であることができる。さらに、これらの実施態様において、環構造は、1つ又はそれより多くの原子又は基により置換されることもでき、または置換されないこともできる。例示的な環状環基としては、以下に制限されるものではないが、ピロリジノ、ピペリジノ、及び2,6−ジメチルピペリジノ基が挙げられる。しかし、他の実施態様において、置換基R1及びR2は、環構造を形成するように結合していない。
記載を通して、用語「有機アミノ基」はR12N−を指し、式中、R1及びR2は、独立して直鎖又は分岐鎖C1〜C6アルキルから選択される。幾つかの場合において、R1及びR2は、結合して環状環構造を形成し、他の場合において、R1及びR2は、環状環構造を形成するように結合しない。R1及びR2が、環状環構造を形成するように結合していない例示的な有機アミノ基としては、制限されるものではないが、ジメチルアミノ、エチルメチルアミノ、ジエチルアミノが挙げられる。R1及びR2が結合して環状環構造を形成している例示的な有機アミノ基としては、制限されるものではないが、ピロリジノ(R1=プロピル、R2=Me)、ピペリジノ(R1=プロピル、R2=Et)、2,6−ジメチルピペリジノ(R1=イソプロピル、R2=sec−ブチル)、2,5−ジメチルピロリジノジラン(式中、R1=R2=イソプロピル)が挙げられる。
記載を通して、用語「芳香族炭化水素」は、C6〜C20芳香族炭化水素を指す。例示的な芳香族炭化水素nとしては、制限されるものではないが、トルエン、メシチレンが挙げられる。
記載を通して、用語「アルキル置換シクロペンタジエニル」は、シクロペンタジエニルに結合した直鎖又は分岐鎖C1〜C6炭化水素を指す。例示的なアルキル置換シクロペンタジエニル基としては、以下に制限されるものではないが、メチルシクロペンタジエニル、エチルシクロペンタジエニル、イソプロピルシクロペンタジエニル、sec−ブチルシクロペンタジエニル、及びtert−ブチルシクロペンタジエニルが挙げられる。幾つかの特定の実施態様において、アルキル基は、ハフニウムに配位することのできる窒素原子を有する。たとえば例示的なアルキルとしては、以下に制限されるものではないが、N−メチル−2,4−シクロペンタジエン−1−エタンアミン、N−エチル−2,4−シクロペンタジエン−1−エタンアミンが挙げられる。係るアルキル置換シクロペンタジエニル基を有する有機アミノハフニウムとしては、以下に制限されるものではないが、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウムが挙げられる。
記載を通して、用語「組成物」又は「配合物」は交換可能である。配合物は、(a)RxSi(NR124-x(式中、Rはハライド(Cl、Br、I)から選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノシラン前駆体化合物;及び(b)LxHf(NR124-x(式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルから選択され、R1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキル(式中、R1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合しない。)から選択され、x=0、1又は2である。)の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物からなる群から選択され、任意選択的に、「組成物」又は「配合物」は、溶媒をさらに含む。
上記の1つ又はそれより多くの実施態様において、酸素含有源は、酸素プラズマ、オゾン、水蒸気、水蒸気プラズマ、不活性ガスを含むか含まない酸化窒素(例えばN2O、NO、NO2)プラズマ、酸化炭素(例えばCO2、CO)プラズマ、及びこれらの組み合わせからなる群から選択される源である。
ある種の実施態様において、酸素含有源は、不活性ガスをさらに含む。これらの実施態様において、不活性ガスは、アルゴン、ヘリウム、窒素、水素、及びこれらの組み合わせからなる群から選択される。
代わりの実施態様において、酸素含有源は不活性ガスを含まない。
記載を通して、用語「ALD又はALD様」は、制限されるものではないが、以下のプロセスを含むプロセスを指す:a)ケイ素前駆体及び反応性ガスを含む各反応物が、シングルウェハALD反応器、セミバッチALD反応器、又はバッチファーネスALD反応器等の反応器に逐次的に導入される、b)ケイ素前駆体及び反応性ガスを含む各反応物が、基材を反応器の異なるセクションに移動させるか回転させることにより基材に曝露され、各セクションは、不活性ガスカーテンにより分離されている、すなわち、空間ALD反応器又はロール・ツー・ロールALD反応器。ALD又はALD様プロセスの典型的なサイクルは、上述の少なくとも4つの工程を含む。
ある種の実施態様において、本開示に記載の方法を用いて堆積されたケイ素ドープ酸化ハフニウム膜は、オゾン、水(H2O)(例えば脱イオン水、純水、及び/又は蒸留水)、酸素(O2)、酸素プラズマ、NO、N2O、NO2、一酸化炭素(CO)、二酸化炭素(CO2)、及びこれらの組み合わせを含む酸素含有源の存在下で形成される。
酸素含有源を、例えばインサイチュー又は遠隔プラズマ発生器に通して、酸素プラズマ、酸素及びアルゴンを含むプラズマ、酸素及びヘリウムを含むプラズマ、オゾンプラズマ、水プラズマ、亜酸化窒素プラズマ、又は二酸化炭素プラズマ等の酸素を含む酸素含有プラズマ源を与える。
ある種の実施態様において、酸素含有源は、約1〜約2000標準立方センチメートル毎分(sccm)又は約1〜約1000sccmの範囲の流速にて反応器に導入される酸素源ガスを含む。
酸素含有源を、約0.1〜約100秒の範囲の時間で導入することができる。
1つの特定の実施態様において、酸素含有源は、10℃又はそれより高い温度を有する水を含む。
膜が、PEALD又はプラズマ増強サイクリックCVDプロセスにより堆積される実施態様において、前駆体パルスは、ALD反応器の容積に応じて0.01秒超(例えば、約0.01〜約0.1秒、約0.1〜約0.5秒、約0.5〜約10秒、約0.5〜約20秒、約1〜約100秒)のパルス持続時間を有することができ、酸素含有源は、0.01秒未満(例えば約0.001〜約0.01秒)のパルス持続時間を有することができる。
本開示に開示される堆積方法には、1つ又はそれより多くのパージガスが関与することができる。消費されていない反応物、及び/又は反応副生成物を清め落とすために用いられるパージガスは、前駆体と反応しない不活性ガスである。
例示的なパージガスとしては、以下に制限されるものではないが、アルゴン(Ar)、窒素(N2)、ヘリウム(He)、ネオン、水素(H2)、及びこれらの混合物が挙げられる。ある種の実施態様において、Ar等のパージガスは、約0.1〜1000秒間、約10〜約2000sccmの範囲の流速にて反応器に供給され、これにより、反応器に残っている場合がある未反応の材料及び任意の副生成物をパージする。
前駆体、酸素源、及び/又は他の前駆体、源ガス、及び/又は反応物を供給するそれぞれの工程を、その供給時間を変更することにより実施して、得られる誘電体膜の化学量論的組成を変更することができる。
少なくとも1種のケイ素前駆体/配合物、酸素含有源、又はこれらの組み合わせにエネルギーを適用して反応を誘起し、基材上にケイ素ドープ酸化ハフニウムを形成し、次いで得られた膜を強誘電体材料として好適な斜方晶形態に変換する。
係るエネルギーを、以下に制限されるものではないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子線、フォトン、遠隔プラズマ法、及びこれらの組み合わせにより与えることができる。熱アニールを、最大1000℃の温度にて実施することができる。
ある種の実施態様において、二次RF周波数源を用いて、基材表面におけるプラズマ特性を修正することができる。
堆積にプラズマが関与する実施態様において、プラズマ発生プロセスは、プラズマを反応器内で直接的に発生させる直接プラズマ発生プロセス、またはプラズマを反応器の外側で発生させて、反応器に供給する遠隔プラズマ発生プロセスを含むことができる。
少なくとも1種の配合物化合物を、種々の方法でプラズマ増強サイクリックCVD又はPEALD反応器、又はバッチファーネス型反応器等の反応チャンバに輸送することができる。
1つの実施態様において、液体輸送装置を利用することができる。
別の実施態様において、ケイ素ドープ酸化ハフニウムを堆積させるための、少なくとも1種の有機アミノシラン前駆体化合物、及び/又は少なくとも1種の有機アミノハフニウム前駆体化合物、及び/又は溶媒を含む組成物を用いる容器又はコンテナが、本開示で記載される。
1つの特定の実施態様において、容器又はコンテナ(容器及びコンテナは交換可能である)は、1つ又はそれより多くの前駆体を、CVD又はALDプロセス等の堆積プロセスのための反応器に輸送することを可能にする適切なバルブ及び付属品(フィッティング)が取り付けられた少なくとも1つの加圧可能な(好ましくはステンレス鋼の)容器を含む。この、又は他の実施態様において、少なくとも1種の有機アミノシラン前駆体化合物と、少なくとも1種の有機アミノハフニウム前駆体化合物とを含む組成物は、ステンレス鋼で構成された加圧可能な容器内に提供され、前駆体の純度は、大部分の半導体応用に好適である98質量%以上、又は99.5%以上であり、少なくとも1種の不活性ガスは、アルゴン(Ar)、窒素、(N2)、ヘリウム(He)、ネオン、及びこれらの組み合わせからなる群から選択される。
ある種の実施態様において、係る容器は、望ましい場合には、1種又はそれより多くの追加の前駆体と共に前駆体を混合する手段を有することもできる。これらの、又は他の実施態様において、1つ又は複数の容器の内容物は、追加の前駆体と予め混合されることができる。
ある種の実施態様において、組成物コンテナから反応チャンバに接続するガスラインは、プロセス要求に応じて1つ又はそれより多くの温度に加熱され、本開示に記載の組成物のコンテナは、バブリングの間、1つ又はそれより多くの温度にて維持される。他の実施態様において、本開示に記載の少なくとも1種の有機アミノシラン前駆体化合物と、少なくとも1種の有機アミノハフニウム前駆体化合物とを含む組成物は、直接液体注入の間、1つ又はそれより多くの温度にて維持された気化器に注入される。
代わりの実施態様において、例えばミネソタ州ショアビューのMSPコーポレーションにより製造されたターボ気化器等の複合の液体輸送及びフラッシュ気化プロセスユニットを用いて、低揮発性材料を体積輸送することを可能にすることができ、このことは、前駆体の熱分解なく、再現可能な移送及び堆積をもたらす。
液体輸送配合物において、本開示に記載の前駆体を、ニートの液体形態で輸送することができ、または溶媒配合物又はこれを含む組成物で用いることができる。したがって、ある種の実施態様において、前駆体配合物は、基材上に膜を形成するための所与の最終用途において、望ましく、有利である場合がある好適な特性の1種又は複数種の溶媒成分を含むことができる。
上述のように、配合物における少なくとも1種の有機アミノシラン、又は有機アミノハフニウム前駆体化合物の純度は、信頼性のある半導体製造に関して許容可能であるように十分に高い。ある種の実施態様において、本開示に記載の少なくとも1種の有機アミノシラン前駆体化合物は、2質量%未満、又は1質量%未満、又は0.5質量%未満の1種又はそれより多くの以下の不純物:遊離アミン、遊離ハライド又はハロゲンイオン、及びより分子量の高い種を含む。本開示に記載のケイ素前駆体のより高い純度を、以下のプロセス:精製、吸着、及び/又は蒸留の1つ又はそれより多くにより得ることができる。
本発明による有機アミノシラン又は有機アミノハフニウム前駆体化合物、及び/又は有機アミノシラン又は有機アミノハフニウム前駆体化合物を含む組成物は、好ましくはハロゲン化物イオンを実質的に含まない。本開示で用いられる用語「実質的に含まない」は、それが塩化物、及びフッ化物、臭化物、及びヨウ化物等のハロゲン化物イオン(又はハライド)を指す場合、5ppm(質量)未満、好ましくは3ppm未満、より好ましくは1ppm未満、最も好ましくは0ppmを意味する。塩化物は、有機アミノシランの分解触媒として働くことが知られている。最終生成物中のかなりの量の塩化物は、有機アミノシラン前駆体化合物の分解を引き起こす可能性がある。有機アミノシランの緩やかな分解は、膜堆積プロセスに直接的に影響を及ぼす場合があり、半導体製造者が膜仕様を満たすことを困難にし得る。加えて、保存可能期間又は安定性は、有機アミノシランのより高い分解速度により悪影響を受け、それによって1〜2年の保存可能期間を保証することが困難になる。
本開示に記載の方法の1つの実施態様において、PEALD様、又はPEALD等のプラズマ増強サイクリック堆積プロセスを、堆積が、少なくとも1種の有機アミノシラン前駆体化合物と、酸素含有源とを用いて実施される場合に用いることができる。PEALD様プロセスは、プラズマ増強サイクリックCVDプロセスとして規定されるが、依然として高コンフォーマルハフニウム、ケイ素、及び酸素含有膜を与える。
ある種の実施態様において、前駆体コンテナから反応チャンバに接続するガスラインは、プロセス要求に応じて1つ又はそれより多くの温度に加熱され、少なくとも1種の有機アミノシラン、及び/又は少なくとも1種の有機アミノハフニウム前駆体化合物を含む少なくとも1種の配合物のコンテナは、直接液体注入(DLI)の間、室温にて維持される。他の実施態様において、少なくとも1種の有機アミノシラン、及び/又は少なくとも1種の有機アミノハフニウム前駆体化合物を含む配合物は、直接液体注入の間、室温から約60℃の範囲の1つ又はそれより多くの温度にて維持された気化器に注入される。
アルゴン、及び/又は他のガスの流れは、少なくとも1種の有機アミノシラン、及び/又は少なくとも1種の有機アミノハフニウム前駆体化合物を含む少なくとも1種の配合物の蒸気を、前駆体パルシング中、反応チャンバに輸送するのを助けるキャリアガスとして用いることができる。
ある種の実施態様において、反応チャンバプロセス圧力は、約50mTorr〜10Torrである。他の実施態様において、反応チャンバプロセス圧力は、最大760Torr(例えば約50mTorr〜約100Torr)であることができる。
PECCVDプロセス等の典型的なPEALD又はPEALD様プロセスにおいて、酸化ケイ素基材等の基材は、反応チャンバ内のヒーター台上で加熱され、それは、有機アミノシラン、及び/又は有機アミノハフニウム前駆体化合物に最初に曝され、1種又は複数種の複合体が、基材の表面上に化学的に吸着することを可能にする。
アルゴン等のパージガスは、未吸収の過剰の複合体をプロセスチャンバから清め落とす。十分なパージングの後、酸素源を反応チャンバに導入して吸収された表面と反応させ、次いで別のガスをパージして、チャンバから反応副生成物を除去することができる。プロセスサイクルを繰り返して、所望の膜厚さを達成することができる。幾つかの場合において、ポンピングは、パージを不活性ガスと置換することができ、または両方を用いて未反応のケイ素前駆体を除去することができる。
この、又は他の実施態様において、本開示に記載の方法の工程は、種々の順序において実施されることができ、逐次的に実施されることができ、連続的に実施されることができ(例えば別の工程の少なくとも一部の間)、及びこれらの任意の組み合わせであることが理解される。前駆体及び酸素源ガスを供給するそれぞれの工程を、例えばその供給時間の継続時間を変更することにより実施して、得られる誘電体膜の化学量論的組成を変更することができる。また、前駆体又は酸化剤工程後のパージ時間は、スループットが改善されるように、<0.1秒に最小化されることができる。
シングルウェハ、セミバッチ、バッチファーネス、又はロール・ツー・ロール反応器等の種々の商業的なALD反応器を、ケイ素ドープ酸化ハフニウムを堆積させるために用いることができる。
本開示に記載の方法のプロセス温度は、端点として以下の温度:100℃、125℃、150℃、175℃、200℃、225℃、250℃、275℃、300℃、325℃、350℃、375℃、400℃、425℃、450℃、500℃、525℃、550℃、好ましくは200℃、225℃、250℃、275℃、300℃の1つ又はそれより多くを用いる。
例示的な温度範囲としては、以下に制限されるものではないが、約200℃〜約300℃、又は約100℃〜約300℃、又は約150℃〜約290℃、又は約125℃〜約280℃、又は約250℃〜約300℃が挙げられる。
本開示に記載の方法のさらなる実施態様において、ALD、ALD様、PEALD、又はPEALD様により堆積された膜、又は堆積されたままの膜は、処理工程(堆積後)に供され、強誘電体材料に好適な結晶相に変換される。処理工程を、堆積工程の少なくとも一部の間、堆積工程の後、及びこれらの組み合わせにおいて実施することができる。
例示的な後処理工程としては、制限されるものではないが、堆積されたままのケイ素ドープ酸化ハフニウムを斜方晶相に変換する、500〜1000℃、又は600〜900℃、又は600〜800℃の温度における急速熱アニール(RTA)、又はフラッシュランプアニール(FLA)等の高温熱アニールによる処理が挙げられる。熱処理を1つの工程又は複数の工程により実施することができる。プラスマ処理、紫外(UV)光処理、レーザー、電子線処理、及びこれらの組み合わせ等の他の後処理を用いて、膜の1つ又はそれより多くの特性に影響を与えることもできる。
1つの特定の実施態様において、堆積プロセス中、堆積されたままの膜は、間欠的に処理される。これらの間欠的な、又は中間堆積処理を、例えば各ALDサイクル後、ある数のALDサイクル毎の後、たとえば、制限されるものではないが、1つの(1)ALDサイクル、2つの(2)ALDサイクル、5つの(5)ALDサイクル毎の後、又は10個(10)又はそれより多くのALDサイクル毎の後に実施することができる。得られるケイ素ドープ酸化ハフニウムの厚さは、10Å〜500Å、又は30Å〜400Å、又は40Å〜200Å、又は40Å〜100Å、又は40Å〜80Åの範囲である。
上述のように、本開示に記載の方法を用いて、基材の少なくとも一部にケイ素ドープ酸化ハフニウム膜を堆積させることができる。好適な基材の例としては、制限されるものではないが、シリコン、SiO2、窒化チタン、窒化タングステン、窒化タンタル、窒化バナジウム、金属、たとえば銅、チタン、タングステン、コバルト、ルテニウム、白金、パラジウム、アルミニウム、及び強誘電体デバイスの作製において好適な任意の他の電極材料が挙げられる。
膜は、例えば化学機械平坦化(CMP)及び異方性エッチングプロセス等の種々の後のプロセス工程に適合する。
堆積した膜の用途としては、以下に制限されるものではないが、コンピュータチップ、光学デバイス、磁気情報ストレージ、支持材料又は基板上のコーティング、微小電気機械システム(MEMS)、ナノ電気機械システム、薄膜トランジスタ(TFT)、発光ダイオード(LED)、有機発光ダイオード(OLED)、IGZO、及び液晶ディスプレイ(LCD)が挙げられる。得られる固体ケイ素ドープ酸化ハフニウムの潜在的な用途としては、制限されるものではないが、シャロートレンチインサレーション、層間誘電体、不動態層、エッチングストップ層、デュアルスペーサーの一部、及びパターン化のための犠牲層が挙げられる。

以下の例において、別段の記載がない限り、特性は、基材として5〜20Ωcmの抵抗率のシリコンウェハ上に堆積されたサンプル膜から得られ、またはPVD TiNウェハは、基材としてTiN500Å/Ti50Å/熱SiO2 3000Å/Siサブ構造を有する。全ての膜堆積は、13.56MHz直接プラズマのシャワーヘッドデザインを有するCN−1反応器を用いて実施される。
典型的なプロセス条件において、別段の記載がない限り、チャンバ圧力は、約1〜約5Torrの範囲の圧力にて固定される。追加の不活性ガスを用いてチャンバ圧力が維持される。
配合物は、直接液体注入(DLI)装置(MSP社、米国)を用いて蒸気として輸送される。用いられる典型的なRF出力は、200mmウェハの電極面積に対して300Wである。膜堆積は、熱ALD及びプラズマ増強ALDに関して表1に記載される工程を含む。表1のaからdの工程は、1つのALD又はPEALDサイクルを構成し、別段の記載がない限り、所望の膜厚さが得られるように、合計で100又は200又は300又は500回繰り返される。
反応指数(RI)及び堆積した膜の厚さは、エリプソメーターを用いて測定される。膜の不均一性は、標準方程式:%不均一性=((最大厚さ−最小厚さ)/(2*平均(avg)厚さ))を用いて算出される。膜構造及び組成物は、フーリエ変換赤外(FTIR)分光法、及びX線光電子分光法(XPS)を用いて分析される。膜の密度は、X線反射率法(XRR)を用いて分析される。
例1.テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物における約5質量%のテトラキス(ジメチルアミノ)シラン、並びに酸素含有源としてオゾンを用いたALDケイ素ドープ酸化ハフニウム
シリコンウェハを13.56MHz直接プラズマのシャワーヘッドデザインを備えるCN‐1反応器に入れ、1tоrrのチャンバ圧力で、200℃、又は250℃、又は300℃に加熱した。配合物前駆体として、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物中の5質量%のテトラキス(ジメチルアミノ)シランが、噴霧器により、50mg/分のフローで、DLIを用いて反応器に蒸気として輸送された。
ALDサイクルは、表1に与えられたプロセス工程で構成され、以下のプロセスパラメータが用いられた:
a.反応器へ配合物前駆体の蒸気を導入する
アルゴンフロー:1000sccm
配合物前駆体パルス:1〜5秒
b.不活性ガスパージ
アルゴンフロー:1000sccm
パージ時間:20秒
c.オゾンを導入する
アルゴンフロー:1000sccm
オゾンパルス:5〜20秒
d.パージ
アルゴンフロー:1000sccm
パージ時間:20秒
工程aからdをあるサイクル数繰り返して、表2に示されるように、ケイ素ドーピング量3〜5モル%を有する、ある厚さのケイ素ドープ酸化ハフニウムを与えた。
例2.テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物における約5質量%のテトラキス(ジメチルアミノ)シラン、並びに酸素含有源として水を用いたALDケイ素ドープ酸化ハフニウム
シリコンウェハを13.56MHz直接プラズマのシャワーヘッドデザインを備えるCN‐1反応器に入れ、1tоrrのチャンバ圧力で、300℃に加熱する。配合物前駆体として、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物中の約5質量%のテトラキス(ジメチルアミノ)シランが、噴霧器により、50mg/分のフローで、DLIを用いて反応器に蒸気として輸送される。
ALDサイクルは、表1に与えられたプロセス工程で構成され、以下のプロセスパラメータが用いられる:
a.反応器へ配合物前駆体の蒸気を導入する
アルゴンフロー:1000sccm
配合物前駆体パルス:1〜5秒
b.不活性ガスパージ
アルゴンフロー:1000sccm
パージ時間:20秒
c.水蒸気を導入する
アルゴンフロー:1000sccm
水パルス:1〜10秒
d.パージ
アルゴンフロー:1000sccm
パージ時間:20秒
工程aからdをあるサイクル数繰り返して、0.5〜8モル%、好ましくは2〜6モル%、最も好ましくは3〜5モル%の範囲のケイ素ドーピング量を有する、ある厚さのケイ素ドープ酸化ハフニウムを与える。
例3.テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物における約10質量%のテトラキス(ジメチルアミノ)シラン、並びに酸素含有源として水を用いたALDケイ素ドープ酸化ハフニウム
シリコンウェハを13.56MHz直接プラズマのシャワーヘッドデザインを備えるCN‐1反応器に入れ、1tоrrのチャンバ圧力で、300℃に加熱する。配合物前駆体として、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物中の約10質量%のテトラキス(ジメチルアミノ)シランが、噴霧器により、50mg/分のフローで、DLIを用いて反応器に蒸気として輸送される。
ALDサイクルは、表1に与えられたプロセス工程で構成され、以下のプロセスパラメータが用いられる:
a.反応器へ配合物前駆体の蒸気を導入する
アルゴンフロー:1000sccm
配合物前駆体パルス:1〜5秒
b.不活性ガスパージ
アルゴンフロー:1000sccm
パージ時間:20秒
c.水蒸気を導入する
アルゴンフロー:1000sccm
水パルス:1〜10秒
d.パージ
アルゴンフロー:1000sccm
パージ時間:20秒
工程aからdをあるサイクル数繰り返して、0.5〜8モル%、好ましくは2〜6モル%、最も好ましくは3〜5モル%の範囲のケイ素ドーピング量を有する、ある厚さのケイ素ドープ酸化ハフニウムを与える。
例4.テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物における約10質量%のテトラキス(ジメチルアミノ)シラン、並びに酸素含有源としてオゾンを用いたALDケイ素ドープ酸化ハフニウム
シリコンウェハを13.56MHz直接プラズマのシャワーヘッドデザインを備えるCN‐1反応器に入れ、1tоrrのチャンバ圧力で、300℃に加熱する。配合物前駆体として、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物中の10質量%のテトラキス(ジメチルアミノ)シランが、噴霧器により、50mg/分のフローで、DLIを用いて反応器に蒸気として輸送される。
ALDサイクルは、表1に与えられたプロセス工程で構成され、以下のプロセスパラメータが用いられる:
a.反応器へ配合物前駆体の蒸気を導入する
アルゴンフロー:1000sccm
配合物前駆体パルス:1〜5秒
b.不活性ガスパージ
アルゴンフロー:1000sccm
パージ時間:20秒
c.オゾンを導入する
アルゴンフロー:1000sccm
オゾンパルス:5〜10秒
d.パージ
アルゴンフロー:1000sccm
パージ時間:10秒
工程aからdをあるサイクル数繰り返して、0.5〜8モル%、好ましくは2〜6モル%、最も好ましくは3〜5モル%の範囲のケイ素ドーピング量を有する、ある厚さのケイ素ドープ酸化ハフニウムを与える。
例5.テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物における約5質量%のテトラキス(ジメチルアミノ)シラン、並びに酸素含有源として酸素プラズマを用いたALDケイ素ドープ酸化ハフニウム
シリコンウェハを13.56MHz直接プラズマのシャワーヘッドデザインを備えるCN‐1反応器に入れ、1tоrrのチャンバ圧力で、300℃に加熱する。配合物前駆体として、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物中の約5質量%のテトラキス(ジメチルアミノ)シランが、噴霧器により、50mg/分のフローで、DLIを用いて反応器に蒸気として輸送される。
ALDサイクルは、表1に与えられたプロセス工程で構成され、以下のプロセスパラメータが用いられる:
a.反応器へ配合物前駆体の蒸気を導入する
アルゴンフロー:1000sccm
配合物前駆体パルス:1〜5秒
b.不活性ガスパージ
アルゴンフロー:1000sccm
パージ時間:20秒
c.オゾンプラズマを導入する
アルゴンフロー:1000sccm
酸素プラズマパルス:2〜10秒
d.パージ
アルゴンフロー:1000sccm
パージ時間:20秒
工程aからdをあるサイクル数繰り返して、0.5〜8モル%、好ましくは2〜6モル%、最も好ましくは3〜5モル%の範囲のケイ素ドーピング量を有する、ある厚さのケイ素ドープ酸化ハフニウムを与える。
例6.テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物における約10質量%のテトラキス(ジメチルアミノ)シラン、並びに酸素含有源として酸素プラズマを用いたALDケイ素ドープ酸化ハフニウム
シリコンウェハを13.56MHz直接プラズマのシャワーヘッドデザインを備えるCN‐1反応器に入れ、1tоrrのチャンバ圧力で、300℃に加熱する。配合物前駆体として、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物中の約10質量%のテトラキス(ジメチルアミノ)シランが、噴霧器により、50mg/分のフローで、DLIを用いて反応器に蒸気として輸送される。
ALDサイクルは、表1に与えられたプロセス工程で構成され、以下のプロセスパラメータが用いられる:
a.反応器へ配合物前駆体の蒸気を導入する
アルゴンフロー:1000sccm
配合物前駆体パルス:1〜5秒
b.不活性ガスパージ
アルゴンフロー:1000sccm
パージ時間:20秒
c.酸素プラズマを導入する
アルゴンフロー:1000sccm
酸素プラズマパルス:2〜10秒
d.パージ
アルゴンフロー:1000sccm
パージ時間:20秒
工程aからdをあるサイクル数繰り返して、0.5〜8モル%、好ましくは2〜6モル%、最も好ましくは3〜5モル%の範囲のケイ素ドーピング量を有する、ある厚さのケイ素ドープ酸化ハフニウムを与えた。
例7.有機アミノシラン濃度に対する配合物融点の依存性
テトラキス(ジメチルアミノ)シラン(TDMAS)とテトラキス(ジメチルアミノ)ハフニウム(TDMAH)の混合割合を変更することにより、幾つかの配合物を作った。図3において示されるように、得られる配合物におけるテトラキス(ジメチルアミノ)シラン(TDMAS)の濃度を変更することにより、TDMASの濃度が増加するにつれて配合物の(示差走査熱量測定により測定される)融点が低下し、配合物の融点を直接液体注入による配合物の輸送により好適である30℃以下に調節することを可能にする。
例8.テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物における約13質量%のテトラキス(ジメチルアミノ)シランの熱安定性
テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物において約13質量%のテトラキス(ジメチルアミノ)シランを含む配合物の約1gを、窒素下、密閉されたステンレス鋼チューブ内で60℃にて7日間加熱した。1H及び13C NMR分光法による分析は、組成物において検出可能な分解又は変化を示さなかった。このことは、有機アミノシラン及び有機アミノハフニウムの両方が、同じアミノ基、すなわちジメチルアミノ基を有するため、配合物が安定であり、蒸着に好適であることを示す。
比較例8.トリス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む配合物
テトラキス(ジメチルアミノ)ハフニウムに種々の量のトリス(ジメチルアミノ)シランを加えることにより、幾つかの配合物を作った。得られた無色の液体配合物は、7日間60℃に加熱された。全ての混合物は黄色くなり、幾つかの場合において、沈殿物が形成された。
トリス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムが、テトラキス(ジメチルアミノ)シラン及びジメチルアミノハフニウムヒドリド種と一致する幾つかの他の不純物と共に、主成分として1H及び13C NMR分光法により同定された。
このことは、トリス(ジメチルアミノ)シラン中のSi−H及びテトラキス(ジメチルアミノ)ハフニウム中のHf−NMe2間でアミノ/ヒドリド交換が起こったことを示し、このことは、半導体作製中のプロセスドリフトを生じさせる可能性のある組成変化のために、配合物が蒸着には適していないことを示す。
上記の例及び実施態様の記載は、特許請求の範囲に規定される本発明を制限するものではなく、例として受け取られるべきである。容易に理解されるように、特許請求の範囲に記載の本発明から逸脱することなく、上記の特徴の多くの変更及び組み合わせを利用することができる。係る変更は、以下の特許請求の範囲の範囲内に含まれることが意図される。

Claims (30)

  1. 1)RxSi(NR124-x
    (式中、RはCl、Br、及びIからなる群から選択されるハライドであり、
    1及びR2は独立して有機アミノ基における直鎖又は分岐鎖C1〜C6アルキルから選択され、
    1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合せず、
    x=0、1又は2である。)
    の式を有する少なくとも1種の有機アミノシラン前駆体化合物と、
    (b)LxHf(NR124-x
    (式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルであり、
    1及びR2は独立して有機アミノ基における直鎖又は分岐鎖C1〜C6アルキルから選択され、
    1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合せず、
    x=0、1又は2である。)
    の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物と
    を含む、ケイ素ドープ酸化ハフニウム膜を堆積させるための組成物であって、
    前記組成物の融点が≦30℃である、組成物。
  2. 前記少なくとも1種の有機アミノシラン前駆体及び前記少なくとも1種の有機アミノハフニウム前駆体が、同じ有機アミノ基を有する、請求項1に記載の組成物。
  3. 前記少なくとも1種の有機アミノシラン前駆体化合物が、テトラキス(ジメチルアミノ)シラン(TDMAS)、テトラキス(ジエチルアミノ)シラン(TDEAS)、テトラキス(エチルメチルアミノ)シラン(TEMAS)、テトラキス(ピロリジノ)シラン、トリス(ジメチルアミノ)クロロシラン、トリス(ジエチルアミノ)クロロシラン、トリス(エチルメチルアミノ)クロロシラン、1,4,6,9−テトラメチル−1,4,6,9−テトラアザ−5−シラスピロ[4.4]ノナン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)−2−メチルジシラザン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)ジシロキサン、及びこれらの組み合わせからなる群から選択され、
    前記少なくとも1種の有機アミノハフニウム前駆体化合物が、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、テトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ピロリジノ)ハフニウム、シクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(CpHf(NMe23)、メチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(MeCpHf(NMe23)、エチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(EtCpHf(NMe23)、シクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(CpHf(NMeEt)3)、メチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(MeCpHf(NMeEt)3)、エチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(EtCpHf(NMeEt)3)、シクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(CpHf(NEt23)、メチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(MeCpHf(NEt23)、エチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(EtCpHf(NEt23)、ビス(シクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム(Cp2Hf(NMe22)、ビス(メチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((MeCp)2Hf(NMe22)、ビス(エチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((EtCp)2Hf(NMe22)、ビス(シクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム(Cp2Hf(NMeEt)2)、ビス(メチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((MeCp)2Hf(NMeEt)2)、ビス(エチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((EtCp)2Hf(NMeEt)2)、ビス(シクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((Cp2Hf(NEt22)、ビス(メチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((MeCp)2Hf(NEt23)、ビス(エチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((EtCp)2Hf(NEt22)、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、及びこれらの組み合わせからなる群から選択される、請求項1に記載の組成物。
  4. 前記少なくとも1種の有機アミノシラン前駆体化合物が、テトラキス(ジメチルアミノ)シラン及びテトラキス(エチルメチルアミノ)シランからなる群から選択され、前記少なくとも1種の有機アミノハフニウム前駆体化合物が、テトラキス(ジメチルアミノ)ハフニウム及びテトラキス(エチルメチルアミノ)ハフニウムからなる群から選択される、請求項1に記載の組成物。
  5. 前記少なくとも1種の有機アミノシラン前駆体化合物が、0.10〜99.90質量%、0.10〜30.00質量%、0.10〜20.00質量%、0.10〜10.00質量%、5.00〜30.00質量%、5.00〜20.00質量%、5.00〜10.00質量%、及び0.10〜5.00質量%からなる群から選択される範囲を有し、
    前記少なくとも1種の有機アミノハフニウム前駆体化合物が、0.10〜99.00質量%、0.10〜30.00質量%、0.10〜20.00質量%、0.10〜10.00質量%、5.00〜30.00質量%、5.00〜20.00質量%、5.00〜10.00質量%、及び0.10〜5.00質量%からなる群から選択される範囲を有する、請求項1に記載の組成物。
  6. エーテル、第三級アミン、アルキル炭化水素、芳香族炭化水素、シロキサン、第三級アミノエーテル、及びこれらの組み合わせからなる群から選択される(c)溶媒をさらに含み、
    前記少なくとも1種有機アミノシラン前駆体化合物が、0.10〜99.90質量%、10.00〜90.00質量%、20.00〜80.00質量%、30.00〜70.00質量%、及び40.00〜60.00質量%からなる群から選択される範囲を有し、
    前記少なくとも1種の有機アミノハフニウム前駆体化合物が、0.10〜99.00質量%、10.00〜90.00質量%、20.00〜80.00質量%、30.00〜70.00質量%、及び40.00〜60.00質量%からなる群から選択される範囲を有する、請求項1に記載の組成物。
  7. a)反応器に基材を与える工程と、
    b)前記反応器に、
    (i)RxSi(NR124-x
    (式中、RはCl、Br、及びIからなる群から選択されるハライドであり、
    1及びR2は独立して有機アミノ基における直鎖又は分岐鎖C1〜C6アルキルから選択され、
    1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合せず、
    x=0、1又は2である。)
    の式を有する少なくとも1種の有機アミノシラン前駆体化合物と、
    (ii)LxHf(NR124-x
    (式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルであり、
    1及びR2は独立して有機アミノ基における直鎖又は分岐鎖C1〜C6アルキルから選択され、
    1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合せず、
    x=0、1又は2である。)
    の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物と
    を含む組成物を導入する工程と、
    c)前記反応器をパージガスでパージする工程と、
    d)前記反応器に酸素含有源を導入する工程と、
    e)前記反応器をパージガスでパージする工程と
    を含む、基材上にケイ素、ハフニウム、及び酸素を含む膜を堆積させる方法であって、
    前記組成物の融点が≦30℃であり、
    前記酸素含有源が、酸素プラズマ、オゾン、水蒸気、水蒸気プラズマ、酸化窒素プラズマ、酸化炭素プラズマ、及びこれらの組み合わせからなる群から選択され、
    前記パージガスが、アルゴン(Ar)、窒素(N2)、ヘリウム(He)、ネオン、水素(H2)、及びこれらの組み合わせからなる群から選択され、
    堆積プロセスが、熱原子層堆積(ALD)、プラズマ増強原子層堆積(PEALD)プロセス、サイクリック化学気相堆積、プラズマ増強サイクリック化学気相堆積、及びこれらの組み合わせからなる群から選択され、
    前記方法が、100℃〜600℃の範囲の温度にて実施され、b)からe)が、所望の厚さの膜が堆積されるまで繰り返される方法。
  8. 前記組成物が、直接液体注入により輸送される、請求項7に記載の方法。
  9. 前記少なくとも1種の有機アミノシラン前駆体及び前記少なくとも1種の有機アミノハフニウム前駆体が、同じ有機アミノ基を有する、請求項7に記載の方法。
  10. 前記組成物が、テトラキス(ジメチルアミノ)シラン(TDMAS)、テトラキス(ジエチルアミノ)シラン(TDEAS)、テトラキス(エチルメチルアミノ)シラン(TEMAS)、テトラキス(ピロリジノ)シラン、トリス(ジメチルアミノ)クロロシラン、トリス(ジエチルアミノ)クロロシラン、トリス(エチルメチルアミノ)クロロシラン、ビス(ジメチルアミノ)シラン、ビス(ジエチルアミノ)シラン、ビス(エチルメチルアミノ)シラン、1,4,6,9−テトラメチル−1,4,6,9−テトラアザ−5−シラスピロ[4.4]ノナン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)−2−メチルジシラザン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)ジシロキサン、及びこれらの組み合わせからなる群から選択される前記少なくとも1種の有機アミノシラン前駆体化合物と、
    テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、テトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ピロリジノ)ハフニウム、シクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(CpHf(NMe23)、メチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(MeCpHf(NMe23)、エチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(EtCpHf(NMe23)、シクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(CpHf(NMeEt)3)、メチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(MeCpHf(NMeEt)3)、エチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(EtCpHf(NMeEt)3)、シクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(CpHf(NEt23)、メチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(MeCpHf(NEt23)、エチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(EtCpHf(NEt23)、ビス(シクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム(Cp2Hf(NMe22)、ビス(メチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((MeCp)2Hf(NMe22)、ビス(エチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((EtCp)2Hf(NMe22)、ビス(シクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム(Cp2Hf(NMeEt)2)、ビス(メチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((MeCp)2Hf(NMeEt)2)、ビス(エチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((EtCp)2Hf(NMeEt)2)、ビス(シクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((Cp2Hf(NEt22)、ビス(メチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((MeCp)2Hf(NEt23)、ビス(エチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((EtCp)2Hf(NEt22)、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、及びこれらの組み合わせからなる群から選択される前記少なくとも1種の有機アミノハフニウム前駆体化合物とを含む、請求項7に記載の方法。
  11. 前記組成物が、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む、請求項7に記載の方法。
  12. 前記組成物が、エーテル、第三級アミン、アルキル炭化水素、芳香族炭化水素、シロキサン、第三級アミノエーテル、及びこれらの組み合わせからなる群から選択される(iii)溶媒をさらに含む、請求項7に記載の方法。
  13. 前記組成物が、反応器に直接液体注入により輸送される、請求項7に記載の方法。
  14. 前記酸素含有源が、アルゴン、ヘリウム、窒素、水素、及びこれらの組み合わせからなる群から選択される不活性ガスをさらに含む、請求項7に記載の方法。
  15. 反応器中の基材と、
    (i)RxSi(NR124-x
    (式中、RはCl、Br、及びIからなる群から選択されるハライドであり、
    1及びR2は独立して有機アミノ基における直鎖又は分岐鎖C1〜C6アルキルから選択され、
    1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合せず、
    x=0、1又は2である。)
    の式を有する少なくとも1種の有機アミノシラン前駆体化合物と、
    (ii)LxHf(NR124-x
    (式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルであり、
    1及びR2は独立して有機アミノ基における直鎖又は分岐鎖C1〜C6アルキルから選択され、
    1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合せず、
    x=0、1又は2である。)
    の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物と
    を含む組成物であって、
    前記組成物の融点が≦30℃である、組成物と
    を含み、
    100℃〜600℃の範囲の温度である、基材上にケイ素、ハフニウム及び酸素を含む膜を堆積させる装置。
  16. 前記少なくとも1種の有機アミノシラン前駆体及び前記少なくとも1種の有機アミノハフニウム前駆体が、同じ有機アミノ基を有する、請求項15に記載の装置。
  17. 前記組成物が、テトラキス(ジメチルアミノ)シラン(TDMAS)、テトラキス(ジエチルアミノ)シラン(TDEAS)、テトラキス(エチルメチルアミノ)シラン(TEMAS)、テトラキス(ピロリジノ)シラン、トリス(ジメチルアミノ)クロロシラン、トリス(ジエチルアミノ)クロロシラン、トリス(エチルメチルアミノ)クロロシラン、1,4,6,9−テトラメチル−1,4,6,9−テトラアザ−5−シラスピロ[4.4]ノナン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)−2−メチルジシラザン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)ジシロキサン、及びこれらの組み合わせからなる群から選択される前記少なくとも1種の有機アミノシラン前駆体化合物と、
    テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、テトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ピロリジノ)ハフニウム、シクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(CpHf(NMe23)、メチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(MeCpHf(NMe23)、エチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(EtCpHf(NMe23)、シクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(CpHf(NMeEt)3)、メチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(MeCpHf(NMeEt)3)、エチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(EtCpHf(NMeEt)3)、シクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(CpHf(NEt23)、メチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(MeCpHf(NEt23)、エチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(EtCpHf(NEt23)、ビス(シクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム(Cp2Hf(NMe22)、ビス(メチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((MeCp)2Hf(NMe22)、ビス(エチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((EtCp)2Hf(NMe22)、ビス(シクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム(Cp2Hf(NMeEt)2)、ビス(メチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((MeCp)2Hf(NMeEt)2)、ビス(エチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((EtCp)2Hf(NMeEt)2)、ビス(シクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((Cp2Hf(NEt22)、ビス(メチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((MeCp)2Hf(NEt23)、ビス(エチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((EtCp)2Hf(NEt22)、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、及びこれらの組み合わせからなる群から選択される前記少なくとも1種の有機アミノハフニウム前駆体化合物とを含む、請求項15に記載の装置。
  18. 前記組成物が、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む、請求項15に記載の装置。
  19. 前記組成物が、エーテル、第三級アミン、アルキル炭化水素、芳香族炭化水素、シロキサン、第三級アミノエーテル、及びこれらの組み合わせからなる群から選択される(iii)溶媒をさらに含む、請求項15に記載の装置。
  20. (1)RxSi(NR124-x
    (式中、RはCl、Br、及びIからなる群から選択されるハライドであり、
    1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキルから選択され、
    1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合せず、
    x=0、1又は2である。)
    の式を有する少なくとも1種の有機アミノシラン前駆体化合物と、
    (2)LxHf(NR124-x
    (式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルであり、
    1及びR2は独立して直鎖又は分岐鎖C1〜C6アルキルから選択され、
    1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合せず、
    x=0、1又は2である。)
    の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物と
    を含む組成物を用いて堆積された、強誘電体材料に好適なケイ素ドープ酸化ハフニウム膜であって、
    前記組成物の融点が≦30℃であり、
    堆積プロセスが、熱原子層堆積(ALD)、プラズマ増強原子層堆積(PEALD)プロセス、サイクリック化学気相堆積、プラズマ増強サイクリック化学気相堆積、及びこれらの組み合わせからなる群から選択され、
    2.00〜6.00モル%の範囲のケイ素ドーピング量を有する、ケイ素ドープ酸化ハフニウム膜。
  21. 前記少なくとも1種の有機アミノシラン前駆体及び前記少なくとも1種の有機アミノハフニウム前駆体が、同じ有機アミノ基を有する、請求項20に記載のケイ素ドープ酸化ハフニウム膜。
  22. 前記少なくとも1種の有機アミノシラン前駆体化合物が、テトラキス(ジメチルアミノ)シラン(TDMAS)、テトラキス(ジエチルアミノ)シラン(TDEAS)、テトラキス(エチルメチルアミノ)シラン(TEMAS)、テトラキス(ピロリジノ)シラン、トリス(ジメチルアミノ)クロロシラン、トリス(ジエチルアミノ)クロロシラン、トリス(エチルメチルアミノ)クロロシラン、1,4,6,9−テトラメチル−1,4,6,9−テトラアザ−5−シラスピロ[4.4]ノナン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)−2−メチルジシラザン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)ジシロキサン、及びこれらの組み合わせからなる群から選択され、
    前記少なくとも1種の有機アミノハフニウム前駆体化合物が、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、テトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ピロリジノ)ハフニウム、シクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(CpHf(NMe23)、メチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(MeCpHf(NMe23)、エチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(EtCpHf(NMe23)、シクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(CpHf(NMeEt)3)、メチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(MeCpHf(NMeEt)3)、エチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(EtCpHf(NMeEt)3)、シクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(CpHf(NEt23)、メチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(MeCpHf(NEt23)、エチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(EtCpHf(NEt23)、ビス(シクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム(Cp2Hf(NMe22)、ビス(メチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((MeCp)2Hf(NMe22)、ビス(エチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((EtCp)2Hf(NMe22)、ビス(シクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム(Cp2Hf(NMeEt)2)、ビス(メチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((MeCp)2Hf(NMeEt)2)、ビス(エチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((EtCp)2Hf(NMeEt)2)、ビス(シクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((Cp2Hf(NEt22)、ビス(メチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((MeCp)2Hf(NEt23)、ビス(エチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((EtCp)2Hf(NEt22)、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、及びこれらの組み合わせからなる群から選択される、請求項20に記載のケイ素ドープ酸化ハフニウム膜。
  23. 前記組成物が、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む、請求項20に記載のケイ素ドープ酸化ハフニウム膜。
  24. 前記組成物が、エーテル、第三級アミン、アルキル炭化水素、芳香族炭化水素、シロキサン、第三級アミノエーテル、及びこれらの組み合わせからなる群から選択される(3)溶媒をさらに含む、請求項20に記載のケイ素ドープ酸化ハフニウム膜。
  25. (1)RxSi(NR124-x
    (式中、RはCl、Br、及びIからなる群から選択されるハライドであり、
    1及びR2は独立して有機アミノ基における直鎖又は分岐鎖C1〜C6アルキルから選択され、
    1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合せず、
    x=0、1又は2である。)
    の式を有する少なくとも1種の有機アミノシラン前駆体化合物、及び
    (2)LxHf(NR124-x
    (式中、Lはシクロペンタジエニル、又はアルキル置換シクロペンタジエニルであり、
    1及びR2は独立して有機アミノ基における直鎖又は分岐鎖C1〜C6アルキルから選択され、
    1及びR2は結合して環状環構造を形成するか、R1及びR2は環状環構造を形成するように結合せず、
    x=0、1又は2である。)
    の式を有する少なくとも1種の有機アミノハフニウム前駆体化合物
    のうちの少なくとも1種を含む組成物を用いる容器であって、
    前記組成物の融点が、≦30℃であり、
    前記容器が、熱原子層堆積(ALD)、プラズマ増強原子層堆積(PEALD)プロセス、サイクリック化学気相堆積、プラズマ増強サイクリック化学気相堆積、及びこれらの組み合わせからなる群から選択される堆積プロセスのために、前記組成物を反応器に輸送することを可能にするバルブ及び付属品を含む加圧可能な容器である、容器。
  26. 前記少なくとも1種の有機アミノシラン前駆体及び前記少なくとも1種の有機アミノハフニウム前駆体が、同じ有機アミノ基を有する、請求項25に記載の容器。
  27. 前記少なくとも1種の有機アミノシラン前駆体化合物が、テトラキス(ジメチルアミノ)シラン(TDMAS)、テトラキス(ジエチルアミノ)シラン(TDEAS)、テトラキス(エチルメチルアミノ)シラン(TEMAS)、テトラキス(ピロリジノ)シラン、トリス(ジメチルアミノ)クロロシラン、トリス(ジエチルアミノ)クロロシラン、トリス(エチルメチルアミノ)クロロシラン、1,4,6,9−テトラメチル−1,4,6,9−テトラアザ−5−シラスピロ[4.4]ノナン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)−2−メチルジシラザン、1,1,1,3,3,3−ヘキサキス(ジメチルアミノ)ジシロキサン、及びこれらの組み合わせからなる群から選択され、
    前記少なくとも1種の有機アミノハフニウム前駆体化合物が、テトラキス(ジメチルアミノ)ハフニウム(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)、テトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ピロリジノ)ハフニウム、シクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(CpHf(NMe23)、メチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(MeCpHf(NMe23)、エチルシクロペンタジエニルトリス(ジメチルアミノ)ハフニウム(EtCpHf(NMe23)、シクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(CpHf(NMeEt)3)、メチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(MeCpHf(NMeEt)3)、エチルシクロペンタジエニルトリス(エチルメチルアミノ)ハフニウム(EtCpHf(NMeEt)3)、シクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(CpHf(NEt23)、メチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(MeCpHf(NEt23)、エチルシクロペンタジエニルトリス(ジエチルアミノ)ハフニウム(EtCpHf(NEt23)、ビス(シクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム(Cp2Hf(NMe22)、ビス(メチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((MeCp)2Hf(NMe22)、ビス(エチルシクロペンタジエニル)ビス(ジメチルアミノ)ハフニウム((EtCp)2Hf(NMe22)、ビス(シクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム(Cp2Hf(NMeEt)2)、ビス(メチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((MeCp)2Hf(NMeEt)2)、ビス(エチルシクロペンタジエニル)ビス(エチルメチルアミノ)ハフニウム((EtCp)2Hf(NMeEt)2)、ビス(シクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((Cp2Hf(NEt22)、ビス(メチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((MeCp)2Hf(NEt23)、ビス(エチルシクロペンタジエニル)ビス(ジエチルアミノ)ハフニウム((EtCp)2Hf(NEt22)、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジメチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(ジエチルアミノ)ハフニウム、(N−メチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、(N−エチル−2,4−シクロペンタジエン−1−エタンアミノ]ビス(エチルメチルアミノ)ハフニウム、及びこれらの組み合わせからなる群から選択される、請求項25に記載の容器。
  28. 前記組成物が、テトラキス(ジメチルアミノ)シラン及びテトラキス(ジメチルアミノ)ハフニウムを含む、請求項25に記載の容器。
  29. 前記組成物が、エーテル、第三級アミン、アルキル炭化水素、芳香族炭化水素、シロキサン、第三級アミノエーテル、及びこれらの組み合わせからなる群から選択される溶媒をさらに含む、請求項25に記載の容器。
  30. 前記組成物が、窒素、ヘリウム及びアルゴン、並びにこれらの組み合わせからなる群から選択される不活性ガスをさらに含む、請求項25に記載の容器。
JP2019550704A 2017-03-15 2018-03-14 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物 Active JP6920457B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021120485A JP7202423B2 (ja) 2017-03-15 2021-07-21 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762471619P 2017-03-15 2017-03-15
US62/471,619 2017-03-15
US201762477804P 2017-03-28 2017-03-28
US62/477,804 2017-03-28
US15/914,962 US11193206B2 (en) 2017-03-15 2018-03-07 Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US15/914,962 2018-03-07
PCT/US2018/022430 WO2018170125A1 (en) 2017-03-15 2018-03-14 New formulation for deposition of silicon doped hafnium oxide as ferroelectric materials

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021120485A Division JP7202423B2 (ja) 2017-03-15 2021-07-21 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物

Publications (2)

Publication Number Publication Date
JP2020511796A true JP2020511796A (ja) 2020-04-16
JP6920457B2 JP6920457B2 (ja) 2021-08-18

Family

ID=63521055

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019550704A Active JP6920457B2 (ja) 2017-03-15 2018-03-14 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP2021120485A Active JP7202423B2 (ja) 2017-03-15 2021-07-21 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021120485A Active JP7202423B2 (ja) 2017-03-15 2021-07-21 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物

Country Status (8)

Country Link
US (1) US11193206B2 (ja)
EP (1) EP3596250A4 (ja)
JP (2) JP6920457B2 (ja)
KR (1) KR102404960B1 (ja)
CN (1) CN110573652B (ja)
SG (1) SG11201908432TA (ja)
TW (1) TWI675932B (ja)
WO (1) WO2018170125A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109786390B (zh) * 2017-11-13 2022-12-20 萨摩亚商费洛储存科技股份有限公司 三维储存元件及其制造方法
KR102339851B1 (ko) * 2018-11-09 2021-12-17 주식회사 레이크머티리얼즈 반도체 박막 증착용 화합물
FR3090196B1 (fr) * 2018-12-18 2021-10-29 Commissariat Energie Atomique Procede de fabrication d’une memoire ferroelectrique et procede de co-fabrication d’une memoire ferroelectrique et d’une memoire resistive
CN114555859A (zh) * 2019-09-11 2022-05-27 弗萨姆材料美国有限责任公司 用于沉积硅掺杂的氧化铪的制剂
CN111354851A (zh) * 2020-02-21 2020-06-30 山西师范大学 一种新型氧化铪基电场调控磁性异质结构及其制备方法
KR20220004433A (ko) 2020-07-03 2022-01-11 삼성전자주식회사 유전체 물질층을 포함하는 박막 구조체 및 이를 구비하는 전자소자
US11545506B2 (en) 2020-11-13 2023-01-03 Sandisk Technologies Llc Ferroelectric field effect transistors having enhanced memory window and methods of making the same
WO2022197706A2 (en) * 2021-03-15 2022-09-22 Cerfe Labs, Inc. Semiconducting ferroelectric device
CN114990530A (zh) * 2022-06-02 2022-09-02 华东师范大学 一种低温制备hzo铁电薄膜的方法及hzo铁电薄膜

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003347297A (ja) * 2002-05-27 2003-12-05 Kojundo Chem Lab Co Ltd 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
JP2004529495A (ja) * 2001-03-30 2004-09-24 アドバンスド.テクノロジー.マテリアルス.インコーポレイテッド 誘電体薄膜をcvd形成するための金属アミド前駆体およびアミノシラン前駆体
JP2006100811A (ja) * 2004-09-02 2006-04-13 Mitsubishi Materials Corp 有機金属化学気相成長法用原料液及び該原料液を用いたHf−Si含有複合酸化物膜の製造方法
JP2007194582A (ja) * 2005-12-20 2007-08-02 Tokyo Electron Ltd 高誘電体薄膜の改質方法及び半導体装置
JP2008510321A (ja) * 2004-08-16 2008-04-03 アビザ テクノロジー,インコーポレイテッド 多成分誘電体膜を形成するための直接液体注入システム及び方法
JP2013236073A (ja) * 2012-04-12 2013-11-21 Air Products & Chemicals Inc 酸化ケイ素薄膜の高温原子層堆積

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6500499B1 (en) * 2000-03-10 2002-12-31 Air Products And Chemicals, Inc. Deposition and annealing of multicomponent ZrSnTi and HfSnTi oxide thin films using solventless liquid mixture of precursors
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
JP2005209766A (ja) * 2004-01-21 2005-08-04 Mitsubishi Materials Corp ハフニウム含有酸化膜の製造方法
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20080299312A1 (en) * 2004-09-02 2008-12-04 Atsushi Itsuki Raw Material Solution for Metal Organic Chemical Vapor Deposition Method and Method for Manufacturing Composite Oxide Film Containing Hf-Si Using the Raw Material Solution
WO2007140813A1 (en) * 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080150003A1 (en) * 2006-12-20 2008-06-26 Jian Chen Electron blocking layers for electronic devices
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US8313994B2 (en) 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
CN102453866A (zh) * 2010-10-21 2012-05-16 中国科学院微电子研究所 一种高介电常数栅介质材料及其制备方法
KR101284664B1 (ko) * 2010-12-31 2013-07-11 삼성전자주식회사 실릴아민 리간드가 포함된 유기금속화합물, 및 이를 전구체로 이용한 금속 산화물 또는 금속-규소 산화물의 박막 증착 방법
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2013109401A1 (en) * 2012-01-19 2013-07-25 Christian Dussarrat Silicon containing compounds for ald deposition of metal silicate films
US8962078B2 (en) * 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9053801B2 (en) 2012-11-30 2015-06-09 Micron Technology, Inc. Memory cells having ferroelectric materials
KR101993355B1 (ko) * 2013-03-13 2019-09-30 삼성전자주식회사 반도체 장치의 제조 방법
US9412600B2 (en) * 2014-08-28 2016-08-09 Globalfoundries Inc. Method of forming a semiconductor structure including a ferroelectric material and semiconductor structure including a ferroelectric transistor
US20160315163A1 (en) * 2016-06-30 2016-10-27 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process for forming gate insulators for tft structures

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004529495A (ja) * 2001-03-30 2004-09-24 アドバンスド.テクノロジー.マテリアルス.インコーポレイテッド 誘電体薄膜をcvd形成するための金属アミド前駆体およびアミノシラン前駆体
JP2003347297A (ja) * 2002-05-27 2003-12-05 Kojundo Chem Lab Co Ltd 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
JP2008510321A (ja) * 2004-08-16 2008-04-03 アビザ テクノロジー,インコーポレイテッド 多成分誘電体膜を形成するための直接液体注入システム及び方法
JP2006100811A (ja) * 2004-09-02 2006-04-13 Mitsubishi Materials Corp 有機金属化学気相成長法用原料液及び該原料液を用いたHf−Si含有複合酸化物膜の製造方法
JP2007194582A (ja) * 2005-12-20 2007-08-02 Tokyo Electron Ltd 高誘電体薄膜の改質方法及び半導体装置
JP2013236073A (ja) * 2012-04-12 2013-11-21 Air Products & Chemicals Inc 酸化ケイ素薄膜の高温原子層堆積

Also Published As

Publication number Publication date
TW201835372A (zh) 2018-10-01
SG11201908432TA (en) 2019-10-30
US20180265967A1 (en) 2018-09-20
JP6920457B2 (ja) 2021-08-18
WO2018170125A1 (en) 2018-09-20
CN110573652A (zh) 2019-12-13
KR102404960B1 (ko) 2022-06-07
JP7202423B2 (ja) 2023-01-11
CN110573652B (zh) 2022-07-22
EP3596250A1 (en) 2020-01-22
EP3596250A4 (en) 2020-12-09
US11193206B2 (en) 2021-12-07
KR20190120432A (ko) 2019-10-23
JP2021182632A (ja) 2021-11-25
TWI675932B (zh) 2019-11-01

Similar Documents

Publication Publication Date Title
JP7230126B2 (ja) 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP7202423B2 (ja) 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
KR101502251B1 (ko) 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도
CN102482771A (zh) 用于气相沉积的含钛前体
US9868753B2 (en) Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
TW201335417A (zh) 用於ald沈積金屬矽酸鹽膜之含矽化合物
TW202129066A (zh) 形成含第v族元素膜之組成物及氣相沈積含第v族元素膜
US11631580B2 (en) Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US20220205099A1 (en) Group iv element containing precursors and deposition of group iv element containing films
US20220282367A1 (en) Formulation for deposition of silicon doped hafnium oxide
JP2024508455A (ja) 金属薄膜前駆体組成物、これを用いた薄膜形成方法、及びこれから製造された半導体基板
WO2023200429A1 (en) Niobium vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same
JP2024507836A (ja) 補助前駆体、薄膜前駆体組成物、薄膜形成方法、及びこれから製造された半導体基板

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191009

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210527

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210622

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210726

R150 Certificate of patent or registration of utility model

Ref document number: 6920457

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150