CN102482771A - 用于气相沉积的含钛前体 - Google Patents

用于气相沉积的含钛前体 Download PDF

Info

Publication number
CN102482771A
CN102482771A CN2011800037049A CN201180003704A CN102482771A CN 102482771 A CN102482771 A CN 102482771A CN 2011800037049 A CN2011800037049 A CN 2011800037049A CN 201180003704 A CN201180003704 A CN 201180003704A CN 102482771 A CN102482771 A CN 102482771A
Authority
CN
China
Prior art keywords
ipr
oipr
cme
otbu
osbu
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800037049A
Other languages
English (en)
Other versions
CN102482771B (zh
Inventor
V·R·帕里姆
C·迪萨拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN102482771A publication Critical patent/CN102482771A/zh
Application granted granted Critical
Publication of CN102482771B publication Critical patent/CN102482771B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

公开了含钛前体及其合成方法。该化合物可用于使用气相沉积方法如化学气相沉积或原子层沉积来沉积含钛、氧化钛、氧化锶钛和钛酸锶钡的层。

Description

用于气相沉积的含钛前体
相关申请的交叉引用
本申请为2010年12月30日提交的在先申请No.12/981,872的部分继续申请,其依据35 U.S.C.§119(e)主张2010年4月7日提交的临时申请No.61/321,763的权利,该两个申请的全部内容以引用之方式并入本文中。
技术领域
公开了含钛前体、其合成方法及使用气相沉积方法使用所述含钛前体沉积含钛层的方法。
背景技术
半导体行业所面对的严重挑战之一为开发用于DRAM和电容器的新栅极介电材料。数十年来,二氧化硅(SiO2)为可靠的电介质,但随着晶体管已持续缩小且技术已从“全Si”晶体管发展至“金属栅极/高k”晶体管,基于SiO2的栅极电介质的可靠性正达到其物理极限。随着用于当前技术的大小缩小,对新的高介电常数材料和方法的需求正日益增加且变得愈来愈关键。
与传统介电材料相比,标准介电材料如TiO2或含有称作钛酸锶如SrTiO3或Sr2TiO4或钛酸锶钡的碱土金属的新介电材料提供电容方面的显著优势。新的介电材料也为用于若干薄膜应用的有吸引力的候选者,如用于电子器件、抗反射光学涂层、生物相容涂层、光催化及太阳能电池的高介电常数材料。(H.A.Durand等人,Appl.Surf.Sci.86,122(1995);C.-W.Wang等人,J.Appl.Phys.91,9198(2002);M.Keshmiri等人,J.Non-Cryst.Solids 324,289(2003);T.Inoue等人,Nature(伦敦)277,637(1979);H.Kim等人,Appl.Phys.Lett.85,64(2004))。
此外,TiO2也为用于介电和铁电应用的若干重要多金属氧化物体系如钛酸锶(STO)、钛酸锶钡(BST)和锆钛酸铅(PZT)的组分。(P.Alluri等人,Integr.Ferroelectr.,21,305(1998);J.F.Scott等人,Science 246,1400(1989))。
然而,含钛层的沉积困难,且需要新的材料和方法。举例而言,原子层沉积ALD已被识别为用于微电子器件制造的重要薄膜生长技术,其依赖于由惰性气体净化分开的交替施加的前体的依序和饱和表面反应。常在该沉积方法中使用氧源如臭氧或水。ALD的表面控制性质藉由精确的厚度控制来实现具有高保形性和均匀性的薄膜的生长。
在STO ALD沉积中,可用Sr前体显示出与O3的优良反应性和与水的可接受的反应性。然而,当在高温下沉积STO膜时,将臭氧用作氧化剂可具有对底层如TiN或氧化锶钌(SRO)的不当结果。其可氧化TiN层或部分蚀刻来自SRO层的Ru。
虽然已公开了Ti化合物的原子层沉积(ALD),但这些金属前体具有尤其与水分的差的反应性和低稳定性,从而常需要低的基底温度和强氧化剂以使常受碳或氮污染的膜生长。
Air Liquide显示大多数标准均配Ti分子具有有限的ALD工艺温度窗或无沉积(R.Katamreddy,V.Omarjee、B.Feist、C.Dussarrat,ECSconference 2008)。举例而言,在水ALD方法中,Ti分子四(异丙醇)钛(TTIP)、四(二甲氨基)钛(TDMAT)、四(二乙氨基)钛(TDEAT)和四(乙基甲基氨基)钛(TEMAT)具有低于0.6埃/循环的沉积速率和不超过250℃的工艺窗。
需要在较高工艺温度下具有较高热稳定性的新Ti前体。需要高温方法产生高质量TiO2(经掺杂或未经掺杂)和具有非常高的介电常数(优选k≥50)的STO膜。已报告,需要具有致密和柱状多晶微结构和小的平均晶粒大小(30nm)的STO膜以获得具有高k值的低漏泄电流(C.S.Hwang,S.O.Park,C.S.Kang,H.Cho,H.Kang,S.T.Ahn和M.Y.Lee,Jpn.J.Appl.Phys.,第1部分,34,5178 1995)。
Zhang等人公开了Ti(Cy-NC(NiPr2)N-Cy)2(OnBu)2的意想不到的合成。《中国科学通报》(Chinese Science Bulletin)(2005),50(24),2817-2820。Chen等人公开了Ti(OnBu)2(O2CMe)2的合成。《化学学报》(2003),61(10),1592-1596。未公开这些化合物的用途。
美国专利申请公布第2005/277223号公开了使用具有式M(L1)x(L2)y的含金属前体形成金属氧化物的ALD方法,其中M为金属,L1和L2可为卤化物、二酮(diketonate)、醇盐、氨基、烷氧基胺、脒盐(amidinate)或多齿配位基。然而,示例性前体仅为Hf(OtBu)2(NEtMe)2、Hf(OtBu)2(NEt2)2、Hf(NEt2)2(DMAMP)2、Hf(NEtMe)2(DMAMP)2、Ti(OtBu)3Cl、Ti(OtBu)3Me、Ti(OtBu)2(NEt2)2、Ti(NEt2)2(DMAMP)2、Ti(OtBu)2(DMAMP)2和TiCl2(DMAMP)2
正在搜寻结合Ti材料的其他来源和方法用于新生代的集成电路器件。需要新前体。
发明摘要
公开了具有下式的分子:
Ti(R1-N-C(R3)-N-R2)u(OR4)x(NR5R6)y(O2CR7)z    式I或
Ti(R1-N-(C(R3)2)m-N-R2)v(OR4)x(NR5R6)y(O2CR7)z  式II
其中:
■R1、R2、R5、R6和R7独立地选自H和C1-C6烷基;
■R3=H、C1-C6烷基或NMe2
■R4为C1-C6烷基;
■m=2-4;
■u=0-2;
■v=0-1;
■x=1-3;
■y=0-2;
■z=0-1;
■在式I中,u+x+y+z=4;
■在式II中,2v+x+y+z=4;且
■u、v或z≥1。
所公开的分子可进一步包括以下方面中一个或多个:
·该分子具有式I,其中u=1,x=3,y=0且z=0;
·该分子选自:Ti(iPr-N-C(Me)-N-iPr)1(OiPr)3、Ti(iPr-N-C(Me)-N-iPr)1(OMe)3、Ti(iPr-N-C(Me)-N-iPr)1(OEt)3、Ti(iPr-N-C(Me)-N-iPr)1(OnPr)3、Ti(iPr-N-C(Me)-N-iPr)1(OsBu)3、Ti(iPr-N-C(Me)-N-iPr)1(OiBu)3、Ti(iPr-N-C(Me)-N-iPr)1(OtBu)3、Ti(Et-N-C(Me)-N-Et)1(OEt)3、Ti(Et-N-C(Me)-N-Et)1(OMe)3、Ti(Et-N-C(Me)-N-Et)1(OnPr)3、Ti(Et-N-C(Me)-N-Et)1(OsBu)3、Ti(Et-N-C(Me)-N-Et)1(OiBu)3、Ti(Et-N-C(Me)-N-Et)1(OtBu)3和Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3
·该分子具有式II,其中v=1,x=2,y=0且z=0;
·该分子选自:Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2、Ti(iPr-N-(CH2)2-N-iPr)1(OMe)2、Ti(iPr-N-(CH2)2-N-iPr)1(OEt)2、Ti(iPr-N-(CH2)2-N-iPr)1(OnPr)2、Ti(iPr-N-(CH2)2-N-iPr)1(OsBu)2、Ti(iPr-N-(CH2)2-N-iPr)1(OiBu)2、Ti(iPr-N-(CH2)2-N-iPr)1(OtBu)2、Ti(Et-N-(CH2)2-N-Et)1(OiPr)2、Ti(Et-N-(CH2)2-N-Et)1(OMe)2、Ti(Et-N-(CH2)2-N-Et)1(OEt)2、Ti(Et-N-(CH2)2-N-Et)1(OnPr)2、Ti(Et-N-(CH2)2-N-Et)1(OsBu)2、Ti(Et-N-(CH2)2-N-Et)1(OiBu)2、Ti(Et-N-(CH2)2-N-Et)1(OtBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2、Ti(iPr-N-(CH2)3-N-iPr)1(OMe)2、Ti(iPr-N-(CH2)3-N-iPr)1(OEt)2、Ti(iPr-N-(CH2)3-N-iPr)1(OnPr)2、Ti(iPr-N-(CH2)3-N-iPr)1(OsBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OiBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2、Ti(Et-N-(CH2)3-N-Et)1(OiPr)2、Ti(Et-N-(CH2)3-N-Et)1(OMe)2、Ti(Et-N-(CH2)3-N-Et)1(OEt)2、Ti(Et-N-(CH2)3-N-Et)1(OnPr)2、Ti(Et-N-(CH2)3-N-Et)1(OsBu)2、Ti(Et-N-(CH2)3-N-Et)1(OiBu)2和Ti(Et-N-(CH2)3-N-Et)1(OtBu)2
·该分子具有式I,其中u=2,x=2,y=0且z=0;
·该分子选自:Ti(iPr-N-C(H)-N-iPr)2(OiPr)2、Ti(iPr-N-C(H)-N-iPr)2(OMe)2、Ti(iPr-N-C(H)-N-iPr)2(OEt)2、Ti(iPr-N-C(H)-N-iPr)2(OnPr)2、Ti(iPr-N-C(H)-N-iPr)2(OsBu)2、Ti(iPr-N-C(H)-N-iPr)2(OiBu)2、Ti(iPr-N-C(H)-N-iPr)2(OtBu)2、Ti(Et-N-C(H)-N-Et)2(OiPr)2、Ti(Et-N-C(H)-N-Et)2(OMe)2、Ti(Et-N-C(H)-N-Et)2(OEt)2、Ti(Et-N-C(H)-N-Et)2(OnPr)2、Ti(Et-N-C(H)-N-Et)2(OsBu)2、Ti(Et-N-C(H)-N-Et)2(OiBu)2、Ti(Et-N-C(H)-N-Et)2(OtBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OMe)2、Ti(iPr-N-C(Me)-N-iPr)2(OEt)2、Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2、Ti(Et-N-C(Me)-N-Et)2(OiPr)2、Ti(Et-N-C(Me)-N-Et)2(OMe)2、Ti(Et-N-C(Me)-N-Et)2(OEt)2、Ti(Et-N-C(Me)-N-Et)2(OnPr)2、Ti(Et-N-C(Me)-N-Et)2(OsBu)2、Ti(Et-N-C(Me)-N-Et)2(OiBu)2和Ti(Et-N-C(Me)-N-Et)2(OtBu)2
·该分子具有式I,其中u=1,x=2,y=1且z=0;
·该分子选自:Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMeiPr)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NiPr2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMetBu)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NneoPentyl2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMeiPr)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NiPr2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NneoPentyl2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NiPr2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NneoPentyl2)和Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr);
·该分子具有式I,其中u=1,x=2,y=0且z=1;
·该分子选自Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(O2CMe)和Ti(Et-N-C(Me)-N-Et)(OiPr)2(O2CMe);
·该分子具有式II,其中v=1,x=1,y=0且z=1;
·该分子选自:Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OMe)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OEt)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OnPr)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OsBu)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OiBu)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OtBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OiPr)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OMe)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OEt)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OnPr)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OsBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OiBu)(O2CMe)和Ti(Et-N-(CH2)2-N-Et)(OtBu)(O2CMe);
·该分子具有式I或式II,其中u、v、y=0,x=2且z=2;
·该分子为Ti(OiPr)2(O2CMe)2
·该分子具有式I或式II,其中u、v、y=0,x=3且z=1;且
·该分子为Ti(OiPr)3(O2CMe)。
也公开了在基底上形成含Ti层的方法。提供反应室,该反应室具有放置于其内的至少一个基底。将以上公开的分子中的至少一个的蒸气引入至该反应室内。使该蒸气与该基底接触以使用气相沉积方法在该基底的至少一个表面上形成含Ti层。所公开的方法可进一步包括以下方面中的一个或多个:
·将包括至少一种含金属前体的第二蒸气引入至该反应室内且使该第二蒸气与该基底接触以使用气相沉积方法在该基底的至少一个表面上形成含金属层,其中该含金属前体选自M(L)2和M(L)2.A,其中:
-M为Sr或Ba;
-L选自经取代的环戊二烯基配体体系R1R2R3R4R5Cp或β-二酮配体体系-O-CR6-CH-CR7-O-;
-R1至R5中的每一个独立地选自H或C1-C6直链或支化烷基链;
-R6和R7中的每一个独立地选自C1-C6直链或支化烷基链;且
-A为选自四氢呋喃、二甲氧基乙烷、二甘醇二甲醚、三甘醇二甲醚和四甘醇二甲醚的含中性氧的分子;且
·该含金属前体选自:Sr(iPr3Cp)2、Sr(iPr3Cp)2.thf、Sr(iPr3Cp)2.dme、Sr(tBu3Cp)2、Sr(tBu3Cp)2.thf、Sr(tBu3Cp)2.dme、Sr(thmd)2、Sr(thmd)2.三甘醇二甲醚、Sr(thmd)2.四甘醇二甲醚、Sr(Me5Cp)2、Sr(Me4Cp)2、Sr(Me4EtCp)2、Sr(Me4nBuCp)2、Ba(iPr3Cp)2、Ba(iPr3Cp)2.thf、Ba(iPr3Cp)2.dme、Ba(tBu3Cp)2、Ba(tBu3Cp)2.thf、Ba(tBu3Cp)2.dme、Ba(thmd)2、Ba(thmd)2.三甘醇二甲醚、Ba(thmd)2.四甘醇二甲醚、Ba(Me5Cp)2、Ba(Me4Cp)2、Ba(Me4EtCp)2和Ba(Me4nBuCp)2
也公开了沉积STO或BST膜的方法。提供ALD反应室,该ALD反应室具有放置于其内的至少一个基底。将以上公开的化合物中的至少一种用脉冲输送至该反应室中。将氧源用脉冲输送至该反应室中。将含金属前体用脉冲输送至该反应室中。该含金属前体选自M(L)2和M(L)2.A,其中:
-M为Sr或Ba;
-L选自经取代的环戊二烯基配体体系R1R2R3R4R5Cp或β-二酮配体体系-O-CR6-CH-CR7-O-;
-R1至R5中的每一个独立地选自H或C1-C6直链或支化烷基链;
-R6和R7中的每一个独立地选自C1-C6直链或支化烷基链;且
-A为选自四氢呋喃、二甲氧基乙烷、二甘醇二甲醚、三甘醇二甲醚和四甘醇二甲醚的含中性氧的分子。
将第二氧源用脉冲输送至该反应室中。通过改变用于该前体和该含金属前体的脉冲输送步骤的数目来控制在该STO或BST膜中的M∶Ti化学计量比。所公开的方法可进一步包括以下方面中的一个或多个:
·该含金属前体选自:Sr(iPr3Cp)2、Sr(iPr3Cp)2.thf、Sr(iPr3Cp)2.dme、Sr(tBu3Cp)2、Sr(tBu3Cp)2.thf、Sr(tBu3Cp)2.dme、Sr(thmd)2、Sr(thmd)2.三甘醇二甲醚、Sr(thmd)2.四甘醇二甲醚、Sr(Me5Cp)2、Sr(Me4Cp)2、Sr(Me4EtCp)2、Sr(Me4nBuCp)2、Ba(iPr3Cp)2、Ba(iPr3Cp)2.thf、Ba(iPr3Cp)2.dme、Ba(tBu3Cp)2、Ba(tBu3Cp)2.thf、Ba(tBu3Cp)2.dme、Ba(thmd)2、Ba(thmd)2.三甘醇二甲醚、Ba(thmd)2.四甘醇二甲醚、Ba(Me5Cp)2、Ba(Me4Cp)2、Ba(Me4EtCp)2和Ba(Me4nBuCp)2;且
·该氧源和该第二氧源为水。
记号和命名法
贯穿以下说明书和权利要求书中使用某些缩写、符号和术语,包括:缩写“STO”指代钛酸锶;缩写“BST”指代钛酸锶钡;缩写“PZT”指代锆钛酸铅;
缩写“R1-NC(R3)N-R2”指代以下化学结构:
缩写“R1-N(C(R3)2)m-N-R2”指代以下化学结构:
缩写“O2CR7”指代以下化学结构:
Figure BDA0000140884700000091
缩写“Cy”指代环己基;缩写“Cp”指代环戊二烯;术语“脂肪基”指代C1-C6直链或支化烷基;术语“烷基”指代排他性地含有碳和氢原子的饱和官能团,包括直链、支化或环烷基。直链烷基的实例包括(非限制)甲基、乙基、正丙基、正丁基等。支化烷基的实例包括(非限制)叔丁基。环烷基的实例包括(非限制)环丙基、环丁基、环戊基、环己基等。缩写“Me”指代甲基;缩写“Et”指代乙基;缩写“Pr”指代丙基;缩写“iPr”指代异丙基;缩写“iBu”指代异丁基;缩写“nBu”指代正丁基;缩写“sBu”指代仲丁基;缩写「tBu”指代叔丁基;缩写“neo-pentyl”指代-CH2CMe3;缩写“NZ-amd”指代R1-NC(R3)N-R2,其中R3=C1-C6烷基,且R1和R2=Z,其定义为Me、Et、Pr、iPr、nBu、iBu、sBu或tBu,例如,NMe-amd为Me-NC(Me)N-Me;缩写“NZ-fmd”指代R1-NC(R3)N-R2,其中R3=H且R1和R2=Z,其定义为Me、Et、Pr、iPr或tBu;缩写“Nz-gmd”指代R1-NC(R3)N-R2,其中R3=NR5R6,其中R5和R6=H或C1-C6烷基,且R1和R2=Z,其定义为Me、Et、Pr、iPr、nBu、iBu、sBu或tBu;缩写“THF”指代四氢呋喃;缩写“TMA”指代三甲基铝;缩写“ALD”指代原子层沉积;缩写“CVD”指代化学气相沉积;缩写“LPCVD”指代低压化学气相沉积;缩写“P-CVD”指代脉冲化学气相沉积;缩写“PE-ALD”指代等离子增强原子层沉积;缩写“MIM”指代金属绝缘体金属(在电容器中使用的结构);缩写“DRAM”指代动态随机存取存储器;缩写“FeRAM”指代铁电随机存取存储器;缩写“CMOS”指代互补金属氧化物半导体;缩写“TGA”指代热重分析。
本文使用元素周期表的元素的标准缩写。应理解,元素可由这些缩写来指代(例如,Ti指代钛,Ba指代钡,等等)。
为了进一步理解本发明的性质和目标,应参考结合随附图式作出的以下详细描述,其中对同样的组件给出相同或相似的参考数字。
附图说明
为了进一步理解本发明的性质和目的,参考一下详细说明结合附图,其中相似元素用相同或类似的参考数字给出,其中
图1为表明关于Ti(NiPr-amd)(OiPr)3的随着温度改变的重量损失的百分比的热重分析(TGA)图;
图2为关于Ti(NiPr-amd)2(OiPr)2的TGA图;
图3为关于Ti(NiPr-fmd)2(OiPr)2的TGA图;
图4为关于Ti(NiPr-amd)(OiPr)2(NMe2)的TGA图;
图5为关于Ti(Et-N-(CH2)2-N-Et)(OiPr)2的TGA图;和
图6为关于Ti(Me2CH-N-(CH2)3-N-CHMe2)(OiPr)2的TGA图。
优选实施方式
公开了新含钛前体、其合成方法和其使用方法。
所公开的混配含钛前体衍生自不同类别的配体体系,诸如脒盐、甲脒盐(formamidinate)、胍盐、酰胺和/或螯合酰胺配体加上醇盐配体。前体设计可帮助改进挥发性,降低熔点(液体或非常低熔融固体),增加与水的反应性,和增加较宽工艺窗应用的热稳定性。
所公开的含钛前体具有下式:
Ti(R1-N-C(R3)-N-R2)u(OR4)x(NR5R6)y(O2CR7)z    式I或
Ti(R1-N-(C(R3)2)m-N-R2)v(OR4)x(NR5R6)y(O2CR7)z  式II
其中:
■R1、R2、R5、R6和R7独立地选自H和C1-C6烷基;
■R3=H、C1-C6烷基或NMe2
■R4为C1-C6烷基;
■m=2-4;
■u=0-2;
■v=0-1;
■x=1-3;
■y=0-2;
■z=0-1;
■在式I中,u+x+y+z=4;
■在式II中,2v+x+y+z=4;且
■u、v或z≥1。
如上所定义,C1-C6烷基包括具有自1至6个碳原子的任何直链、支化或环烷基,包括但不限于Me、tBu或环己基。
在式I中,R1-NC(R3)N-R2配体具有以下化学结构:
Figure BDA0000140884700000111
在式II中,R1-N-(C(R3)2)m-N-R2配体具有以下化学结构:
因此,虽然相同元素保持在配体的主链(即-N-C-N-)中,但配体本身从在-N-C-N-主链之间具有一个非定域负电荷的-1配体转向具有定域于每一氮原子处的负电荷的-2配体。另外,式I配体具有比式II配体更刚性的结构。
当在式I中,R1和R3为C1-C6直链或支化烷基,R1和R3可为独立的取代基,或它们可连接在一起以形成从R1延伸至R3的单环结构,如以下所表明。
Figure BDA0000140884700000121
类似地,当在式I中,R1、R3和R2为C1-C6直链或支化烷基时,R1、R3和R2可为独立的取代基,或它们可连接在一起以形成双环结构,如以下所表明。
Figure BDA0000140884700000122
选择所公开的前体的构型以便使反应性(尤其与H2O)最佳化,同时使稳定性最佳化。Ti-N键弱,将在表面上迅速反应。同时,Ti-O键强得多,将帮助使分子稳定以避免快速分解。通过调整该分子,获得在基底上良好反应的前体,这归因于较弱位点。
当在式I中u=1、x=3、y=0且z=0时,R1和R2优选为Et或iPr,R3优选为H、Me或NMe2,R4优选为C1-C4直链或支化烷基链。示例性前体包括:Ti(iPr-N-C(H)-N-iPr)1(OiPr)3、Ti(iPr-N-C(H)-N-iPr)1(OMe)3、Ti(iPr-N-C(H)-N-iPr)1(OEt)3、Ti(iPr-N-C(H)-N-iPr)1(OnPr)3、Ti(iPr-N-C(H)-N-iPr)1(OsBu)3、Ti(iPr-N-C(H)-N-iPr)1(OiBu)3、Ti(iPr-N-C(H)-N-iPr)1(OtBu)3、Ti(iPr-N-C(Me)-N-iPr)1(OiPr)3、Ti(iPr-N-C(Me)-N-iPr)1(OMe)3、Ti(iPr-N-C(Me)-N-iPr)1(OEt)3、Ti(iPr-N-C(Me)-N-iPr)1(OnPr)3、Ti(iPr-N-C(Me)-N-iPr)1(OsBu)3、Ti(iPr-N-C(Me)-N-iPr)1(OiBu)3、Ti(iPr-N-C(Me)-N-iPr)1(OtBu)3、Ti(Et-N-C(Me)-N-Et)1(OEt)3、Ti(Et-N-C(Me)-N-Et)1(OMe)3、Ti(Et-N-C(Me)-N-Et)1(OnPr)3、Ti(Et-N-C(Me)-N-Et)1(OsBu)3、Ti(Et-N-C(Me)-N-Et)1(OiBu)3、Ti(Et-N-C(Me)-N-Et)1(OtBu)3或Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3。优选的示例性前体为Ti(iPr-N-C(Me)-N-iPr)1(OiPr)3
当在式II中m=2或3,v=1,x=2,y=0且z=0时,R1和R2优选为Et或iPr,R3优选为H,且R4优选为C1-C4直链或支化烷基链。更优选,当m=2时,R1和R2不为Me。示例性前体包括:Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2、Ti(iPr-N-(CH2)2-N-iPr)1(OMe)2、Ti(iPr-N-(CH2)2-N-iPr)1(OEt)2、Ti(iPr-N-(CH2)2-N-iPr)1(OnPr)2、Ti(iPr-N-(CH2)2-N-iPr)1(OsBu)2、Ti(iPr-N-(CH2)2-N-iPr)1(OiBu)2、Ti(iPr-N-(CH2)2-N-iPr)1(OtBu)2、Ti(Et-N-(CH2)2-N-Et)1(OiPr)2、Ti(Et-N-(CH2)2-N-Et)1(OMe)2、Ti(Et-N-(CH2)2-N-Et)1(OEt)2、Ti(Et-N-(CH2)2-N-Et)1(OnPr)2、Ti(Et-N-(CH2)2-N-Et)1(OsBu)2、Ti(Et-N-(CH2)2-N-Et)1(OiBu)2、Ti(Et-N-(CH2)2-N-Et)1(OtBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2、Ti(iPr-N-(CH2)3-N-iPr)1(OMe)2、Ti(iPr-N-(CH2)3-N-iPr)1(OEt)2、Ti(iPr-N-(CH2)3-N-iPr)1(OnPr)2、Ti(iPr-N-(CH2)3-N-iPr)1(OsBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OiBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2、Ti(Et-N-(CH2)3-N-Et)1(OiPr)2、Ti(Et-N-(CH2)3-N-Et)1(OMe)2、Ti(Et-N-(CH2)3-N-Et)1(OEt)2、Ti(Et-N-(CH2)3-N-Et)1(OnPr)2、Ti(Et-N-(CH2)3-N-Et)1(OsBu)2、Ti(Et-N-(CH2)3-N-Et)1(OiBu)2或Ti(Et-N-(CH2)3-N-Et)1(OtBu)2。优选的示例性前体为Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2、Ti(Et-N-(CH2)3-N-Et)1(OiPr)2或Ti(Et-N-(CH2)2-N-Et)1(OiPr)2
当在式I中u=2、x=2、y=0且z=0时,前体具有以下化学结构:
Figure BDA0000140884700000131
在该实施方案中,R1和R2优选为Et或iPr,R3优选为H或Me,且R4优选为C1-C4直链或支化烷基链。更优选R3不为NMe2。示例性前体包括:Ti(iPr-N-C(H)-N-iPr)2(OiPr)2、Ti(iPr-N-C(H)-N-iPr)2(OMe)2、Ti(iPr-N-C(H)-N-iPr)2(OEt)2、Ti(iPr-N-C(H)-N-iPr)2(OnPr)2、Ti(iPr-N-C(H)-N-iPr)2(OsBu)2、Ti(iPr-N-C(H)-N-iPr)2(OiBu)2、Ti(iPr-N-C(H)-N-iPr)2(OtBu)2、Ti(Et-N-C(H)-N-Et)2(OiPr)2、Ti(Et-N-C(H)-N-Et)2(OMe)2、Ti(Et-N-C(H)-N-Et)2(OEt)2、Ti(Et-N-C(H)-N-Et)2(OnPr)2、Ti(Et-N-C(H)-N-Et)2(OsBu)2、Ti(Et-N-C(H)-N-Et)2(OiBu)2、Ti(Et-N-C(H)-N-Et)2(OtBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OMe)2、Ti(iPr-N-C(Me)-N-iPr)2(OEt)2、Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2、Ti(Et-N-C(Me)-N-Et)2(OiPr)2、Ti(Et-N-C(Me)-N-Et)2(OMe)2、Ti(Et-N-C(Me)-N-Et)2(OEt)2、Ti(Et-N-C(Me)-N-Et)2(OnPr)2、Ti(Et-N-C(Me)-N-Et)2(OsBu)2、Ti(Et-N-C(Me)-N-Et)2(OiBu)2和Ti(Et-N-C(Me)-N-Et)2(OtBu)2。优选的示例性前体为Ti(iPr-N-C(H)-N-iPr)2(OiPr)2或Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2
当在式I中u=1,x=2,y=1且z=0时,前体具有以下化学结构:
Figure BDA0000140884700000141
在该实施方案中,R1和R2优选为Et或iPr;R3优选为H、Me或NMe2;R4优选为iPr;且R5和R6优选独立地为Me或Et。示例性前体包括:Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMeiPr)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NiPr2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMetBu)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NneoPentyl2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMeiPr)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NiPr2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NneoPentyl2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NiPr2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NneoPentyl2)和Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr)。优选的示例性前体为Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2)。
当在式I中u=1,x=2,y=0且z=1时,前体具有以下化学结构:
Figure BDA0000140884700000151
在该实施方案中,R1和R2优选为Et或iPr;R3优选为H或Me;R4优选为iPr;且R7优选为Me。示例性前体包括:Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(O2CMe)和Ti(Et-N-C(Me)-N-Et)(OiPr)2(O2CMe)。
当在式II中v=1,x=1,y=0且z=1时,前体具有以下化学结构:
Figure BDA0000140884700000161
当m=2,v=1,x=1,y=0,z=1且R3=H时,前体具有以下化学结构:
Figure BDA0000140884700000162
当m=3,v=1,x=1,y=0,z=1且R3=H时,前体具有以下化学结构:
Figure BDA0000140884700000163
在这些实施方案中,m优选为2或3,R1和R2优选为Et或iPr;R3优选为H;R4优选为C1-C4直链或支化烷基链;且R7优选为Me。示例性前体包括:Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OMe)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OEt)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OnPr)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OsBu)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OiBu)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OtBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OiPr)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OMe)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OEt)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OnPr)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OsBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OiBu)(O2CMe)和Ti(Et-N-(CH2)2-N-Et)(OtBu)(O2CMe)。
当在式I中u=1,x=1,y=2且z=0时,示例性前体包括:Ti(iPr-N-C(Me)-N-iPr)(OiPr)(NMe2)2、Ti(iPr-N-C(Me)-N-iPr)(OiPr)(NEt2)2、Ti(iPr-N-C(Me)-N-iPr)(OiPr)(NEtMe)2、Ti(Et-N-C(Me)-N-Et)(OiPr)(NMe2)2、Ti(Et-N-C(Me)-N-Et)(OiPr)(NEt2)2、Ti(Et-N-C(Me)-N-Et)(OiPr)(NEtMe)2、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)(NMe2)2、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)(NEt2)2和Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)(NEtMe)2
当在式II中v=1,x=1,y=1且z=0时,示例性前体包括:Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(NMe2)、Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(NEt2)、Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(NEtMe)、Ti(Et-N-(CH2)2-N-Et)(OiPr)(NMe2)、Ti(Et-N-(CH2)2-N-Et)(OiPr)(NEt2)和Ti(Et-N-(CH2)2-N-Et)(OiPr)(NEtMe)。
当在式I中u=1,x=1,y=0且z=2时,示例性前体包括:Ti(iPr-N-C(Me)-N-iPr)(OiPr)(O2CMe)2和Ti(Et-N-C(Me)-N-Et)(OiPr)(O2CMe)2
当在式I或式II中的任一个中u、v、y=0,x=2且z=2时,示例性前体包括Ti(OiPr)2(O2CMe)2
当在式I或式II中的任一个中u、v、y=0,x=3且z=1时,示例性前体包括Ti(OiPr)3(O2CMe)。
可通过将H(R1-N-C(R3)-N-R2)的烃溶液与钛化合物如Ti(OR4)3(NR5R6)或Ti(OR4)2(NR5R6)2的纯溶液或烃溶液在氮气氛围下组合来合成所公开的前体,混合烧瓶的出口连接至油起泡器。示例性烃溶液包括戊烷。在室温下将所得溶液搅拌过夜。在应用时,可添加HO2CR7且进一步搅拌6至12个小时。在真空下从反应混合物中移除溶剂和挥发物。分别通过蒸馏或升华进行所得液体或固体的纯化。额外合成细节提供于实施例中。
还公开了将所公开的含钛前体用于气相沉积方法的方法。所公开的方法提供了将含钛前体用于沉积含钛膜。所公开的方法可用于半导体、光生伏打器件、LCD-TFT或平板型器件的制造中。该方法包括:提供基底;提供包括所公开的含钛前体中的至少一种的蒸气;使蒸气与基底接触(和典型地,将蒸气引导至基底)以在基底的至少一个表面上形成含钛层。
所公开的方法也提供使用气相沉积方法在基底上形成含双金属的层,更特别地,用于沉积STO或BST膜。所公开的方法可用于半导体、光生伏打器件、LCD-TFT或平板型器件的制造中。该方法包括:提供基底;提供包括所公开的含钛前体中的至少一种的蒸气;使蒸气与基底接触(典型地将蒸气引导至基底)以在基底的至少一个表面上形成含双金属的层。氧源如O3、O2、H2O和NO(优选H2O)也可与蒸气一起提供。
所公开的含钛前体可用于使用本领域技术人员已知的任何沉积方法沉积含钛膜。合适沉积方法的实例包括(非限制)传统化学气相沉积(CVD)、低压化学气相沉积(LPCVD)、原子层沉积(ALD)、脉冲化学气相沉积(P-CVD)、等离子增强原子层沉积(PE-ALD)或其组合。优选,沉积方法为ALD或PE-ALD。
将含钛前体的蒸气引入含有至少一个基底的反应室。将反应室内的温度和压力及基底的温度保持在合适的条件下,使得含钛前体与基底之间的接触导致在基底的至少一个表面上形成含Ti层。反应物也可用于帮助形成含Ti层。
反应室可为装置的发生沉积方法的任何腔(enclosure)或室,如(非限制)平行板型反应器、冷壁型反应器、热壁型反应器、单晶片反应器、多晶片反应器或其他这种类型的沉积系统。所有这些示例性反应室能够充当ALD反应室。可将反应室维持在约0.5毫托(0.07帕)至约20托(2700帕)的压力下。此外,在反应室内的温度可为约200℃至约600℃。本领域技术人员将认识到,可仅通过实验来使温度优化以达成所要结果。
可通过控制基底固持器的温度或控制反应器壁的温度来控制反应室的温度。用于加热基底的装置是本领域已知的。反应器壁经加热至足够温度以在足够生长速率下获得所要膜且所要膜具有所要物理状态和组成。反应器壁可被加热至包括约200℃至约600℃的非限制性示例性温度范围。当利用等离子沉积方法时,沉积温度的可以为约200℃至约550℃。或者,当进行热方法时,沉积温度可以为约400℃至约600℃。
或者,可将基底加热至足够温度以在足够生长速率下获得所要含钛膜且所要含钛膜具有所要物理状态和组成。基底可被加热至包括150℃至600℃的非限制性示例性温度范围。优选,基底的温度保持小于或等于450℃。
上面将沉积有含钛膜的基底的类型取决于意欲的最终用途而变化。在一些实施方案中,基底可选自:用作MIM、DRAM或FeRam技术中的介电材料的氧化物(例如,基于HfO2的材料、基于TiO2的材料、基于ZrO2的材料、基于稀土氧化物的材料、基于三元氧化物的材料等),或用作铜与低k层之间的氧障(oxygen barrier)的基于氮化物的膜(例如,TaN)。其他基底可用于半导体、光生伏打器件、LCD-TFT或平板器件的制造中。这些基底的实例包括但不限于:固体基底,诸如,含金属氮化物的基底(例如TaN、TiN、WN、TaCN、TiCN、TaSiN和TiSiN);绝缘体(例如SiO2、Si3N4、SiON、HfO2、Ta2O5、ZrO2、TiO2、Al2O3和钛酸锶钡);或其他基底,包括这些材料的任何数目的组合。所利用的实际基底也可取决于所利用的特定前体实施方案。但在许多例子中,所利用的优选基底选自TiN、SRO、Ru和Si型基底。
在将含钛前体引入反应室之前,可将含钛前体以液态供入气化器,在气化器中使该含钛前体气化。在含钛前体气化之前,可任选将含钛前体与一种或多种溶剂、一种或多种金属源及一种或多种溶剂与一种或多种金属源的混合物混合。溶剂可选自:甲苯、乙苯、二甲苯、均三甲苯、癸烷、十二烷、辛烷、己烷、戊烷,或其他。所得浓度的范围可为约0.05M至约2M。金属源可包括现在已知或日后开发的任何含金属前体。
或者,可通过将运载气体传递至含有含钛前体的容器中或通过使运载气体起泡至含钛前体中来使含钛前体气化。接着将运载气体和含钛前体作为蒸气引入反应室内。运载气体可包括但不限于Ar、He、N2,及其混合物。可任选在容器中将含钛前体与一种或多种溶剂、含金属前体或其混合物混合。若必要,可将容器加热至准许含钛前体处于其液相下且具有足够蒸气压的温度。可将容器维持在例如约0℃至约150℃的温度下。本领域技术人员认识到,可以已知方式调整容器的温度以控制气化的含钛前体的量。
除了在引入反应室前将含钛前体与溶剂、含金属前体和稳定剂任选混合之外,也可将含钛前体与在反应室内部的反应物混合。示例性反应物包括(非限制)含金属前体如含锶前体、含钡前体、含铝前体如TMA,及其任何组合。可将少量这些或其他含金属前体作为掺杂剂或作为所得膜如BST和STO中的第二或第三金属而掺入所得膜中。
当所要含钛膜还含有氧如(非限制),STO时,反应物可包括选自(但不限于)以下的氧源:O2、O3、H2O、H2O2、乙酸、福尔马林、多聚甲醛,及其组合。优选,当进行ALD方法时,反应物为H2O。
可通过等离子来处理反应物以便将反应物分解成其自由基形式。等离子可产生或存在于反应室自身内。或者,等离子可大体上处于远离反应室的位置处,例如在远程定位的等离子系统中。本领域技术人员将认识到适合于该等离子处理的方法和设备。
举例而言,可将反应物引入直接等离子反应器(其在反应室中产生等离子)中,以在反应室中产生经等离子处理的反应物。示例性直接等离子反应器包括由Trion Technologies生产的TitanTM PECVD系统。可在等离子处理之前将反应物引入且保持在反应室中。或者,等离子处理可与反应物的引入同时进行。原位等离子典型地为13.56MHz RF电容耦合等离子,其产生于喷射头(showerhead)与基底固持器之间。取决于是否发生正离子碰撞,基底或簇射头可为供电电极。在原位等离子产生器中的典型施加功率为约100W至约1000W。使用原位等离子达成的该反应物解离典型地小于对于相同功率输入使用远程等离子源达成的该反应物解离,且因此该反应物解离不如远程等离子系统中的反应物解离有效,此情形可有益于在基底上沉积容易被等离子损坏的含金属氮化物膜。
或者,可在反应室外部生产经等离子处理的反应物。在传递至反应室中之前,可使用MKS Instruments的
Figure BDA0000140884700000211
i活性气体产生器来处理反应物。在2.45GHz、7kW等离子功率和约3托至约10托的压力下操作,可将反应物O3分解成三个O-自由基。优选,可通过约1kW至约10kW,更优选约2.5kW至约7.5kW的功率来产生远程等离子。
当所要含钛膜也含有另一金属如(非限制)Ta、Hf、Zr、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、Co、镧系元素(如Eu)或其组合时,反应物可包含选自(但不限于)以下的含金属前体:烷基金属如Ln(RCp)3或Co(RCp)2;金属胺如Zr(Cp)(NMe2)3或Hf(Cp)(NMe2)3;及其任何组合。
在一优选实施方案中,反应物可为具有式M(L)2或M(L)2.A的含金属前体化合物,其中M为Sr或Ba,L选自(a)经取代的环戊二烯基配体体系(R1R2R3R4R5Cp),其中R1至R5中的每一个独立地选自H或C1-C6直链或支化烷基链,或(b)β-二酮配体体系(-O-CR6-CH-CR7-O-),其中R6和R7中的每一个独立地选自C1-C6直链或支化烷基链;且A=为含中性氧的分子,包括但不限于四氢呋喃、二甲氧基乙烷、二甘醇二甲醚、三甘醇二甲醚、四甘醇二甲醚,或其组合。优选,含金属前体具有式M(R5Cp)2,其中每个R独立地选自H、Me、Et和nBu。
示例性含金属前体包括但不限于:Sr(iPr3Cp)2、Sr(iPr3Cp)2.thf、Sr(iPr3Cp)2.dme、Sr(tBu3Cp)2、Sr(tBu3Cp)2.thf、Sr(tBu3Cp)2.dme、Sr(thmd)2、Sr(thmd)2.三甘醇二甲醚、Sr(thmd)2.四甘醇二甲醚、Sr(Me5Cp)2、Sr(Me4Cp)2、Sr(Me4EtCp)2、Sr(Me4nBuCp)2、Ba(iPr3Cp)2、Ba(iPr3Cp)2.thf、Ba(iPr3Cp)2.dme、Ba(tBu3Cp)2、Ba(tBu3Cp)2.thf、Ba(tBu3Cp)2.dme、Ba(thmd)2、Ba(thmd)2.三甘醇二甲醚、Ba(thmd)2.四甘醇二甲醚、Ba(Me5Cp)2、Ba(Me4Cp)2、Ba(Me4EtCp)2和Ba(Me4nBuCp)2
将含金属前体的蒸气(即第二蒸气)引入反应室内。反应室内的温度和压力及基底的温度保持在合适的条件下,使得含金属前体与基底之间的接触导致在基底的至少一个表面上形成含M层。反应物也可用于帮助形成含M层。
本领域技术人员将认识到,可在所公开的沉积方法中使用额外反应物。术语“第二蒸气”仅用于避免与含钛前体的“蒸气”混淆。举例而言,具有式Sr(iPr3Cp)2的含金属前体的第二蒸气和具有式Ba(Me5Cp)2的含金属前体的第三蒸气可与所公开的含钛前体的蒸气一起使用以形成BST膜。
可将含钛前体与一种或多种反应物同时(化学气相沉积)、依序(原子层沉积)或以其他组合引入反应室内。举例而言,可在一个脉冲中引入含钛前体,且可在单独脉冲中一起引入两个额外金属源[修改的原子层沉积]。或者,在引入含钛前体之前,反应室可能已经含有反应物。可使反应物通过远离反应室的等离子系统,且使其分解成自由基。或者,可在通过脉冲(脉冲式化学气相沉积)引入其他金属源的同时连续地将含钛前体引入反应室。在每一实施例中,脉冲后可为净化或抽真空步骤以移除引入的过量组分。在每一实施例中,脉冲可持续范围约0.01s至约10s,或者约0.3s至约3s,或者约0.5s至约2s的一段时间。
在一非限制性示例性原子层沉积型方法中,将气相的含钛前体引入反应室中,在反应室中使其与合适的基底接触。接着可通过净化和/或抽真空反应室而从反应室移除过量含钛前体。将氧源引入反应室中,在反应室中,该氧源与所吸收的钛前体以自限制方式反应。接着可通过净化和/或抽真空反应室而从反应室移除任何过量氧源。若所要膜为氧化钛膜,则该两步骤方法可提供所要膜厚度,或可重复该两步骤方法直至已获得具有必要厚度的膜为止。
或者,若所要的膜为钛金属氧化物膜(即,TiMO),则可在以上的两步骤方法之后将含金属前体的第二蒸气引入反应室中。基于沉积的钛金属氧化膜的性质来选择含金属前体。在引入反应室中之后,使含金属前体与基底接触。通过净化和/或抽真空反应室而从反应室移除任何过量含金属前体。再次,可将氧源引入反应室内以与含金属前体反应。通过净化和/或抽真空反应室而从反应室移除过量氧源。若已达成所要膜厚度,则可终止该方法。然而,若需要较厚膜,则可重复整体四步骤方法。通过交替供应含钛前体、含金属前体与氧源,可沉积具有所要组成和厚度的膜。
另外,通过变化脉冲的数目,可获得具有所要M∶Ti化学计量比的膜。举例而言,可通过具有含钛前体的一个脉冲和含金属前体的两个脉冲来获得Sr2TiO4膜,其中每一脉冲后为氧源的脉冲。然而,本领域技术人员将认识到,获得所要膜所需的脉冲的数目可能不与所得膜的化学计量比相同。
从以上所论述的方法产生的含钛膜或含钛层可包含STO、BST或PZT。本领域技术人员将认识到,通过对适当含钛前体和反应物的明断选择,可获得所要膜组成。
实施例
提供以下非限制性实施例以进一步说明本发明的实施方案。然而,这些实施例并不意欲为全部包括性且并不意欲限制本文中描述的本发明的范畴。
实施例1
Ti(NiPr-amd)(OiPr)3:将200mL戊烷溶液冷冻至-30℃ 1h,其后添加10.0g、37.15mmol的Ti(OiPr)3(NMe2),且在氮气氛围下在室温下搅拌。将NiPr-amd-H(5.28g、37.15mmol)在20mL戊烷中的溶液缓慢地添加至以上混合物。将烧瓶的出口连接至油起泡器,油起泡器又连接至酸洗涤器。在室温下将所得溶液搅拌过夜。在真空下从反应混合物中移除溶剂和挥发物,从而得到橙色液体。通过蒸馏净化橙色液体产生12.5g(92%)。图1为表明关于该前体的随温度改变的重量损失的百分比的TGA图。
NMR(C6D6,δ):1.17(12H,d,(CH3)2-CH-N-C(CH3)=N-CH-(CH3)2)、1.23(18H,d,O-CH-(CH3)2)、1.86(3H,s,(CH3)2-CH-N-C(CH3)=N-CH-(CH3)2)、3.57(1H,m,O-CH-(CH3)2)、4.46(2H,m,O-CH-(CH3)2)、4.73(2H,m,(CH3)2-CH-N-C(H)=N-CH-(CH3)2)。
实施例2
Ti(NiPr-amd)2(OiPr)2:在氮气氛围下将纯Ti(OiPr)2(NMe2)2(10.00g、39.34mmol)化合物缓慢地添加至在室温下搅拌的含有11.19g、78.68mmol的NiPr-amd-H的戊烷溶液,将烧瓶的出口连接至油起泡器。在室温下将所得溶液搅拌过夜。在真空下从反应混合物中移除溶剂和挥发物。获得深橙红色固体。通过升华进行固体的净化,从而得到产量:15.4g、88%。图2为表明关于该前体的随温度改变的重量损失的百分比的TGA图。
NMR(C6D6,δ):1.14(6H,br,(CH3)2-CH-N-C(CH3)=N-CH-(CH3)2)、1.31(24H,br,(CH3)2-CH-N-C(H)=N-CH-(CH3)2)、1.53(12H,d,O-CH-(CH3)2)、3.56(4H,br,(CH3)2-CH-N-C(H)=N-CH-(CH3)2)、4.85(2H,d,O-CH-(CH3)2)。
实施例3
Ti(NiPr-fmd)2(OiPr)2:在氮气氛围下将纯Ti(OiPr)2(NMe2)2(10.00g、39.34mmol)化合物缓慢地添加至在室温下搅拌的含有10.00g、78.68mmol的NiPr-fmd-H的戊烷溶液,将烧瓶的出口连接至油起泡器。在室温下将所得溶液搅拌过夜。在真空下从反应混合物中移除溶剂和挥发物。获得黄橙色固体。通过升华进行固体的净化,从而得到产量:16.5g、74%。图3为表明关于该前体的随温度改变的重量损失的百分比的TGA图。
NMR(C6D6,δ):1.27(24H,br,(CH3)2-CH-N-C(H)=N-CH-(CH3)2)、1.29(12H,d,O-CH-(CH3)2)、3.99(4H,br,(CH3)2-CH-N-C(H)=N-CH-(CH3)2)、4.88(2H,d,O-CH-(CH3)2)、7.88(2H,br,(CH3)2-CH-N-C(H)=N-CH-(CH3)2)。
实施例4
Ti(NiPr-gmd)2(OiPr)2:在氮气氛围下将纯Ti(OiPr)2(NMe2)2(3.00g、11.80mmol)化合物缓慢地添加至在室温下搅拌的含有2.98g、23.60mmol的iPr-N=C=N-iPr的戊烷溶液,将烧瓶的出口连接至油起泡器。在室温下将所得溶液搅拌过夜。在真空下从反应混合物中移除溶剂和挥发物。获得红色固体。通过升华进行固体的净化,从而得到非常低的产量,NMR频谱展示产品的混合物。
实施例5
Ti(NiPr-amd)(OiPr)2(NMe2):将NiPr-amd-H(8.01g、56.31mmol)在50mL戊烷中的溶液缓慢地逐滴添加至在氮气氛围下在室温下搅拌的含有14.3g、56.31mmol的Ti(OiPr)2(NMe2)2的80mL戊烷溶液。将烧瓶的出口连接至油起泡器,油起泡器又连接至酸洗涤器。在室温下将所得溶液搅拌过夜。在真空下从反应混合物中移除溶剂和挥发物,从而得到橙红色液体。通过蒸馏净化橙红色液体产生11.5g(60%)。图4为表明关于该前体的随温度改变的重量损失的百分比的TGA图。NMR(C6D6,δ):1.14(12H,d,(CH3)2-CH-N-C(CH3)=N-CH-(CH3)2)、1.33(12H,d,O-CH-(CH3)2)、1.47(3H,s,(CH3)2-CH-N-C(CH3)=N-CH-(CH3)2)、3.37(6H,s,N(CH3)2)、3.46(2H,m,O-CH-(CH3)2)、4.82(2H,m,(CH3)2-CH-N-C(H)=N-CH-(CH3)2)。
实施例6
Ti(Et-N-(CH2)2-N-Et)(OiPr)2:将纯Et-NH-(CH2)2-NH-Et(6.86g、59.01mmol)液体缓慢地逐滴添加至在氮气氛围下在室温下搅拌的含有15.0g、59.01mmol的Ti(OiPr)2(NMe2)2的60mL戊烷溶液。将烧瓶的出口连接至油起泡器,油起泡器又连接至酸洗涤器。在室温下将所得溶液搅拌过夜。在真空下从反应混合物中移除溶剂和挥发物,从而得到橙红色液体。通过蒸馏净化橙红色液体得到定量产量。图5为表明关于该前体的随温度改变的重量损失的百分比的TGA图。
NMR(C6D6,δ):1.20(6H,t,CH3-CH2-N-CH2-CH2-N-CH2-CH3)、1.3(12H,d,O-CH-(CH3)2)、3.5(4H,s,CH3-CH2-N-CH2-CH2-N-CH2-CH3)、3.7(4H,q,CH3-CH2-N-CH2-CH2-N-CH2-CH3)、4.7(2H,m,O-CH-(CH3)2)。
实施例7
Ti(Me-N-(CH2)2-N-Me)(OiPr)2:类似于实施例6来进行合成。通过蒸馏净化红色液体导致化合物的分解。NMR(C6D6,δ):1.31(12H,d,O-CH-(CH3)2)、3.29(6H,t,CH3-N-CH2-CH2-N-CH3)、3.41(4H,s,CH3-N-CH2-CH2-N-CH3)、4.73(2H,m,O-CH-(CH3)2)。
实施例8
Ti(Me2CH-N-(CH2)3-N-CHMe2)(OiPr)2:类似于实施例6进行合成。
图6为表明关于该前体的随温度改变的重量损失的百分比的TGA图。
NMR(C6D6,δ):1.20(6H,t,CH3-CH2-N-CH2-CH2-CH2-N-CH2-CH3)、1.27(12H,d,O-CH-(CH3)2)、2.23(2H,br,CH3-CH2-N-CH2-CH2-CH2-N-CH2-CH3)、3.28(4H,q,(CH3-CH2-N-CH2-CH2-CH2-N-CH2-CH3)、3.33(4H,q,CH3-CH2-N-CH2-CH2-CH2-N-CH2-CH3)、4.55(2H,m,O-CH-(CH3)2)。
实施例9
实施例1的含钛前体Ti(NiPr-amd)(OiPr)3和反应物O3用于在SiO2/Si基底上沉积TiO2膜。将SiO2/Si基底维持在250℃的温度下。在维持在50℃下的起泡器中使前体气化。ALD循环包括5秒的前体脉冲,其后为5秒净化,其后为2秒的反应物脉冲,其后为5秒净化。观察TiO2生长速率为0.1埃/循环。在高达0.3埃/循环的沉积速率下,分析ALD系统高达350℃。
实施例10
实施例1的含钛前体Ti(NiPr-amd)(OiPr)3和反应物H2O用于在SiO2/Si基底上沉积TiO2膜。将SiO2/Si基底维持在250℃的温度下。在维持在50℃下的起泡器中使前体气化。ALD循环包括20秒的前体脉冲,其后为5秒净化,其后为2秒的反应物脉冲,其后为10秒净化。观察TiO2生长速率为0.33埃/循环。在高达0.40埃/循环的沉积速率下,分析ALD系统高达350℃。
实施例11
实施例2的含钛前体Ti(NiPr-amd)2(OiPr)2和反应物O3用于在SiO2/Si基底上沉积TiO2膜。将SiO2/Si基底维持在300℃的温度下。在维持在120℃下的起泡器中使橙红色前体气化。ALD循环包括10秒的前体脉冲,其后为5秒净化,其后为2秒的反应物脉冲,其后为10秒净化。观察TiO2生长速率为0.33埃/循环。在高达0.40埃/循环的沉积速率下,分析ALD系统高达325℃。
实施例12
实施例2的含钛前体Ti(NiPr-amd)2(OiPr)2和反应物H2O用于在SiO2/Si基底上沉积TiO2膜。将SiO2/Si基底维持在275℃的温度下。在维持在120℃下的起泡器中使橙红色前体气化。ALD循环包括10秒的前体脉冲,其后为5秒净化,其后为2秒的反应物脉冲,其后为5秒净化。观察TiO2生长速率为0.40埃/循环。在高达0.47埃/循环的沉积速率下,分析ALD系统高达325℃。
实施例13
实施例5的含钛前体Ti(NiPr-amd)(OiPr)2(NMe2)和反应物O3用于在SiO2/Si基底上沉积TiO2膜。将SiO2/Si基底维持在225℃的温度下。在维持在55℃下的起泡器中使橙红色前体气化。ALD循环包括15秒的前体脉冲,其后为5秒净化,其后为2秒的反应物脉冲,其后为5秒净化。观察TiO2生长速率为0.17埃/循环。在高达0.70埃/循环的沉积速率下,分析ALD系统高达375℃。
实施例14
实施例5的含钛前体Ti(NiPr-amd)(OiPr)2(NMe2)和反应物H2O用于在SiO2/Si基底上沉积TiO2膜。将SiO2/Si基底维持在225℃的温度下。在维持在55℃下的起泡器中使橙红色前体气化。ALD循环包括10秒的前体脉冲,其后为10秒净化,其后为1秒的反应物脉冲,其后为10秒净化。观察TiO2生长速率为0.73埃/循环。在高达0.80埃/循环的沉积速率下,分析ALD系统高达375℃。
实施例15
实施例6的含钛前体Ti(Et-N-(CH2)2-N-Et)(OiPr)2和反应物O3用于在SiO2/Si基底上沉积TiO2膜。将SiO2/Si基底维持在250℃的温度下。在维持在50℃下的起泡器中使前体气化。ALD循环包括15秒的前体脉冲,其后为5秒净化,其后为2秒的反应物脉冲,其后为5秒净化。观察TiO2生长速率为0.1埃/循环。在高达0.3埃/循环的沉积速率下,分析ALD系统高达325℃。
实施例16
实施例8的含钛前体Ti(Me2CH-N-(CH2)3-N-CHMe2)(OiPr)2和反应物O3用于在SiO2/Si基底上沉积TiO2膜。将SiO2/Si基底维持在250℃的温度下。在维持在50℃下的起泡器中使前体气化。ALD循环包括15秒的前体脉冲,其后为5秒净化,其后为2秒的反应物脉冲,其后为5秒净化。观察TiO2生长速率为0.2埃/循环。在高达0.33埃/循环的沉积速率下,分析ALD系统高达325℃。
实施例17
具有式Ti(OiPr)2(NMe2)2的含钛前体和反应物O3用于在SiO2/Si基底上沉积TiO2膜。将SiO2/Si基底维持在250℃的温度下。在维持在30℃下的起泡器中使前体气化。ALD循环包括10秒的前体脉冲,其后为5秒净化,其后为2秒的反应物脉冲,其后为5秒净化。观察TiO2生长速率为0.77埃/循环。在高达0.77埃/循环的沉积速率下,分析ALD系统高达275℃。
实施例18
实施例12的含钛前体Ti(OiPr)2(NMe2)2和反应物H2O用于在SiO2/Si基底上沉积TiO2膜。将SiO2/Si基底维持在250℃的温度下。在维持在30℃下的起泡器中使前体气化。ALD循环包括7秒的前体脉冲,其后为5秒净化,其后为2秒的反应物脉冲,其后为10秒净化。观察TiO2生长速率为0.25埃/循环。在高达0.40埃/循环的沉积速率下,分析ALD系统高达275℃。
应理解,已在本文中描述和说明以便解释本发明的性质的细节、材料、步骤和份的配置的许多额外改变可由本领域技术人员在如在随附权利要求书中表达的本发明的原理和范围内进行。因此,本发明并不意欲限于在以上给出的实施例和/或附图中的特定实施方案。

Claims (25)

1.具有下式的分子:
Ti(R1-N-C(R3)-N-R2)u(OR4)x(NR5R6)y(O2CR7)z    式I或
Ti(R1-N-(C(R3)2)m-N-R2)v(OR4)x(NR5R6)y(O2CR7)z    式II
其中:
R1、R2、R5、R6和R7独立地选自H和C1-C6烷基;
R3=H、C1-C6烷基或NMe2
R4为C1-C6烷基;
m=2-4;
u=0-2;
v=0-1;
x=1-3;
y=0-2;
z=0-1;
在式I中,u+x+y+z=4;
在式II中,2v+x+y+z=4;且
u、v或z≥1。
2.根据权利要求1的分子,所述分子具有式I,其中u=1,x=3,y=0且z=0。
3.根据权利要求2的分子,其中所述分子选自:Ti(iPr-N-C(Me)-N-iPr)1(OiPr)3、Ti(iPr-N-C(Me)-N-iPr)1(OMe)3、Ti(iPr-N-C(Me)-N-iPr)1(OEt)3、Ti(iPr-N-C(Me)-N-iPr)1(OnPr)3、Ti(iPr-N-C(Me)-N-iPr)1(OsBu)3、Ti(iPr-N-C(Me)-N-iPr)1(OiBu)3、Ti(iPr-N-C(Me)-N-iPr)1(OtBu)3、Ti(Et-N-C(Me)-N-Et)1(OEt)3、Ti(Et-N-C(Me)-N-Et)1(OMe)3、Ti(Et-N-C(Me)-N-Et)1(OnPr)3、Ti(Et-N-C(Me)-N-Et)1(OsBu)3、Ti(Et-N-C(Me)-N-Et)1(OiBu)3、Ti(Et-N-C(Me)-N-Et)1(OtBu)3和Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3
4.根据权利要求1的分子,所述分子具有式II,其中v=1,x=2,y=0且z=0。
5.根据权利要求4的分子,其中所述分子选自:Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2、Ti(iPr-N-(CH2)2-N-iPr)1(OMe)2、Ti(iPr-N-(CH2)2-N-iPr)1(OEt)2、Ti(iPr-N-(CH2)2-N-iPr)1(OnPr)2、Ti(iPr-N-(CH2)2-N-iPr)1(OsBu)2、Ti(iPr-N-(CH2)2-N-iPr)1(OiBu)2、Ti(iPr-N-(CH2)2-N-iPr)1(OtBu)2、Ti(Et-N-(CH2)2-N-Et)1(OiPr)2、Ti(Et-N-(CH2)2-N-Et)1(OMe)2、Ti(Et-N-(CH2)2-N-Et)1(OEt)2、Ti(Et-N-(CH2)2-N-Et)1(OnPr)2、Ti(Et-N-(CH2)2-N-Et)1(OsBu)2、Ti(Et-N-(CH2)2-N-Et)1(OiBu)2、Ti(Et-N-(CH2)2-N-Et)1(OtBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2、Ti(iPr-N-(CH2)3-N-iPr)1(OMe)2、Ti(iPr-N-(CH2)3-N-iPr)1(OEt)2、Ti(iPr-N-(CH2)3-N-iPr)1(OnPr)2、Ti(iPr-N-(CH2)3-N-iPr)1(OsBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OiBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2、Ti(Et-N-(CH2)3-N-Et)1(OiPr)2、Ti(Et-N-(CH2)3-N-Et)1(OMe)2、Ti(Et-N-(CH2)3-N-Et)1(OEt)2、Ti(Et-N-(CH2)3-N-Et)1(OnPr)2、Ti(Et-N-(CH2)3-N-Et)1(OsBu)2、Ti(Et-N-(CH2)3-N-Et)1(OiBu)2和Ti(Et-N-(CH2)3-N-Et)1(OtBu)2
6.根据权利要求1的分子,所述分子具有式I,其中u=2,x=2,y=0且z=0。
7.根据权利要求6的分子,其中所述分子选自:Ti(iPr-N-C(H)-N-iPr)2(OiPr)2、Ti(iPr-N-C(H)-N-iPr)2(OMe)2、Ti(iPr-N-C(H)-N-iPr)2(OEt)2、Ti(iPr-N-C(H)-N-iPr)2(OnPr)2、Ti(iPr-N-C(H)-N-iPr)2(OsBu)2、Ti(iPr-N-C(H)-N-iPr)2(OiBu)2、Ti(iPr-N-C(H)-N-iPr)2(OtBu)2、Ti(Et-N-C(H)-N-Et)2(OiPr)2、Ti(Et-N-C(H)-N-Et)2(OMe)2、Ti(Et-N-C(H)-N-Et)2(OEt)2、Ti(Et-N-C(H)-N-Et)2(OnPr)2、Ti(Et-N-C(H)-N-Et)2(OsBu)2、Ti(Et-N-C(H)-N-Et)2(OiBu)2、Ti(Et-N-C(H)-N-Et)2(OtBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OMe)2、Ti(iPr-N-C(Me)-N-iPr)2(OEt)2、Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2、Ti(Et-N-C(Me)-N-Et)2(OiPr)2、Ti(Et-N-C(Me)-N-Et)2(OMe)2、Ti(Et-N-C(Me)-N-Et)2(OEt)2、Ti(Et-N-C(Me)-N-Et)2(OnPr)2、Ti(Et-N-C(Me)-N-Et)2(OsBu)2、Ti(Et-N-C(Me)-N-Et)2(OiBu)2和Ti(Et-N-C(Me)-N-Et)2(OtBu)2
8.根据权利要求1的分子,所述分子具有式I,其中u=1,x=2,y=1且z=0。
9.根据权利要求8的分子,其中所述分子选自:Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMeiPr)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NiPr2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMetBu)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NneoPentyl2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMeiPr)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NiPr2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NneoPentyl2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NiPr2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NneoPentyl2)和Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr)。
10.根据权利要求1的分子,所述分子具有式I,其中u=1,x=2,y=0且z=1。
11.根据权利要求10的分子,其中所述分子选自Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(O2CMe)和Ti(Et-N-C(Me)-N-Et)(OiPr)2(O2CMe)。
12.根据权利要求1的分子,所述分子具有式II,其中v=1,x=1,y=0且z=1。
13.根据权利要求12的分子,其中所述分子选自:Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OMe)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OEt)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OnPr)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OsBu)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OiBu)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OtBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OiPr)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OMe)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OEt)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OnPr)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OsBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OiBu)(O2CMe)和Ti(Et-N-(CH2)2-N-Et)(OtBu)(O2CMe)。
14.根据权利要求1的分子,所述分子具有式I或式II,其中u、v、y=0,x=2且z=2。
15.根据权利要求14的分子,所述分子为Ti(OiPr)2(O2CMe)2
16.根据权利要求1的分子,所述分子具有式I或式II,其中u、v、y=0,x=3且z=1。
17.根据权利要求16的分子,所述分子为Ti(OiPr)3(O2CMe)。
18.一种在基底上形成含Ti层的方法,所述方法包括:
提供反应室,所述反应室具有放置于其中的至少一个基底;
将包含至少一种前体的蒸气引入所述反应室中,所述至少一种前体具有下式:
Ti(R1-N-C(R3)-N-R2)u(OR4)x(NR5R6)y(O2CR7)z    式I或
Ti(R1-N-(C(R3)2)m-N-R2)v(OR4)x(NR5R6)y(O2CR7)z    式II
其中:
R1、R2、R5、R6和R7独立地选自H和C1-C6烷基;
R3=H、C1-C6烷基或NMe2
R4为C1-C6烷基;
m=2-4;
u=0-2;
v=0-1;
x=1-3;
y=0-2;
z=0-1;
在式I中,u+x+y+z=4;
在式II中,2v+x+y+z=4;且
u、v或z≥1;
使所述蒸气与所述基底接触以使用气相沉积方法在所述基底的至少一个表面上形成含Ti层。
19.根据权利要求18的方法,其中所述至少一种前体选自:Ti(iPr-N-C(Me)-N-iPr)1(OiPr)3、Ti(iPr-N-C(Me)-N-iPr)1(OMe)3、Ti(iPr-N-C(Me)-N-iPr)1(OEt)3、Ti(iPr-N-C(Me)-N-iPr)1(OnPr)3、Ti(iPr-N-C(Me)-N-iPr)1(OsBu)3、Ti(iPr-N-C(Me)-N-iPr)1(OiBu)3、Ti(iPr-N-C(Me)-N-iPr)1(OtBu)3、Ti(Et-N-C(Me)-N-Et)1(OEt)3、Ti(Et-N-C(Me)-N-Et)1(OMe)3、Ti(Et-N-C(Me)-N-Et)1(OnPr)3、Ti(Et-N-C(Me)-N-Et)1(OsBu)3、Ti(Et-N-C(Me)-N-Et)1(OiBu)3、Ti(Et-N-C(Me)-N-Et)1(OtBu)3、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3、Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2、Ti(iPr-N-(CH2)2-N-iPr)1(OMe)2、Ti(iPr-N-(CH2)2-N-iPr)1(OEt)2、Ti(iPr-N-(CH2)2-N-iPr)1(OnPr)2、Ti(iPr-N-(CH2)2-N-iPr)1(OsBu)2、Ti(iPr-N-(CH2)2-N-iPr)1(OiBu)2、Ti(iPr-N-(CH2)2-N-iPr)1(OtBu)2、Ti(Et-N-(CH2)2-N-Et)1(OiPr)2、Ti(Et-N-(CH2)2-N-Et)1(OMe)2、Ti(Et-N-(CH2)2-N-Et)1(OEt)2、Ti(Et-N-(CH2)2-N-Et)1(OnPr)2、Ti(Et-N-(CH2)2-N-Et)1(OsBu)2、Ti(Et-N-(CH2)2-N-Et)1(OiBu)2、Ti(Et-N-(CH2)2-N-Et)1(OtBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2、Ti(iPr-N-(CH2)3-N-iPr)1(OMe)2、Ti(iPr-N-(CH2)3-N-iPr)1(OEt)2、Ti(iPr-N-(CH2)3-N-iPr)1(OnPr)2、Ti(iPr-N-(CH2)3-N-iPr)1(OsBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OiBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2、Ti(Et-N-(CH2)3-N-Et)1(OiPr)2、Ti(Et-N-(CH2)3-N-Et)1(OMe)2、Ti(Et-N-(CH2)3-N-Et)1(OEt)2、Ti(Et-N-(CH2)3-N-Et)1(OnPr)2、Ti(Et-N-(CH2)3-N-Et)1(OsBu)2、Ti(Et-N-(CH2)3-N-Et)1(OiBu)2、Ti(Et-N-(CH2)3-N-Et)1(OtBu)2、Ti(iPr-N-C(H)-N-iPr)2(OiPr)2、Ti(iPr-N-C(H)-N-iPr)2(OMe)2、Ti(iPr-N-C(H)-N-iPr)2(OEt)2、Ti(iPr-N-C(H)-N-iPr)2(OnPr)2、Ti(iPr-N-C(H)-N-iPr)2(OsBu)2、Ti(iPr-N-C(H)-N-iPr)2(OiBu)2、Ti(iPr-N-C(H)-N-iPr)2(OtBu)2、Ti(Et-N-C(H)-N-Et)2(OiPr)2、Ti(Et-N-C(H)-N-Et)2(OMe)2、Ti(Et-N-C(H)-N-Et)2(OEt)2、Ti(Et-N-C(H)-N-Et)2(OnPr)2、Ti(Et-N-C(H)-N-Et)2(OsBu)2、Ti(Et-N-C(H)-N-Et)2(OiBu)2、Ti(Et-N-C(H)-N-Et)2(OtBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OMe)2、Ti(iPr-N-C(Me)-N-iPr)2(OEt)2、Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2、Ti(Et-N-C(Me)-N-Et)2(OiPr)2、Ti(Et-N-C(Me)-N-Et)2(OMe)2、Ti(Et-N-C(Me)-N-Et)2(OEt)2、Ti(Et-N-C(Me)-N-Et)2(OnPr)2、Ti(Et-N-C(Me)-N-Et)2(OsBu)2、Ti(Et-N-C(Me)-N-Et)2(OiBu)2、Ti(Et-N-C(Me)-N-Et)2(OtBu)2、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(O2CMe)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OMe)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OEt)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OnPr)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OsBu)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OiBu)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OtBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OiPr)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OMe)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OEt)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OnPr)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OsBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OiBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OtBu)(O2CMe)、Ti(OiPr)2(O2CMe)2和Ti(OiPr)3(O2CMe)。
20.根据权利要求19的方法,其进一步包括:
将包含至少一种选自M(L)2和M(L)2.A的含金属前体的第二蒸气引入反应室中,其中:
M为Sr或Ba;
L选自经取代的环戊二烯基配体体系R1R2R3R4R5Cp或β-二酮配体体系-O-CR6-CH-CR7-O-;
R1至R5中的每一个独立地选自H或C1-C6直链或支化烷基链;
R6和R7中的每一个独立地选自C1-C6直链或支化烷基链;且
A为选自四氢呋喃、二甲氧基乙烷、二甘醇二甲醚、三甘醇二甲醚和四甘醇二甲醚的含中性氧的分子;和
使第二蒸气与基底接触以使用气相沉积方法在所述基底的至少一个表面上形成含金属层。
21.根据权利要求20的方法,其中所述含金属前体选自:Sr(iPr3Cp)2、Sr(iPr3Cp)2.thf、Sr(iPr3Cp)2.dme、Sr(tBu3Cp)2、Sr(tBu3Cp)2.thf、Sr(tBu3Cp)2.dme、Sr(thmd)2、Sr(thmd)2.三甘醇二甲醚、Sr(thmd)2.四甘醇二甲醚、Sr(Me5Cp)2、Sr(Me4Cp)2、Sr(Me4EtCp)2、Sr(Me4nBuCp)2、Ba(iPr3Cp)2、Ba(iPr3Cp)2.thf、Ba(iPr3Cp)2.dme、Ba(tBu3Cp)2、Ba(tBu3Cp)2.thf、Ba(tBu3Cp)2.dme、Ba(thmd)2、Ba(thmd)2.三甘醇二甲醚、Ba(thmd)2.四甘醇二甲醚、Ba(Me5Cp)2、Ba(Me4Cp)2、Ba(Me4EtCp)2和Ba(Me4nBuCp)2
22.一种沉积STO或BST膜的方法,所述方法包括:
提供ALD反应室,所述ALD反应室具有放置于其中的至少一个基底;
将具有下式的前体用脉冲输送至所述反应室中:
Ti(R1-N-C(R3)-N-R2)u(OR4)x(NR5R6)y(O2CR7)z    式I或
Ti(R1-N-(C(R3)2)m-N-R2)v(OR4)x(NR5R6)y(O2CR7)z    式II其中:
R1、R2、R5、R6和R7独立地选自H和C1-C6烷基;
R3=H、C1-C6烷基或NMe2
R4为C1-C6烷基;
m=2-4;
u=0-2;
v=0-1;
x=1-3;
y=0-2;
z=0-1;
在式I中,u+x+y+z=4;
在式II中,2v+x+y+z=4;且
u、v或z≥1;和
将氧源用脉冲输送至所述反应室中;
将选自M(L)2和M(L)2.A的含金属前体用脉冲输送至所述反应室中,其中:
M为Sr或Ba;
L选自经取代的环戊二烯基配体体系R1R2R3R4R5Cp或β-二酮配体体系-O-CR6-CH-CR7-O-;
R1至R5中的每一个独立地选自H或C1-C6直链或支化烷基链;
R6和R7中的每一个独立地选自C1-C6直链或支化烷基链;且
A为选自四氢呋喃、二甲氧基乙烷、二甘醇二甲醚、三甘醇二甲醚和四甘醇二甲醚的含中性氧的分子;
将第二氧源用脉冲输送至所述反应室中;和
通过变化用于所述前体和所述含金属前体的所述脉冲输送步骤的数目来控制在所述STO或BST膜中的M∶Ti化学计量比。
23.根据权利要求22的方法,其中所述前体选自:Ti(iPr-N-C(Me)-N-iPr)1(OiPr)3、Ti(iPr-N-C(Me)-N-iPr)1(OMe)3、Ti(iPr-N-C(Me)-N-iPr)1(OEt)3、Ti(iPr-N-C(Me)-N-iPr)1(OnPr)3、Ti(iPr-N-C(Me)-N-iPr)1(OsBu)3、Ti(iPr-N-C(Me)-N-iPr)1(OiBu)3、Ti(iPr-N-C(Me)-N-iPr)1(OtBu)3、Ti(Et-N-C(Me)-N-Et)1(OEt)3、Ti(Et-N-C(Me)-N-Et)1(OMe)3、Ti(Et-N-C(Me)-N-Et)1(OnPr)3、Ti(Et-N-C(Me)-N-Et)1(OsBu)3、Ti(Et-N-C(Me)-N-Et)1(OiBu)3、Ti(Et-N-C(Me)-N-Et)1(OtBu)3、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3、Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2、Ti(iPr-N-(CH2)2-N-iPr)1(OMe)2、Ti(iPr-N-(CH2)2-N-iPr)1(OEt)2、Ti(iPr-N-(CH2)2-N-iPr)1(OnPr)2、Ti(iPr-N-(CH2)2-N-iPr)1(OsBu)2、Ti(iPr-N-(CH2)2-N-iPr)1(OiBu)2、Ti(iPr-N-(CH2)2-N-iPr)1(OtBu)2、Ti(Et-N-(CH2)2-N-Et)1(OiPr)2、Ti(Et-N-(CH2)2-N-Et)1(OMe)2、Ti(Et-N-(CH2)2-N-Et)1(OEt)2、Ti(Et-N-(CH2)2-N-Et)1(OnPr)2、Ti(Et-N-(CH2)2-N-Et)1(OsBu)2、Ti(Et-N-(CH2)2-N-Et)1(OiBu)2、Ti(Et-N-(CH2)2-N-Et)1(OtBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2、Ti(iPr-N-(CH2)3-N-iPr)1(OMe)2、Ti(iPr-N-(CH2)3-N-iPr)1(OEt)2、Ti(iPr-N-(CH2)3-N-iPr)1(OnPr)2、Ti(iPr-N-(CH2)3-N-iPr)1(OsBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OiBu)2、Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2、Ti(Et-N-(CH2)3-N-Et)1(OiPr)2、Ti(Et-N-(CH2)3-N-Et)1(OMe)2、Ti(Et-N-(CH2)3-N-Et)1(OEt)2、Ti(Et-N-(CH2)3-N-Et)1(OnPr)2、Ti(Et-N-(CH2)3-N-Et)1(OsBu)2、Ti(Et-N-(CH2)3-N-Et)1(OiBu)2、Ti(Et-N-(CH2)3-N-Et)1(OtBu)2、Ti(iPr-N-C(H)-N-iPr)2(OiPr)2、Ti(iPr-N-C(H)-N-iPr)2(OMe)2、Ti(iPr-N-C(H)-N-iPr)2(OEt)2、Ti(iPr-N-C(H)-N-iPr)2(OnPr)2、Ti(iPr-N-C(H)-N-iPr)2(OsBu)2、Ti(iPr-N-C(H)-N-iPr)2(OiBu)2、Ti(iPr-N-C(H)-N-iPr)2(OtBu)2、Ti(Et-N-C(H)-N-Et)2(OiPr)2、Ti(Et-N-C(H)-N-Et)2(OMe)2、Ti(Et-N-C(H)-N-Et)2(OEt)2、Ti(Et-N-C(H)-N-Et)2(OnPr)2、Ti(Et-N-C(H)-N-Et)2(OsBu)2、Ti(Et-N-C(H)-N-Et)2(OiBu)2、Ti(Et-N-C(H)-N-Et)2(OtBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OMe)2、Ti(iPr-N-C(Me)-N-iPr)2(OEt)2、Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2、Ti(Et-N-C(Me)-N-Et)2(OiPr)2、Ti(Et-N-C(Me)-N-Et)2(OMe)2、Ti(Et-N-C(Me)-N-Et)2(OEt)2、Ti(Et-N-C(Me)-N-Et)2(OnPr)2、Ti(Et-N-C(Me)-N-Et)2(OsBu)2、Ti(Et-N-C(Me)-N-Et)2(OiBu)2、Ti(Et-N-C(Me)-N-Et)2(OtBu)2、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(O2CMe)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OMe)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OEt)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OnPr)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OsBu)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OiBu)(O2CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OtBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OiPr)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OMe)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OEt)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OnPr)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OsBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OiBu)(O2CMe)、Ti(Et-N-(CH2)2-N-Et)(OtBu)(O2CMe)、Ti(OiPr)2(O2CMe)2和Ti(OiPr)3(O2CMe)。
24.根据权利要求22的方法,其中所述含金属前体选自:Sr(iPr3Cp)2、Sr(iPr3Cp)2.thf、Sr(iPr3Cp)2.dme、Sr(tBu3Cp)2、Sr(tBu3Cp)2.thf、Sr(tBu3Cp)2.dme、Sr(thmd)2、Sr(thmd)2.三甘醇二甲醚、Sr(thmd)2.四甘醇二甲醚、Sr(Me5Cp)2、Sr(Me4Cp)2、Sr(Me4EtCp)2、Sr(Me4nBuCp)2、Ba(iPr3Cp)2、Ba(iPr3Cp)2.thf、Ba(iPr3Cp)2.dme、Ba(tBu3Cp)2、Ba(tBu3Cp)2.thf、Ba(tBu3Cp)2.dme、Ba(thmd)2、Ba(thmd)2.三甘醇二甲醚、Ba(thmd)2.四甘醇二甲醚、Ba(Me5Cp)2、Ba(Me4Cp)2、Ba(Me4EtCp)2和Ba(Me4nBuCp)2
25.根据权利要求22的方法,其中所述氧源和所述第二氧源为水。
CN201180003704.9A 2010-04-07 2011-04-06 用于气相沉积的含钛前体 Expired - Fee Related CN102482771B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US32176310P 2010-04-07 2010-04-07
US61/321,763 2010-04-07
US12/981,872 2010-12-30
US12/981,872 US8404878B2 (en) 2010-04-07 2010-12-30 Titanium-containing precursors for vapor deposition
PCT/US2011/031346 WO2011127122A2 (en) 2010-04-07 2011-04-06 Titanium-containing precursors for vapor deposition

Publications (2)

Publication Number Publication Date
CN102482771A true CN102482771A (zh) 2012-05-30
CN102482771B CN102482771B (zh) 2014-07-30

Family

ID=44761118

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180003704.9A Expired - Fee Related CN102482771B (zh) 2010-04-07 2011-04-06 用于气相沉积的含钛前体

Country Status (8)

Country Link
US (3) US8404878B2 (zh)
EP (1) EP2556181A4 (zh)
JP (1) JP2013527147A (zh)
KR (2) KR101749783B1 (zh)
CN (1) CN102482771B (zh)
SG (1) SG178831A1 (zh)
TW (1) TW201202465A (zh)
WO (1) WO2011127122A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104945444A (zh) * 2014-03-26 2015-09-30 广东丹邦科技有限公司 用于制备钡金属茂合物的原料及钡金属茂合物的制备方法
CN110121571A (zh) * 2016-12-30 2019-08-13 乔治洛德方法研究和开发液化空气有限公司 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
CN114787168A (zh) * 2019-11-27 2022-07-22 株式会社Adeka 化合物、薄膜形成用原料和薄膜的制造方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9593133B2 (en) * 2012-07-20 2017-03-14 America Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
KR20210020175A (ko) * 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
CA2920646A1 (en) * 2016-02-12 2017-08-12 Seastar Chemicals Inc. Organometallic compound and method
JP7235466B2 (ja) * 2018-01-26 2023-03-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ランタノイド化合物、ランタノイド含有薄膜、および該ランタノイド化合物を用いたランタノイド含有薄膜の成膜方法
US11111578B1 (en) * 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
KR102428276B1 (ko) * 2020-09-08 2022-08-04 주식회사 한솔케미칼 4족 금속 원소-함유 화합물, 이를 포함하는 전구체 조성물, 및 이를 이용한 박막의 제조 방법
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821921B2 (en) * 1998-02-12 2004-11-23 Chevron Chemical Co. Catalyst compounds with β-diiminate anionic ligands and processes for polymerizing olefins
US20050042374A1 (en) * 2003-08-22 2005-02-24 Demetrius Sarigiannis Methods of depositing materials over substrates, and methods of forming layers over substrates
EP2011898A1 (en) * 2007-07-03 2009-01-07 Beneq Oy Method in depositing metal oxide materials

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA940650A (en) * 1969-05-13 1974-01-22 Maruzen Petrochemical Co. PROCESS FOR PREPARING ALTERNATING COPOLYMER OF BUTADIENE AND .alpha.-OLEFINE AND NOVEL ALTERNATING COPOLYMER OF BUTADIENE AND .alpha.-OLEFINE CONTAINING CIS-CONFIGURATION BUTADIENE UNIT
US3907754A (en) * 1974-06-19 1975-09-23 Eastman Kodak Co Process and catalyst-inhibitor system for preparing synthetic linear polyester
KR100584200B1 (ko) 2004-02-13 2006-05-29 한국화학연구원 티타늄 산화물 선구 물질 및 그 제조 방법
KR100581993B1 (ko) 2004-06-09 2006-05-22 삼성전자주식회사 원자층 증착법을 이용한 물질 형성방법
WO2006012052A2 (en) 2004-06-25 2006-02-02 Arkema, Inc. Amidinate ligand containing chemical vapor deposition precursors
JP2006045083A (ja) 2004-08-02 2006-02-16 Asahi Denka Kogyo Kk 薄膜形成用原料、薄膜の製造方法及び金属化合物
KR100640654B1 (ko) 2005-07-16 2006-11-01 삼성전자주식회사 ZrO2 박막 형성 방법 및 이를 포함하는 반도체 메모리소자의 커패시터 제조 방법
US20070178526A1 (en) 2005-07-20 2007-08-02 Kountakis Stilianos E Use of protein profiles in disease diagnosis and treatment
JP2010531373A (ja) * 2007-06-28 2010-09-24 ビーエーエスエフ ソシエタス・ヨーロピア ポリエステルの固体状態重合法
US20100209610A1 (en) * 2007-07-16 2010-08-19 Advanced Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821921B2 (en) * 1998-02-12 2004-11-23 Chevron Chemical Co. Catalyst compounds with β-diiminate anionic ligands and processes for polymerizing olefins
US20050042374A1 (en) * 2003-08-22 2005-02-24 Demetrius Sarigiannis Methods of depositing materials over substrates, and methods of forming layers over substrates
EP2011898A1 (en) * 2007-07-03 2009-01-07 Beneq Oy Method in depositing metal oxide materials

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104945444A (zh) * 2014-03-26 2015-09-30 广东丹邦科技有限公司 用于制备钡金属茂合物的原料及钡金属茂合物的制备方法
CN110121571A (zh) * 2016-12-30 2019-08-13 乔治洛德方法研究和开发液化空气有限公司 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
CN110121571B (zh) * 2016-12-30 2021-09-03 乔治洛德方法研究和开发液化空气有限公司 锆前体、铪前体、钛前体及使用其沉积含第4族的膜
CN114787168A (zh) * 2019-11-27 2022-07-22 株式会社Adeka 化合物、薄膜形成用原料和薄膜的制造方法
CN114787168B (zh) * 2019-11-27 2023-06-06 株式会社Adeka 化合物、薄膜形成用原料和薄膜的制造方法

Also Published As

Publication number Publication date
TW201202465A (en) 2012-01-16
CN102482771B (zh) 2014-07-30
EP2556181A4 (en) 2014-10-15
KR20120045031A (ko) 2012-05-08
KR101749783B1 (ko) 2017-06-21
US8404878B2 (en) 2013-03-26
KR101304760B1 (ko) 2013-09-05
US8633329B2 (en) 2014-01-21
JP2013527147A (ja) 2013-06-27
US20130164947A1 (en) 2013-06-27
KR20120125631A (ko) 2012-11-16
EP2556181A2 (en) 2013-02-13
WO2011127122A2 (en) 2011-10-13
WO2011127122A3 (en) 2011-12-08
US20140127913A1 (en) 2014-05-08
US20110250354A1 (en) 2011-10-13
SG178831A1 (en) 2012-04-27

Similar Documents

Publication Publication Date Title
CN102482771B (zh) 用于气相沉积的含钛前体
TWI454589B (zh) 用於含金屬膜的第4族金屬前驅物
US7439338B2 (en) Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
TWI444497B (zh) 用於沉積含金屬膜的金屬-烯醇化物前驅物
US10174423B2 (en) Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
KR101721294B1 (ko) 증착을 위한 하프늄-함유 또는 지르코늄-함유 전구체
TW201335417A (zh) 用於ald沈積金屬矽酸鹽膜之含矽化合物
TWI756959B (zh) 膜或塗層之方法
US20220205099A1 (en) Group iv element containing precursors and deposition of group iv element containing films
KR101151462B1 (ko) 4족 금속 함유 막을 증착시키기 위한 방법
TWI518199B (zh) 用於氣相沉積之含鉿或含鋯前驅物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140730

Termination date: 20150406

EXPY Termination of patent right or utility model