KR101749783B1 - 증착용 티타늄 함유 전구체 - Google Patents

증착용 티타늄 함유 전구체 Download PDF

Info

Publication number
KR101749783B1
KR101749783B1 KR1020127021696A KR20127021696A KR101749783B1 KR 101749783 B1 KR101749783 B1 KR 101749783B1 KR 1020127021696 A KR1020127021696 A KR 1020127021696A KR 20127021696 A KR20127021696 A KR 20127021696A KR 101749783 B1 KR101749783 B1 KR 101749783B1
Authority
KR
South Korea
Prior art keywords
ipr
oipr
nme
tbu
precursor
Prior art date
Application number
KR1020127021696A
Other languages
English (en)
Other versions
KR20120125631A (ko
Inventor
벤카테스와라 알 팔렘
크리스티앙 두사랏
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20120125631A publication Critical patent/KR20120125631A/ko
Application granted granted Critical
Publication of KR101749783B1 publication Critical patent/KR101749783B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 출원은 티타늄 함유 전구체 및 이를 합성하는 방법을 개시한다. 화합물은 증착 방법, 예를 들어 화학 증착 또는 원자층 증착을 사용하여 티타늄, 산화티타늄, 스트론튬-티타늄 산화물 및 바륨 스트론튬 티타네이트를 함유하는 층을 증착하는 데 사용될 수 있다.

Description

증착용 티타늄 함유 전구체{TITANIUM-CONTAINING PRECURSORS FOR VAPOR DEPOSITION}
<관련 출원에 대한 상호 참조>
본 출원은 둘다의 전체 내용이 본원에 참조로 포함되는, 35 U.S.C. § 119(e) 하에서 2010년 4월 7일에 출원된 가출원 제61/321,763호의 우선권을 주장하는 2010년 12월 30일에 출원된 이전 출원 제12/981,872호의 일부 연속 출원이다.
본 출원은 티타늄 함유 전구체, 이를 합성하는 방법, 및 증착 공정을 이용하여 티타늄 함유 층을 증착하도록 이를 사용하는 방법을 개시한다.
반도체 산업이 직면한 심각한 도전 중 하나는 DRAM 및 캐패시터를 위한 신규 게이트 유전체 물질을 개발하는 것이다. 수십년 동안, 이산화규소 (SiO2)는 신뢰할만한 유전체였지만, 트랜지스터가 계속 작아지고 있고, 기술이 "완전한 Si" 트랜지스터에서 "금속 게이트/하이-k (High-k)" 트랜지스터로 이동함에 따라, SiO2계 게이트 유전체의 신뢰성은 그의 물리적 한계에 도달하고 있는 중이다. 최신 기술의 크기가 작아짐에 따라, 새로운 고 유전상수 물질 및 공정에 대한 요구가 증가하고 있으며 보다 더 중요해지고 있다.
TiO2와 같은 표준 유전체 물질 또는 알칼리 토금속을 함유한 새로운 유전체 물질, 소위 스트론튬 티타네이트, 예를 들어 SrTiO3 또는 Sr2TiO4, 또는 바륨 스트론튬 티타네이트는 전통적인 유전체 물질과 비교하여 용량에서 상당한 이점을 제공한다. 또한, 신규 유전체 물질은 다수의 박막 응용, 예를 들어 전자 장치용 고 유전 상수 물질, 반사 방지 광학 코팅, 생체적합성 코팅, 광촉매 및 태양전지에 매력적인 후보이다 (문헌 [H. A. Durand et al., Appl. Surf. Sci. 86, 122 (1995)]; 문헌 [C.-W. Wang et al., J. Appl. Phys. 91, 9198 (2002)]; 문헌 [M. Keshmiri et al., J. Non-Cryst. Solids 324, 289 (2003)]; 문헌 [T. Inoue et al., Nature (London) 277, 637 (1979)]; 문헌 [H. Kim et al., Appl. Phys. Lett. 85, 64 (2004)]).
추가로, TiO2는 또한 유전체 및 강유전체 응용을 위한 몇몇 중요한 다중 금속 산화물계, 예를 들어 스트론튬 티타네이트 (STO), 바륨 스트론튬 티타네이트 (BST) 및 납 지르코늄 티타네이트 (PZT)의 구성 성분이다 (문헌 [P. Alluri et al., Integr. Ferroelectr., 21, 305 (1998)]; 문헌 [J.F. Scott et al., Science 246, 1400 (1989)]).
그럼에도 불구하고, Ti 함유 층의 증착은 어렵고, 신규 물질 및 공정이 필요하다. 예를 들어, 원자층 증착 (ALD)은 교대로 전구체를 적용하되 사이마다 불활성 가스 퍼징을 실시하는, 순차적으로 표면을 포화시키는 반응에 의존하는 마이크로일렉트로닉 제조를 위한 중요한 박막 성장 기술로서 인식되어 왔다. 이러한 증착 방법에서 흔히 산소 공급원, 예를 들어 오존 또는 물이 사용된다. ALD의 표면 제어 특성은 정확한 두께 제어와 함께 높은 정합성(conformality) 및 균일성을 갖는 박막의 성장을 가능하게 한다.
STO ALD 증착에서, 이용가능한 Sr 전구체는 O3와의 우수한 반응성 및 물과의 허용가능한 반응성을 나타낸다. 그러나, 산화제로서 오존의 사용은 STO 막이 고온에서 증착되는 경우 아래 층, 예를 들어 TiN 또는 스트론튬 루테늄 산화물 (SRO)에 바람직하지 않은 결과를 갖게 할 수 있다. 이는 TiN 층을 산화시키거나 또는 SRO 층으로부터 Ru를 에칭시킬 수 있다.
Ti 화합물의 원자층 증착 (ALD)이 개시되어 있지만, 이들 금속 전구체는 특히 습기 하에서 부족한 반응성 및 낮은 안정성을 갖고, 흔히 막을 성장시키기 위해 낮은 기판 온도 및 강력한 산화제를 요구하는데, 막은 흔히 탄소 또는 질소로 오염된다.
에어 리퀴드(Air Liquide)는 대부분의 표준 동종리간드성 Ti 분자가 제한된 ALD 공정 온도 범위를 갖거나, 증착이 없음을 나타내었다 (문헌 [R. Katamreddy, V. Omarjee, B. Feist, C. Dussarrat, ECS conference 2008]). 예를 들어, 물 ALD 공정에서, Ti 분자인 티타늄 테트라키스(이소프로폭시드) (TTIP), 테트라키스(디메틸아미노) 티타늄 (TDMAT), 테트라키스(디에틸아미노) 티타늄 (TDEAT) 및 테트라키스(에틸메틸아미노) 티타늄 (TEMAT)은 0.6 Å/사이클 미만의 증착 속도 및 250℃를 초과하지 않는 공정 범위를 가졌다.
보다 높은 공정 온도에서 보다 높은 안정성을 갖는 신규 Ti 전구체가 필요하다. 높은 온도 공정은 매우 높은 유전 상수 (바람직하게는 k≥50)를 갖는 고 품질 TiO2 (도핑 또는 미도핑) 및 STO 막을 생성하는 데 바람직하다. 조밀한 원주형 다결정성 미세구조 및 작은 평균 그레인(grain) 크기 (30 nm)를 갖는 STO 막이 하이-k 값을 갖는 작은 누설 전류를 얻는 데 요구된다는 것이 보고되었다 (문헌 [C.S. Hwang, S.O. Park, C.S. Kang, H. Cho, H. Kang, S.T. Ahn, and M.Y. Lee, Jpn. J. Appl. Phys., Part 1, 34, 5178 1995]).
장(Zhang) 등은 Ti(Cy-NC(NiPr2) N-Cy)2(OnBu)2의 예기치 않은 합성을 개시하였다 (문헌 [Chinese Science Bulletin (2005), 50(24), 2817-2820]). 첸(Chen) 등은 Ti(OnBu)2(O2CMe)2의 합성을 개시하였다 (문헌 [Huaxue Xuebao (2003), 61(10), 1592-1596]). 이들 화합물의 용도는 개시되지 않았다.
미국 특허 출원 공보 제2005/277223호는 화학식 M(L1)x(L2)y (식 중, M은 금속이고, L1 및 L2는 할라이드, 디케토네이트, 알콕시드, 아미노, 알콕시아민, 아미디네이트 또는 여러자리 리간드일 수 있음)로 표시되는 금속 함유 전구체를 사용하여 금속 산화물을 형성하는 ALD 방법을 개시한다. 그러나, 대표적인 전구체는 단지 Hf(OtBu)2(NEtMe)2, Hf(OtBu)2(NEt2)2, Hf(NEt2)2(DMAMP)2, Hf(NEtMe)2(DMAMP)2, Ti(OtBu)3Cl, Ti(OtBu)3Me, Ti(OtBu)2(NEt2)2, Ti(NEt2)2(DMAMP)2, Ti(OtBu)2(DMAMP)2 및 TiCl2(DMAMP)2이다.
Ti 물질을 혼입하는 다른 공급원 및 방법이 차세대 집적 회로 소자를 위해 추구되고 있다. 신규 전구체가 필요하다.
본 발명은 하기 화학식 I 또는 II로 표시되는 분자를 개시한다:
[화학식 I]
Ti ( R 1 -N-C( R 3 )-N- R 2 ) u (OR 4 ) x ( NR 5 R 6 ) y ( O 2 CR 7 ) z
[화학식 II]
Ti ( R 1 -N-(C( R 3 ) 2 ) m -N- R 2 ) v (OR 4 ) x ( NR 5 R 6 ) y ( O 2 CR 7 ) z
식 중:
■ R1, R2, R5, R6 및 R7은 독립적으로 H 및 C1-C6 알킬기로 이루어진 군으로부터 선택되고;
■ R3 = H, C1-C6 알킬기, 또는 NMe2;
■ R4 = C1-C6 알킬기;
■ m = 2-4;
■ u = 0-2;
■ v = 0-1;
■ x = 1-3;
■ y = 0-2;
■ z = 0-1;
■ 화학식 I에서, u+x+y+z = 4;
■ 화학식 II에서, 2v+x+y+z = 4;
■ u, v 또는 z ≥ 1이다.
개시된 분자는 하기 양태 중 하나 이상을 추가로 포함할 수 있다:
■ 화학식 I (식 중, u=1, x=3, y=0 및 z=0)로 표시되는 분자;
■ Ti(iPr-N-C(Me)-N-iPr)1(OiPr)3, Ti(iPr-N-C(Me)-N-iPr)1(OMe)3, Ti(iPr-N-C(Me)-N-iPr)1(OEt)3, Ti(iPr-N-C(Me)-N-iPr)1(OnPr)3, Ti(iPr-N-C(Me)-N-iPr)1(OsBu)3, Ti(iPr-N-C(Me)-N-iPr)1(OiBu)3, Ti(iPr-N-C(Me)-N-iPr)1(OtBu)3, Ti(Et-N-C(Me)-N-Et)1(OEt)3, Ti(Et-N-C(Me)-N-Et)1(OMe)3, Ti(Et-N-C(Me)-N-Et)1(OnPr)3, Ti(Et-N-C(Me)-N-Et)1(OsBu)3, Ti(Et-N-C(Me)-N-Et)1(OiBu)3, Ti(Et-N-C(Me)-N-Et)1(OtBu)3 및 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3으로 이루어진 군으로부터 선택된 분자;
■ 화학식 II (식 중, v=1, x=2, y=0 및 z=0)로 표시되는 분자;
■ Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2, Ti(iPr-N-(CH2)2-N-iPr)1(OMe)2, Ti(iPr-N-(CH2)2-N-iPr)1(OEt)2, Ti(iPr-N-(CH2)2-N-iPr)1(OnPr)2, Ti(iPr-N-(CH2)2-N-iPr)1(OsBu)2, Ti(iPr-N-(CH2)2-N-iPr)1(OiBu)2, Ti(iPr-N-(CH2)2-N-iPr)1(OtBu)2, Ti(Et-N-(CH2)2-N-Et)1(OiPr)2, Ti(Et-N-(CH2)2-N-Et)1(OMe)2, Ti(Et-N-(CH2)2-N-Et)1(OEt)2, Ti(Et-N-(CH2)2-N-Et)1(OnPr)2, Ti(Et-N-(CH2)2-N-Et)1(OsBu)2, Ti(Et-N-(CH2)2-N-Et)1(OiBu)2, Ti(Et-N-(CH2)2-N-Et)1(OtBu)2, Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2, Ti(iPr-N-(CH2)3-N-iPr)1(OMe)2, Ti(iPr-N-(CH2)3-N-iPr)1(OEt)2, Ti(iPr-N-(CH2)3-N-iPr)1(OnPr)2, Ti(iPr-N-(CH2)3-N-iPr)1(OsBu)2, Ti(iPr-N-(CH2)3-N-iPr)1(OiBu)2, Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2, Ti(Et-N-(CH2)3-N-Et)1(OiPr)2, Ti(Et-N-(CH2)3-N-Et)1(OMe)2, Ti(Et-N-(CH2)3-N-Et)1(OEt)2, Ti(Et-N-(CH2)3-N-Et)1(OnPr)2, Ti(Et-N-(CH2)3-N-Et)1(OsBu)2, Ti(Et-N-(CH2)3-N-Et)1(OiBu)2 및 Ti(Et-N-(CH2)3-N-Et)1(OtBu)2로 이루어진 군으로부터 선택된 분자;
■ 화학식 I (식 중, u=2, x=2, y=0 및 z=0)로 표시되는 분자;
■ Ti(iPr-N-C(H)-N-iPr)2(OiPr)2, Ti(iPr-N-C(H)-N-iPr)2(OMe)2, Ti(iPr-N-C(H)-N-iPr)2(OEt)2, Ti(iPr-N-C(H)-N-iPr)2(OnPr)2, Ti(iPr-N-C(H)-N-iPr)2(OsBu)2, Ti(iPr-N-C(H)-N-iPr)2(OiBu)2, Ti(iPr-N-C(H)-N-iPr)2(OtBu)2, Ti(Et-N-C(H)-N-Et)2(OiPr)2, Ti(Et-N-C(H)-N-Et)2(OMe)2, Ti(Et-N-C(H)-N-Et)2(OEt)2, Ti(Et-N-C(H)-N-Et)2(OnPr)2, Ti(Et-N-C(H)-N-Et)2(OsBu)2, Ti(Et-N-C(H)-N-Et)2(OiBu)2, Ti(Et-N-C(H)-N-Et)2(OtBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2, Ti(iPr-N-C(Me)-N-iPr)2(OMe)2, Ti(iPr-N-C(Me)-N-iPr)2(OEt)2, Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2, Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2, Ti(Et-N-C(Me)-N-Et)2(OiPr)2, Ti(Et-N-C(Me)-N-Et)2(OMe)2, Ti(Et-N-C(Me)-N-Et)2(OEt)2, Ti(Et-N-C(Me)-N-Et)2(OnPr)2, Ti(Et-N-C(Me)-N-Et)2(OsBu)2, Ti(Et-N-C(Me)-N-Et)2(OiBu)2 및 Ti(Et-N-C(Me)-N-Et)2(OtBu)2로 이루어진 군으로부터 선택된 분자;
■ 화학식 I (식 중, u=1, x=2, y=1 및 z=0)로 표시되는 분자;
■ Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEt2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NiPr2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMetBu), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(N네오펜틸2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMeiPr), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NiPr2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(N네오펜틸2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NiPr2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(N네오펜틸2) 및 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr)로 이루어진 군으로부터 선택된 분자;
■ 화학식 I (식 중, u=1, x=2, y=0 및 z=1)로 표시되는 분자;
■ Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(O2CMe) 및 Ti(Et-N-C(Me)-N-Et)(OiPr)2(O2CMe)로 이루어진 군으로부터 선택된 분자;
■ 화학식 II (식 중, v=1, x=1, y=0 및 z=1)로 표시되는 분자;
■ Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OMe)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OEt)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OnPr)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OsBu)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OiBu)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OtBu)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OiPr)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OMe)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OEt)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OnPr)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OsBu)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OiBu)(O2CMe) 및 Ti(Et-N-(CH2)2-N-Et)(OtBu)(O2CMe)로 이루어진 군으로부터 선택된 분자;
■ 화학식 I 또는 화학식 II (식 중, u, v, y=0, x=2 및 z=2)로 표시되는 분자;
■ Ti(OiPr)2(O2CMe)2인 분자;
■ 화학식 I 또는 화학식 II (식 중, u, v, y=0, x=3 및 z=1)로 표시되는 분자; 및
■ Ti(OiPr)3(O2CMe)인 분자.
또한, 본 발명은 기판 상에 Ti 함유 층을 형성하는 방법을 개시한다. 하나 이상의 기판이 내부에 배치된 반응 챔버가 제공된다. 상기 개시된 분자 중 1종 이상의 증기를 반응 챔버에 도입한다. 증기를 기판과 접촉시키고 증착 공정을 사용하여 기판의 하나 이상의 표면 상에 Ti 함유 층을 형성한다. 개시된 방법은 추가로 하기 양태 중 하나 이상을 포함할 수 있다:
■ 반응 챔버에 적어도 1종의 금속 함유 전구체를 포함하는 제2 증기를 도입하고 제2 증기를 기판과 접촉시켜 기판의 적어도 하나의 표면 상에 증착 공정을 사용하여 금속 함유 층을 형성하고 (여기서, 금속 함유 전구체는 M(L)2 및 M(L)2.A로 이루어진 군으로부터 선택되고, 식 중:
- M은 Sr 또는 Ba이고;
- L은 치환된 시클로펜타디에닐 리간드계 (R1R2R3R4R5Cp) 또는 베타-디케토네이트 리간드계 (-O-CR6-CH-CR7-O-)로부터 선택되고;
- R1 내지 R5 각각은 독립적으로 H 또는 C1-C6 선형 또는 분지형 알킬 사슬로부터 선택되고;
- R6 및 R7 각각은 독립적으로 C1-C6 선형 또는 분지형 알킬 사슬로부터 선택되고;
- A는 테트라히드로푸란, 디메톡시에탄, 디글라임, 트리글라임 및 테트라글라임으로 이루어진 군으로부터 선택된 중성 산소를 함유한 분자임);
■ 금속 함유 전구체는 Sr(iPr3Cp)2, Sr(iPr3Cp)2.thf, Sr(iPr3Cp)2.dme, Sr(tBu3Cp)2, Sr(tBu3Cp)2.thf, Sr(tBu3Cp)2.dme, Sr(tmhd)2, Sr(tmhd)2.트리글라임, Sr(tmhd)2.테트라글라임, Sr(Me5Cp)2, Sr(Me4Cp)2, Sr(Me4EtCp)2, Sr(Me4nBuCp)2, Ba(iPr3Cp)2, Ba(iPr3Cp)2.thf, Ba(iPr3Cp)2.dme, Ba(tBu3Cp)2, Ba(tBu3Cp)2.thf, Ba(tBu3Cp)2.dme, Ba(tmhd)2, Ba(tmhd)2.트리글라임, Ba(tmhd)2.테트라글라임, Ba(Me5Cp)2, Ba(Me4Cp)2, Ba(Me4EtCp)2 및 Ba(Me4nBuCp)2로 이루어진 군으로부터 선택됨.
또한, 본 발명은 STO 또는 BST 막의 증착 방법을 개시한다. 하나 이상의 기판이 내부에 배치된 ALD 반응 챔버가 제공된다. 상기 개시된 화합물 중 1종 이상이 반응 챔버에 펄스화 첨가된다. 산소 공급원이 반응 챔버에 펄스화 첨가된다. 금속 함유 전구체가 반응 챔버에 펄스화 첨가된다. 금속 함유 전구체는 M(L)2 및 M(L)2.A로 이루어진 군으로부터 선택되고, 여기서:
- M은 Sr 또는 Ba이고;
- L은 치환된 시클로펜타데닐 리간드계 (R1R2R3R4R5Cp) 또는 베타-디케토네이트 리간드계 (-O-CR6-CH-CR7-O-)로부터 선택되고;
- R1 내지 R5 각각은 독립적으로 H 또는 C1-C6 선형 또는 분지형 알킬 사슬로부터 선택되고;
- R6 및 R7 각각은 독립적으로 C1-C6 선형 또는 분지형 알킬 사슬로부터 선택되고;
- A는 테트라히드로푸란, 디메톡시에탄, 디글라임, 트리글라임 및 테트라글라임으로 이루어진 군으로부터 선택된 중성 산소를 함유한 분자이다.
제2 산소 공급원은 반응 챔버에 펄스화 첨가된다. STO 또는 BST 막 중 M:Ti 비의 화학량론양은 전구체 및 금속 함유 전구체에 대한 펄스화 첨가 단계의 수를 변화시킴으로써 제어된다. 개시된 방법은 추가로 하기 양태 중 하나 이상을 포함할 수 있다:
■ 금속 함유 전구체가 Sr(iPr3Cp)2, Sr(iPr3Cp)2.thf, Sr(iPr3Cp)2.dme, Sr(tBu3Cp)2, Sr(tBu3Cp)2.thf, Sr(tBu3Cp)2.dme, Sr(tmhd)2, Sr(tmhd)2.트리글라임, Sr(tmhd)2.테트라글라임, Sr(Me5Cp)2, Sr(Me4Cp)2, Sr(Me4EtCp)2, Sr(Me4nBuCp)2, Ba(iPr3Cp)2, Ba(iPr3Cp)2.thf, Ba(iPr3Cp)2.dme, Ba(tBu3Cp)2, Ba(tBu3Cp)2.thf, Ba(tBu3Cp)2.dme, Ba(tmhd)2, Ba(tmhd)2.트리글라임, Ba(tmhd)2.테트라글라임, Ba(Me5Cp)2, Ba(Me4Cp)2, Ba(Me4EtCp)2 및 Ba(Me4nBuCp)2로 이루어진 군으로부터 선택되고;
■ 산소 공급원 및 제2 산소 공급원이 물이다.
표기법 및 명명법
하기 상세한 설명 및 특허청구범위 전반에 걸쳐 특정 약자, 기호 및 용어를 사용하며, 하기를 포함한다: 약자 "STO"는 스트론튬 티타네이트를 나타내고; 약자 "BST"는 바륨 스트론튬 티타네이트를 나타내고; 약자 "PZT"는 납 지르코늄 티타네이트를 나타내고;
약자 "R1-NC(R3)N-R2"는 하기 화학 구조식을 나타내고:
Figure 112012066374519-pat00001
"R1-N(C(R3)2)m-N-R2"라는 약자는 하기 화학 구조식을 나타내고:
Figure 112012066374519-pat00002
"O2CR7"이라는 약자는 하기 화학 구조식을 나타내고:
Figure 112012066374519-pat00003
약자 "Cy"는 시클로헥실을 나타내고; 약자 "Cp"는 시클로펜타디엔을 나타내고; 용어 "지방족기"는 C1-C6 선형 또는 분지형 사슬 알킬기를 나타내고; 용어 "알킬기"는 탄소 및 수소 원자만을 함유하는 포화 관능기를 나타내고, 선형, 분지형 또는 환형 알킬기를 포함한다. 선형 알킬기의 예는 제한 없이 메틸기, 에틸기, n-프로필기, n-부틸기 등을 포함한다. 분지된 알킬기의 예는 제한 없이 t-부틸을 포함한다. 시클릭 알킬기의 예는 제한 없이 시클로프로필기, 시클로부틸기, 시클로펜틸기, 시클로헥실기 등을 포함한다. 약자 "Me"는 메틸기를 나타내고; 약자 "Et"는 에틸기를 나타내고; 약자 "Pr"은 프로필기를 나타내고; 약자 "iPr"은 이소프로필기를 나타내고; 약자 "iBu"는 이소부틸기를 나타내고; 약자 "nBu"는 n-부틸기를 나타내고; 약자 "sBu"는 sec-부틸기를 나타내고; 약자 "tBu"는 3급-부틸기를 나타내고; 약자 "네오-펜틸"은 -CH2CMe3을 나타내고; 약자 "NZ-amd"는 R1-NC(R3) N-R2 (식 중, R3 = C1-C6 알킬기, 및 R1 및 R2 = Z (Me, Et, Pr, iPr, nBu, iBu, sBu, 또는 tBu로 정의됨)를 나타내며, 예를 들어 NMe-amd는 Me-NC(Me)N-Me를 나타내고; 약자 "NZ-fmd"는 R1-NC(R3) N-R2 (식 중, R3 = H, 및 R1 및 R2 = Z (Me, Et, Pr, iPr, 또는 tBu로 정의됨))를 나타내고; 약자 "Nz-gmd"는 R1-NC(R3)N-R2 (식 중, R3 = NR5R6 (여기서 R5 및 R6 = H 또는 C1-C6 알킬기임), 및 R1 및 R2 = Z (Me, Et, Pr, iPr, nBu, iBu, sBu, 또는 tBu로 정의됨))를 나타내고; 약자 "THF"는 테트라히드로푸란을 나타내고; 약자 "TMA"는 트리메틸 알루미늄을 나타내고; 약자 "ALD"는 원자층 증착을 나타내고; 약자 "CVD"는 화학 증착을 나타내고; 약자 "LPCVD"는 저압 화학 증착을 나타내고; 약자 "P-CVD"는 펄스화 화학 증착을 나타내고; 약자 "PE-ALD"는 플라즈마 증강 원자층 증착을 나타내고; 약자 "MIM"은 금속 절연체 금속 (캐패시터에서 사용되는 구조)을 나타내고; 약자 "DRAM"은 동적 임의 접근 기억장치를 나타내고; 약자 "FeRAM"은 강유전체 임의 접근 기억장치를 나타내고; 약자 "CMOS"는 상보성 금속 산화물 반도체를 나타내고; 약자 "TGA"는 열중량 분석법을 나타낸다.
원소 주기율표로부터 원소의 표준 약자가 본원에서 사용된다. 원소가 이들 약자로 표시될 수 있음 (예를 들어, Ti는 티타늄을 나타내고, Ba는 바륨을 나타냄)을 이해해야 한다.
본 발명은 신규 티타늄 함유 전구체, 이를 합성하는 방법, 및 이를 사용하는 방법을 개시한다.
개시된 이종리간드성 티타늄 함유 전구체는 상이한 부류의 리간드계, 예를 들어 아미디네이트, 포름아미디네이트, 구아니디네이트, 아미드 및/또는 킬레이트화 아미드 리간드, 및 알콕시드 리간드로부터 유래된다. 전구체 디자인은 보다 광범위한 공정 범위 응용을 위해 휘발성을 향상시키고, 융점 (액체 또는 매우 낮은 용융 고체)을 감소시키고, 물과의 반응성을 증가시키고, 열 안정성을 증가시키는 데 도움을 줄 수 있다.
본 발명의 특징 및 목적을 더 이해하기 위해, 하기 상세한 설명을 하기 첨부된 도면과 결합하여 참조해야 하고, 유사한 요소는 동일하거나 또는 유사한 참조 부호로 주어졌다:
도 1은 질량 손실% 대 Ti(NiPr-amd)(OiPr)3의 온도 변화를 나타내는 열중량 분석(TGA) 그래프이고;
도 2는 Ti(NiPr-amd)2(OiPr)2에 대한 TGA 그래프이고;
도 3은 Ti(NiPr-fmd)2(OiPr)2에 대한 TGA 그래프이고;
도 4는 Ti(NiPr-amd)(OiPr)2(NMe2)에 대한 TGA 그래프이고;
도 5는 Ti(Et-N-(CH2)2-N-Et)(OiPr)2에 대한 TGA 그래프이고;
도 6은 Ti(Me2CH-N-(CH2)3-N-CHMe2)(OiPr)2에 대한 TGA 그래프이다.
본 발명은 신규 티타늄 함유 전구체, 이를 합성하는 방법, 및 이를 사용하는 방법을 개시한다.
개시된 이종리간드성 티타늄 함유 전구체는 상이한 부류의 리간드계, 예를 들어 아미디네이트, 포름아미디네이트, 구아니디네이트, 아미드 및/또는 킬레이트화 아미드 리간드, 및 알콕시드 리간드로부터 유래된다. 전구체 디자인은 보다 광범위한 공정 범위 응용을 위해 휘발성을 향상시키고, 융점 (액체 또는 매우 낮은 용융 고체)을 감소시키고, 물과의 반응성을 증가시키고, 열 안정성을 증가시키는 데 도움을 줄 수 있다.
개시된 티타늄 함유 전구체는 하기 화학식 I 또는 II를 갖는다:
[화학식 I]
Ti ( R 1 -N-C( R 3 )-N- R 2 ) u (OR 4 ) x ( NR 5 R 6 ) y ( O 2 CR 7 ) z
[화학식 II]
Ti ( R 1 -N-(C( R 3 ) 2 ) m -N- R 2 ) v (OR 4 ) x ( NR 5 R 6 ) y ( O 2 CR 7 ) z
식 중:
■ R1, R2, R5, R6 및 R7은 독립적으로 H 및 C1-C6 알킬기로 이루어진 군으로부터 선택되고;
■ R3 = H, C1-C6 알킬기, 또는 NMe2;
■ R4 = C1-C6 알킬기;
■ m = 2-4;
■ u = 0-2;
■ v = 0-1;
■ x = 1-3;
■ y = 0-2;
■ z = 0-1;
■ 화학식 I에서, u+x+y+z = 4;
■ 화학식 II에서, 2v+x+y+z = 4; 및
■ u, v 또는 z ≥ 1이다.
상기 정의된 바와 같이, C1-C6 알킬기는 1 내지 6개의 탄소 원자를 갖는 임의의 선형, 분지형 또는 환형 알킬기를 포함하고, Me, tBu 또는 시클로헥실기를 포함하되, 이에 제한되지는 않는다.
화학식 I에서, R1-NC(R3)N-R2 리간드는 하기 화학 구조식으로 표시되고:
Figure 112012066374519-pat00004
화학식 II에서, R1-N-(C(R3)2)m-N-R2 리간드는 하기 화학 구조식으로 표시된다:
Figure 112012066374519-pat00005
따라서, 동일한 원소가 리간드의 골격 (즉, -N-C-N-)에 유지되지만, 리간드 자체는 -N-C-N- 골격 사이에 하나의 비편재화된 음전하를 갖는 -1 리간드로부터 각 질소 원자에 편재된 음전하를 갖는 -2 리간드로 되어버린다. 또한, 화학식 I의 리간드는 화학식 II의 리간드보다 강성인 구조를 갖는다.
화학식 I에서 R1 및 R3이 C1-C6 선형 또는 분지형 알킬기인 경우, R1 및 R3은 독립적인 치환체일 수 있거나, 또는 이들이 하기 예시한 바와 같이 서로 결합하여 R1로부터 R3으로 연장하는 단일환 구조를 형성할 수 있다.
Figure 112012066374519-pat00006
유사하게, 화학식 I에서 R1, R3 및 R2가 C1-C6 선형 또는 분지형 알킬기인 경우, R1, R3 및 R2는 독립적인 치환체일 수 있거나, 또는 이들이 하기 예시한 바와 같이 서로 결합하여 R1로부터 R3으로 연장하는 이환 구조를 형성할 수 있다.
Figure 112012066374519-pat00007
개시된 전구체의 배열은 (특히 H2O와의) 반응성, 및 동시에 안정성을 최적화하도록 선택되었다. Ti-N 결합은 약하고, 표면에서 신속하게 반응할 것이다. 동시에, Ti-O 결합은 보다 강하고 분자를 안정화하여 신속한 분해를 피하는 데 도움을 줄 것이다. 이 분자를 조정함으로써, 보다 약한 부위로 인해 기판 상에 잘 반응하는 전구체가 수득된다.
화학식 I에서, u=1, x=3, y=0 및 z=0인 경우, R1 및 R2는 바람직하게는 Et 또는 iPr이고, R3은 바람직하게는 H, Me 또는 NMe2이고, R4는 바람직하게는 C1-C4 선형 또는 분지형 알킬 사슬이다. 대표적인 전구체는 Ti(iPr-N-C(H)-N-iPr)1(OiPr)3, Ti(iPr-N-C(H)-N-iPr)1(OMe)3, Ti(iPr-N-C(H)-N-iPr)1(OEt)3, Ti(iPr-N-C(H)-N-iPr)1(OnPr)3, Ti(iPr-N-C(H)-N-iPr)1(OsBu)3, Ti(iPr-N-C(H)-N-iPr)1(OiBu)3, Ti(iPr-N-C(H)-N-iPr)1(OtBu)3, Ti(iPr-N-C(Me)-N-iPr)1(OiPr)3, Ti(iPr-N-C(Me)-N-iPr)1(OMe)3, Ti(iPr-N-C(Me)-N-iPr)1(OEt)3, Ti(iPr-N-C(Me)-N-iPr)1(OnPr)3, Ti(iPr-N-C(Me)-N-iPr)1(OsBu)3, Ti(iPr-N-C(Me)-N-iPr)1(OiBu)3, Ti(iPr-N-C(Me)-N-iPr)1(OtBu)3, Ti(Et-N-C(Me)-N-Et)1(OEt)3, Ti(Et-N-C(Me)-N-Et)1(OMe)3, Ti(Et-N-C(Me)-N-Et)1(OnPr)3, Ti(Et-N-C(Me)-N-Et)1(OsBu)3, Ti(Et-N-C(Me)-N-Et)1(OiBu)3, Ti(Et-N-C(Me)-N-Et)1(OtBu)3 또는 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3을 포함한다. 바람직한 대표적인 전구체는 Ti(iPr-N-C(Me)-N-iPr)1(OiPr)3이다.
화학식 II에서 m=2 또는 3, v=1, x=2, y=0, 및 z=0인 경우, R1 및 R2는 바람직하게는 Et 또는 iPr이고, R3는 바람직하게는 H이고, R4는 바람직하게는 C1-C4 선형 또는 분지형 알킬 사슬이다. 보다 바람직하게는, R1 및 R2는 m=2인 경우 Me가 아니다. 대표적인 전구체는 Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2, Ti(iPr-N-(CH2)2-N-iPr)1(OMe)2, Ti(iPr-N-(CH2)2-N-iPr)1(OEt)2, Ti(iPr-N-(CH2)2-N-iPr)1(OnPr)2, Ti(iPr-N-(CH2)2-N-iPr)1(OsBu)2, Ti(iPr-N-(CH2)2-N-iPr)1(OiBu)2, Ti(iPr-N-(CH2)2-N-iPr)1(OtBu)2, Ti(Et-N-(CH2)2-N-Et)1(OiPr)2, Ti(Et-N-(CH2)2-N-Et)1(OMe)2, Ti(Et-N-(CH2)2-N-Et)1(OEt)2, Ti(Et-N-(CH2)2-N-Et)1(OnPr)2, Ti(Et-N-(CH2)2-N-Et)1(OsBu)2, Ti(Et-N-(CH2)2-N-Et)1(OiBu)2, Ti(Et-N-(CH2)2-N-Et)1(OtBu)2, Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2, Ti(iPr-N-(CH2)3-N-iPr)1(OMe)2, Ti(iPr-N-(CH2)3-N-iPr)1(OEt)2, Ti(iPr-N-(CH2)3-N-iPr)1(OnPr)2, Ti(iPr-N-(CH2)3-N-iPr)1(OsBu)2, Ti(iPr-N-(CH2)3-N-iPr)1(OiBu)2, Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2, Ti(Et-N-(CH2)3-N-Et)1(OiPr)2, Ti(Et-N-(CH2)3-N-Et)1(OMe)2, Ti(Et-N-(CH2)3-N-Et)1(OEt)2, Ti(Et-N-(CH2)3-N-Et)1(OnPr)2, Ti(Et-N-(CH2)3-N-Et)1(OsBu)2, Ti(Et-N-(CH2)3-N-Et)1(OiBu)2 또는 Ti(Et-N-(CH2)3-N-Et)1(OtBu)2를 포함한다. 바람직한 대표적인 전구체는 Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2, Ti(Et-N-(CH2)3-N-Et)1(OiPr)2 또는 Ti(Et-N-(CH2)2-N-Et)1(OiPr)2이다.
화학식 I에서 u=2, x=2, y=0, 및 z=0인 경우, 전구체는 하기 화학 구조식으로 표시된다:
Figure 112012066374519-pat00008
이 실시양태에서, R1 및 R2는 바람직하게는 Et 또는 iPr이고, R3은 바람직하게는 H 또는 Me이고, R4는 바람직하게는 C1-C4 선형 또는 분지형 알킬 사슬이다. 보다 바람직하게는, R3은 NMe2가 아니다. 대표적인 전구체는 Ti(iPr-N-C(H)-N-iPr)2(OiPr)2, Ti(iPr-N-C(H)-N-iPr)2(OMe)2, Ti(iPr-N-C(H)-N-iPr)2(OEt)2, Ti(iPr-N-C(H)-N-iPr)2(OnPr)2, Ti(iPr-N-C(H)-N-iPr)2(OsBu)2, Ti(iPr-N-C(H)-N-iPr)2(OiBu)2, Ti(iPr-N-C(H)-N-iPr)2(OtBu)2, Ti(Et-N-C(H)-N-Et)2(OiPr)2, Ti(Et-N-C(H)-N-Et)2(OMe)2, Ti(Et-N-C(H)-N-Et)2(OEt)2, Ti(Et-N-C(H)-N-Et)2(OnPr)2, Ti(Et-N-C(H)-N-Et)2(OsBu)2, Ti(Et-N-C(H)-N-Et)2(OiBu)2, Ti(Et-N-C(H)-N-Et)2(OtBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2, Ti(iPr-N-C(Me)-N-iPr)2(OMe)2, Ti(iPr-N-C(Me)-N-iPr)2(OEt)2, Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2, Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2, Ti(Et-N-C(Me)-N-Et)2(OiPr)2, Ti(Et-N-C(Me)-N-Et)2(OMe)2, Ti(Et-N-C(Me)-N-Et)2(OEt)2, Ti(Et-N-C(Me)-N-Et)2(OnPr)2, Ti(Et-N-C(Me)-N-Et)2(OsBu)2, Ti(Et-N-C(Me)-N-Et)2(OiBu)2 및 Ti(Et-N-C(Me)-N-Et)2(OtBu)2를 포함한다. 바람직한 대표적인 전구체는 Ti(iPr-N-C(H)-N-iPr)2(OiPr)2 또는 Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2이다.
화학식 I에서, u=1, x=2, y=1 및 z=0인 경우, 전구체는 하기 화학 구조식으로 표시된다:
Figure 112012066374519-pat00009
이 실시양태에서, R1 및 R2는 바람직하게는 Et 또는 iPr이고; R3은 바람직하게는 H, Me 또는 NMe2이고; R4는 바람직하게는 iPr이고; R5 및 R6은 바람직하게는 독립적으로 Me 또는 Et이다. 대표적인 전구체는 Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEt2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NiPr2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMetBu), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(N네오펜틸2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMeiPr), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NiPr2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(N네오펜틸2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NiPr2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(N네오펜틸2) 및 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr)을 포함한다. 바람직한 대표적인 전구체는 Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2)이다.
화학식 I에서 u=1, x=2, y=0 및 z=1인 경우, 전구체는 하기 화학 구조식으로 표시된다:
Figure 112012066374519-pat00010
이 실시양태에서, R1 및 R2는 바람직하게는 Et 또는 iPr이고; R3은 바람직하게는 H 또는 Me이고; R4는 바람직하게는 iPr이고; R7은 바람직하게는 Me이다. 대표적인 전구체는 Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(O2CMe) 및 Ti(Et-N-C(Me)-N-Et)(OiPr)2(O2CMe)를 포함한다.
화학식 II에서 v = 1, x = 1, y=0 및 z = 1인 경우, 전구체는 하기 화학 구조식으로 표시된다:
Figure 112012066374519-pat00011
m=2, v=1, x=1, y=0, z=1 및 R3=H인 경우, 전구체는 하기 화학 구조식으로 표시된다:
Figure 112012066374519-pat00012
m=3, v=1, x=1, y=0, z=1 및 R3=H인 경우, 전구체는 하기 화학 구조식으로 표시된다:
Figure 112012066374519-pat00013
이러한 실시양태에서, m은 바람직하게는 2 또는 3이고, R1 및 R2는 바람직하게는 Et 또는 iPr이고; R3은 바람직하게는 H이고; R4는 바람직하게는 C1-C4 선형 또는 분지형 알킬 사슬이고; R7은 바람직하게는 Me이다. 대표적인 전구체는 Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OMe)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OEt)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OnPr)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OsBu)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OiBu)(O2CMe), Ti(iPr-N-(CH2)2-N-iPr)(OtBu)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OiPr)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OMe)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OEt)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OnPr)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OsBu)(O2CMe), Ti(Et-N-(CH2)2-N-Et)(OiBu)(O2CMe) 및 Ti(Et-N-(CH2)2-N-Et)(OtBu)(O2CMe)를 포함한다.
화학식 I에서 u=1, x=1, y=2 및 z=0인 경우, 대표적인 전구체는 Ti(iPr-N-C(Me)-N-iPr)(OiPr)(NMe2)2, Ti(iPr-N-C(Me)-N-iPr)(OiPr)(NEt2)2, Ti(iPr-N-C(Me)-N-iPr)(OiPr)(NEtMe)2, Ti(Et-N-C(Me)-N-Et)(OiPr)(NMe2)2, Ti(Et-N-C(Me)-N-Et)(OiPr)(NEt2)2, Ti(Et-N-C(Me)-N-Et)(OiPr)(NEtMe)2, Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)(NMe2)2, Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)(NEt2)2 및 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)(NEtMe)2를 포함한다.
화학식 II에서 v=1, x=1, y=1 및 z=0인 경우, 대표적인 전구체는 Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(NMe2), Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(NEt2), Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(NEtMe), Ti(Et-N-(CH2)2-N-Et)(OiPr)(NMe2), Ti(Et-N-(CH2)2-N-Et)(OiPr)(NEt2) 및 Ti(Et-N-(CH2)2-N-Et)(OiPr)(NEtMe)를 포함한다.
화학식 I에서 u=1, x=1, y=0 및 z=2인 경우, 대표적인 전구체는 Ti(iPr-N-C(Me)-N-iPr)(OiPr) (O2CMe)2 및 Ti(Et-N-C(Me)-N-Et)(OiPr)(O2CMe)2를 포함한다.
화학식 I 또는 화학식 II에서 u, v, y=0, x=2 및 z=2인 경우, 대표적인 전구체는 Ti(OiPr)2(O2CMe)2를 포함한다.
화학식 I 또는 화학식 II에서 u, v, y=0, x=3 및 z=1인 경우, 대표적인 전구체는 Ti(OiPr)3(O2CMe)를 포함한다.
개시된 전구체는 혼합 플라스크의 배출구가 오일 버블러(bubbler)에 연결된 플라스크에서 질소 분위기 하에서 H(R1-N-C(R3)-N-R2)의 탄화수소 용액을 순수한 티타늄 화합물, 예를 들어 Ti(OR4)3(NR5R6) 또는 Ti(OR4)2(NR5R6)2 또는 티타늄 화합물의 탄화수소 용액과 배합하여 합성될 수 있다. 대표적인 탄화수소 용액은 펜탄을 포함한다. 생성된 용액을 실온에서 밤새 교반하였다. 적용가능한 경우, HO2CR7을 첨가하고 추가로 6 내지 12시간 동안 교반할 수 있다. 용매 및 휘발물질은 진공 하에서 반응 혼합물로부터 제거된다. 생성된 액체 또는 고체의 정제는 증류 또는 승화에 의해 각각 수행된다. 추가 합성법의 상세한 설명은 실시예에 제공된다.
또한 본 발명은 증착 방법을 위해 개시된 티타늄 함유 전구체를 사용하는 방법을 개시한다. 개시된 방법은 티타늄 함유 막의 증착을 위해 티타늄 함유 전구체의 용도를 제공한다. 개시된 방법은 반도체, 태양전지, LCD-TFT 또는 평판형 장치의 제조시 유용할 수 있다. 상기 방법은 기판을 제공하는 단계, 개시된 티타늄 함유 전구체 중 1종 이상을 포함하는 증기를 제공하는 단계; 및 증기를 기판과 접촉시켜 (전형적으로 증기를 기판으로 향하게 하여) 기판의 하나 이상의 표면 상에 티타늄 함유 층을 형성하는 단계를 포함한다.
또한, 개시된 방법은 증착 공정을 사용하여 기판 상에 바이메탈 함유 층을 형성하는 방법, 및 보다 구체적으로 STO 또는 BST 막의 증착 방법을 제공한다. 개시된 방법은 반도체, 태양전지, LCD-TFT 또는 평판형 장치의 제조시 유용할 수 있다. 상기 방법은 기판을 제공하는 단계, 개시된 티타늄 함유 전구체 중 1종 이상을 포함하는 증기를 제공하는 단계; 및 증기를 기판과 접촉시켜 (전형적으로 증기를 기판으로 향하게 하여) 기판의 하나 이상의 표면 상에 바이메탈 함유 층을 형성하는 단계를 포함한다. 산소 공급원, 예를 들어 O3, O2, H2O, 및 NO, 바람직하게는 H2O가 또한 증기와 함께 제공될 수 있다.
개시된 티타늄 함유 전구체는 당업자에게 공지된 임의의 증착 방법을 사용하여 티타늄 함유 막을 증착시키는 데 사용될 수 있다. 적합한 증착 방법의 예는 제한 없이 통상적인 화학 증착 (CVD), 저압 화학 증착 (LPCVD), 원자층 증착 (ALD), 펄스화 화학 증착 (P-CVD), 플라즈마 증강 원자층 증착 (PE-ALD) 또는 이들의 조합을 포함한다. 바람직하게는 증착 방법은 ALD 또는 PE-ALD이다.
티타늄 함유 전구체의 증기는 하나 이상의 기판을 함유하는 반응 챔버에 도입된다. 반응 챔버 내의 온도 및 압력 및 기판의 온도는 티타늄 함유 전구체와 기판 사이의 접촉으로 기판의 하나 이상의 표면 상에 Ti 함유 층이 형성되기에 적합한 조건으로 유지된다. 또한, Ti 함유 층의 형성에 도움을 주는 반응물이 사용될 수 있다.
반응 챔버는 증착 공정이 수행되는 장치, 예를 들어 제한 없이 평행 판형 반응기, 냉벽형 반응기, 고온벽형 반응기, 단일 웨이퍼 반응기, 다중 웨이퍼 반응기 또는 다른 유형의 증착 시스템 내의 임의의 인클로저(enclosure) 또는 챔버일 수 있다. 이러한 대표적인 반응 챔버 모두는 ALD 반응 챔버로서 역할을 할 수 있다. 반응 챔버는 약 0.5 mTorr (0.07 Pa) 내지 약 20 Torr (2700 Pa) 범위의 압력으로 유지될 수 있다. 또한, 반응 챔버 내 온도는 약 200℃ 내지 약 600℃ 범위일 수 있다. 당업계에서 숙련된 자는 원하는 결과를 달성하기 위해서 온도를 단순한 실험을 통해서 최적화시킬 수 있다는 것을 인지할 것이다.
반응 챔버의 온도는 기판 지지대(holder)의 온도를 제어하거나 또는 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하는 데 사용된 장치는 당업계에 공지되어 있다. 반응기 벽은 충분한 성장 속도로 원하는 물리적 상태 및 조성을 갖는 원하는 막을 얻는데 충분한 온도로 가열된다. 반응기 벽이 가열될 수 있는 비제한적인 대표적인 온도 범위는 대략 200℃ 내지 대략 600℃를 포함한다. 플라즈마 증착 방법이 이용되는 경우, 증착 온도는 대략 200℃ 내지 대략 550℃ 범위일 수 있다. 별법으로, 열 공정이 수행되는 경우, 증착 온도는 대략 400℃ 내지 대략 600℃ 범위일 수 있다.
별법으로, 기판은 충분한 성장 속도로 원하는 물리적 상태 및 조성을 갖는 원하는 티타늄 함유 막을 얻는데 충분한 온도로 가열될 수 있다. 기판이 가열될 수 있는 비제한적인 대표적인 온도 범위는 150℃ 내지 600℃를 포함한다. 바람직하게는, 기판의 온도는 450℃ 이하로 유지된다.
티타늄 함유 막이 증착될 기판의 유형은 의도된 최종 용도에 따라 변할 것이다. 일부 실시양태에서, 기판은 MIM, DRAM 또는 FeRam 기술에서 유전체 물질 (예를 들어, HfO2계 물질, TiO2계 물질, ZrO2계 물질, 희토류 산화물계 물질, 3원 산화물계 물질 등)로서 사용되는 산화물, 또는 구리와 로우-k(low-k) 층 사이의 산소 장벽으로서 사용되는 질화물계 막 (예를 들어, TaN)으로부터 선택될 수 있다. 다른 기판은 반도체, 태양전지, LCD-TFT 또는 평판 장치의 제조시 사용될 수 있다. 이러한 기판의 예는 고체 기판, 예를 들어 금속 질화물 함유 기판 (예를 들어, TaN, TiN, WN, TaCN, TiCN, TaSiN 및 TiSiN); 절연체 (예를 들어, SiO2, Si3N4, SiON, HfO2, Ta2O5, ZrO2, TiO2, Al2O3 및 바륨 스트론튬 티타네이트); 또는 이들 물질의 임의의 수의 조합을 포함하는 다른 기판을 포함하되, 이에 제한되지는 않는다. 사용된 실제 기판은 또한 사용된 특정 전구체 실시양태에 따라 좌우될 수 있다. 그렇지만, 많은 경우에 바람직한 사용된 기판은 TiN, SRO, Ru 및 Si 유형의 기판으로부터 선택될 것이다.
티타늄 함유 전구체는 반응 챔버에 도입되기 전에 기화되는 기화기에 액체 상태로 공급될 수 있다. 기화 전에, 티타늄 함유 전구체는 선택적으로 1종 이상의 용매, 1종 이상의 금속 공급원 및 1종 이상의 용매와 1종 이상의 금속 공급원의 혼합물과 혼합될 수 있다. 용매는 톨루엔, 에틸 벤젠, 크실렌, 메시틸렌, 데칸, 도데칸, 옥탄, 헥산, 펜탄 등으로 이루어진 군으로부터 선택될 수 있다. 생성된 농도는 대략 0.05 M 내지 대략 2 M 범위일 수 있다. 금속 공급원은 현재 공지되거나 이후 개발될 임의의 금속 함유 전구체를 포함할 수 있다.
별법으로, 티타늄 함유 전구체는 티타늄 함유 전구체를 함유한 용기에 캐리어 가스를 통과시킴으로써 또는 티타늄 함유 전구체에 캐리어 가스를 버블링시킴으로써 기화될 수 있다. 이어서, 캐리어 가스 및 티타늄 함유 전구체는 증기로서 반응 챔버에 도입된다. 캐리어 가스는 Ar, He, N2 및 이들의 혼합물을 포함하되, 이에 제한되지는 않는다. 티타늄 함유 전구체는 선택적으로 용기에서 1종 이상의 용매, 금속 함유 전구체 또는 이들의 혼합물과 혼합될 수 있다. 필요하다면, 용기는 티타늄 함유 전구체가 액체상으로 있고 충분한 증기압을 갖게 하는 온도로 가열될 수 있다. 용기는 예를 들어 대략 0℃ 내지 대략 150℃의 범위의 온도로 유지될 수 있다. 당업계의 숙련자는 용기의 온도가 공지된 방식으로 조정되어 티타늄 함유 전구체의 증발량을 제어할 수 있다는 것을 인지한다.
반응 챔버에 도입 전 티타늄 함유 전구체와 용매, 금속 함유 전구체 및 안정화제의 선택적 혼합 이외에, 티타늄 함유 전구체는 반응 챔버 내에서 반응물과 혼합될 수 있다. 대표적인 반응물은 제한 없이 금속 함유 전구체, 예를 들어 스트론튬 함유 전구체, 바륨 함유 전구체, 알루미늄 함유 전구체, 예를 들어 TMA 및 이들의 임의의 조합을 포함한다. 이들 또는 다른 금속 함유 전구체는 불순물(dopant)로서 또는 생성된 막, 예를 들어 BST 및 STO 중 제2 또는 제3 금속으로서 소량으로 생성된 막에 혼입될 수 있다.
원하는 티타늄 함유 막, 예를 들어 제한 없이 STO가 또한 산소를 함유하는 경우, 반응물은 O2, O3, H2O, H2O2, 아세트산, 포르말린, 파라-포름알데히드 및 이들의 조합으로부터 선택되되, 이제 제한되지는 않는 산소 공급원을 포함할 수 있다. 바람직하게는, ALD 공정이 수행되는 경우, 반응물은 H2O이다.
반응물을 라디칼 형태로 분해시키기 위해 반응물은 플라즈마로 처리될 수 있다. 플라즈마는 반응 챔버 자체 내에서 생성되거나 또는 존재할 수 있다. 별법으로, 플라즈마는 일반적으로 반응 챔버로부터 제거된 위치에, 예를 들어 원격 플라즈마 시스템에 있을 수 있다. 당업자는 이러한 플라즈마 처리에 적합한 방법 및 장치를 인지할 것이다.
예를 들어, 반응물은 직접 플라즈마 반응기에 도입되어 반응 챔버에서 플라즈마를 생성하여 반응 챔버에서 플라즈마 처리된 반응물을 생성할 수 있다. 대표적인 직접 플라즈마 반응기는 트리온 테크놀로지스(Trion Technologies)에 의해 제조된 타이탄(Titan)™ PECVD 시스템을 포함한다. 반응물은 플라즈마 처리 전에 반응 챔버에 도입되고 유지될 수 있다. 별법으로, 플라즈마 처리는 반응물의 도입과 동시에 수행될 수 있다. 동일계(in-situ) 플라즈마는 전형적으로 샤워헤드(showerhead)와 기판 지지대 사이에서 발생되는 13.56 MHz RF 용량성 결합형 플라즈마(capacitively coupled plasma)이다. 기판 또는 샤워헤드는 양이온 충돌이 발생하는지 여부에 따라 전력 공급된 전극일 수 있다. 동일계 플라즈마 발생기의 전형적인 인가 전력은 대략 100W 내지 대략 1000W이다. 동일계 플라즈마를 사용한 반응물의 해리는 전형적으로 동일한 전력 입력에 대한 원격 플라즈마 공급원을 사용하여 달성되는 것보다 적고, 따라서 원격 플라즈마 시스템과 같은 정도로 반응물 해리시 효과적이지 않지만, 이는 플라즈마에 의해 쉽게 손상되는 기판 상의 금속-질화물 함유 막의 증착에 유리할 수 있다.
별법으로, 반응 챔버 밖에서 플라즈마 처리된 반응물이 생성될 수 있다. MKS 인스트루먼츠(MKS Instruments)의 아스트론(ASTRON)® 반응성 기체 발생기가 반응 챔버로 통과 전에 반응물을 처리하는 데 사용될 수 있다. 2.45 GHz, 7kW 플라즈막 전력 및 대략 3 Torr 내지 대략 10 Torr 범위의 압력에서 작동시, 반응물 O3은 3개의 O- 라디칼로 분해될 수 있다. 바람직하게는, 원격 플라즈마는 약 1 kW 내지 약 10 kW, 보다 바람직하게는 약 2.5 kW 내지 약 7.5 kW 범위의 전력으로 생성될 수 있다.
원하는 티타늄 함유 막이 또한 다른 금속, 예를 들어 제한 없이 Ta, Hf, Zr, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, 란탄족 (예를 들어 Eu) 또는 이들의 조합을 함유하는 경우, 반응물은 금속 알킬, 예를 들어 Ln(RCp)3 또는 Co(RCp)2, 금속 아민, 예를 들어 Zr(Cp)(NMe2)3 또는 Hf(Cp)(NMe2)3 및 이들의 임의의 조합으로부터 선택되되, 이제 제한되지 않는 금속 함유 전구체를 포함할 수 있다.
바람직한 일 실시양태에서, 반응물은 화학식 M(L)2 또는 M(L)2.A로 표시되는 금속 함유 전구체 화합물 일 수 있다 (식 중, M은 Sr 또는 Ba이고, L은 (a) 치환된 시클로펜타디에닐 리간드계 (R1R2R3R4R5Cp) (여기서, R1 내지 R5 각각은 독립적으로 H 또는 C1-C6 선형 또는 분지형 알킬 사슬로부터 선택됨), 또는 (b) 베타-디케토네이트 리간드계 (-O-CR6-CH-CR7-O-) (여기서, R6 및 R7 각각은 독립적으로 C1-C6 선형 또는 분지형 알킬 사슬로부터 선택됨)로부터 선택되고; A는 테트라히드로푸란, 디메톡시에탄, 디글라임, 트리글라임, 테트라글라임 또는 이들의 조합을 포함하되, 이에 제한되지는 않는 중성 산소 함유 분자임). 바람직하게는, 금속 함유 전구체는 화학식 M(R5Cp)2 (식 중, 각각의 R은 독립적으로 H, Me, Et, 및 nBu로부터 선택됨)로 표시된다.
대표적인 금속 함유 전구체는 Sr(iPr3Cp)2, Sr(iPr3Cp)2.thf, Sr(iPr3Cp)2.dme, Sr(tBu3Cp)2, Sr(tBu3Cp)2.thf, Sr(tBu3Cp)2.dme, Sr(tmhd)2, Sr(tmhd)2.트리글라임, Sr(tmhd)2.테트라글라임, Sr(Me5Cp)2, Sr(Me4Cp)2, Sr(Me4EtCp)2, Sr(Me4nBuCp)2, Ba(iPr3Cp)2, Ba(iPr3Cp)2.thf, Ba(iPr3Cp)2.dme, Ba(tBu3Cp)2, Ba(tBu3Cp)2.thf, Ba(tBu3Cp)2.dme, Ba(tmhd)2, Ba(tmhd)2.트리글라임, Ba(tmhd)2.테트라글라임, Ba(Me5Cp)2, Ba(Me4Cp)2, Ba(Me4EtCp)2 및 Ba(Me4nBuCp)2를 포함하되, 이에 제한되지는 않는다.
금속 함유 전구체의 증기 (즉, 제2 증기)가 반응 챔버에 도입된다. 반응 챔버 내 온도 및 압력 및 기판의 온도는 금속 함유 전구체와 기판 사이의 접촉으로 기판의 하나 이상의 표면 상에 M 함유 층이 형성되는 데 적합한 조건으로 유지된다. 또한, 반응물이 M-함유 층의 형성시 도움을 주도록 사용될 수 있다.
당업계에서 숙련된 자는 추가 반응물이 개시된 증착 공정에서 사용될 수 있음을 인지할 것이다. 용어 "제2 증기"는 단지 티타늄 함유 전구체의 "증기"와 혼동을 피하기 위해 사용된다. 예를 들어, 화학식 Sr(iPr3Cp)2로 표시되는 금속 함유 전구체의 제2 증기 및 화학식 Ba(Me5Cp)2로 표시되는 금속 함유 전구체의 제3 증기가 개시된 티타늄 함유 전구체의 증기와 함께 사용되어 BST 막을 형성할 수 있다.
티타늄 함유 전구체 및 1종 이상의 반응물은 반응 챔버에 동시에 (화학 증착), 순차적으로 (원자층 증착) 또는 다른 조합으로 도입될 수 있다. 예를 들어, 티타늄 함유 전구체는 하나의 펄스로 도입될 수 있고, 2개의 추가 금속 공급원이 개별적인 펄스로 함께 도입될 수 있다 [변형 원자층 증착]. 별법으로, 반응 챔버는 티타늄 함유 전구체의 도입 전에 이미 반응물을 함유할 수 있다. 반응물은 반응 챔버로부터 떨어져 위치된 플라즈마 시스템을 통과하여 라디칼로 분해될 수 있다. 별법으로, 티타늄 함유 전구체는 반응 챔버에 연속적으로 도입될 수 있고, 다른 금속 공급원은 펄스로 도입된다 (펄스화 화학 증착). 각 실시예에서, 펄스 후 도입된 과량의 성분을 제거하기 위해 퍼징 또는 탈기 단계가 수행될 수 있다. 각 실시예에서, 펄스는 약 0.01 초 내지 약 10 초, 별법으로 약 0.3 초 내지 약 3 초, 별법으로 약 0.5 초 내지 약 2 초 범위의 시간 동안 지속될 수 있다.
하나의 비제한적인 대표적인 원자층 증착 유형의 공정에서, 티타늄 함유 전구체의 증기상이 반응 챔버에 도입되어 적합한 기판과 접촉한다. 이어서, 반응 챔버를 퍼징 및/또는 탈기시켜 과잉의 티타늄 함유 전구체를 반응 챔버로부터 제거할 수 있다. 자기 제한 방식으로 흡착된 티타늄 전구체와 반응하는 반응 챔버에 산소 공급원을 도입한다. 반응 챔버를 퍼징 및/또는 탈기시켜 임의의 과량의 산소 공급원을 반응 챔버로부터 제거한다. 원하는 막이 산화티타늄 막인 경우, 2단계 공정이 원하는 막 두께를 제공할 수 있거나, 또는 필요한 두께를 갖는 막이 얻어질 때까지 반복될 수 있다.
별법으로, 원하는 막이 티타늄 금속 산화물 막 (즉, TiMO)인 경우, 상기 2단계 공정 후에 반응 챔버에 금속 함유 전구체의 제2 증기를 도입할 수 있다. 금속 함유 전구체는 증착되는 티타늄 금속 산화물 막의 특성에 기초하여 선택될 것이다. 반응 챔버에 도입된 후, 금속 함유 전구체는 기판과 접촉된다. 반응 챔버를 퍼징 및/또는 탈기시켜 임의의 과잉의 금속 함유 전구체가 반응 챔버로부터 제거된다. 다시 한번, 반응 챔버에 산소 공급원을 도입하여 금속 함유 전구체와 반응시킬 수 있다. 반응 챔버를 퍼징 및/또는 탈기시켜 과잉의 산소 공급원을 반응 챔버로부터 제거한다. 원하는 막 두께가 달성되면, 공정을 종결시킬 수 있다. 그러나, 보다 두꺼운 막을 원한다면, 전체 4단계 공정을 반복할 수 있다. 티타늄 함유 전구체, 금속 함유 전구체 및 산소 공급원을 교대로 제공함으로써, 원하는 조성 및 두께의 막이 증착될 수 있다.
또한, 펄스의 수를 변화시킴으로써, 원하는 화학량론량의 M:Ti 비를 갖는 막이 얻어질 수 있다. 예를 들어, Sr2TiO4 막은 티타늄 함유 전구체의 1 펄스 및 금속 함유 전구체의 2 펄스를 수행하고, 각 펄스 후 산소 공급원 펄스를 수행함으로써 수득될 수 있다. 그러나, 당업계에서 숙련된 자는 원하는 막을 얻는 데 필요한 펄스의 수가 생성된 막의 화학량론량의 비와 동일할 필요가 없음을 인지할 것이다.
상기 논의된 공정으로부터 생성된 티타늄 함유 막 또는 티타늄 함유 층은 STO, BST 또는 PZT를 포함할 수 있다. 당업계에서 숙련된 자는 적합한 티타늄 함유 전구체 및 반응물의 신중한 선택에 의해 원하는 막 조성이 수득될 수 있음을 인지할 것이다.
실시예
본 발명의 실시양태를 추가로 예시하기 위해 하기 비제한적인 실시예가 제공된다. 그러나. 실시예는 포괄적인 것을 의도하지 않으며, 본원에 기재된 발명의 범위를 제한하려고 의도되지 않았다.
실시예 1
Ti ( N iPr - amd )( OiPr ) 3 : 펜탄 용액 200 mL를 1시간 동안 -30℃로 냉각시킨 후, Ti(OiPr)3(NMe2) 10.0g, 37.15 mmol을 첨가하고 질소 분위기 하에 실온에서 교반하였다. 펜탄 20 mL 중 NiPr-amd-H (5.28g, 37.15 mmol)의 용액을 상기 혼합물에 천천히 첨가하였다. 플라스크의 배출구는 오일 버블러에 연결되어 있고, 이는 산 스크러버(scrubber)에 연결되어 있다. 생성된 용액을 밤새 실온에서 교반하였다. 용매 및 휘발물질을 진공 하에서 반응 혼합물로부터 제거하고, 주황색 액체를 생성하였다. 주황색 액체의 증류에 의한 정제로 12.5g (92%)을 생성하였다. 도 1은 상기 전구체의 온도 변화에 대한 중량 손실%를 나타내는 TGA 그래프이다.
Figure 112012066374519-pat00014
실시예 2
Ti ( N iPr - amd ) 2 (OiPr) 2 : 실온에서 교반하면서 질소 분위기 하에서 NiPr-amd-H 11.19g, 78.68 mmol을 함유한 펜탄 용액에 순수한 Ti(OiPr)2(NMe2)2 (10.00 g, 39.34 mmol) 화합물을 천천히 첨가하였고, 플라스크의 배출구는 오일 버블러에 연결되었다. 생성된 용액을 밤새 실온에서 교반하였다. 용매 및 휘발물질을 진공 하에서 반응 혼합물로부터 제거하였다. 진한 다홍색 고체가 수득되었다. 고체의 정제를 승화에 의해 수행하여, 수율: 15.4g, 88%를 얻었다. 도 2는 상기 전구체의 온도 변화에 대한 중량 손실%를 나타내는 TGA 그래프이다.
Figure 112012066374519-pat00015
실시예 3
Ti ( N iPr - fmd ) 2 (OiPr) 2 : 실온에서 교반하면서 질소 분위기 하에서 NiPr-fmd-H 10.00g, 78.68 mmol을 함유한 펜탄 용액에 순수한 Ti(OiPr)2(NMe2)2 (10.00 g, 39.34 mmol) 화합물을 천천히 첨가하였고, 플라스크의 배출구는 오일 버블러에 연결되었다. 생성된 용액을 밤새 실온에서 교반하였다. 용매 및 휘발물질을 진공 하에서 반응 혼합물로부터 제거하였다. 노란 주황색 고체가 수득되었다. 고체의 정제를 승화에 의해 수행하였고, 수율: 16.5g, 74%를 얻었다. 도 3은 상기 전구체의 온도 변화에 대한 중량 손실%를 나타내는 TGA 그래프이다.
Figure 112012066374519-pat00016
실시예 4
Ti ( N iPr - gmd ) 2 (OiPr) 2 : 실온에서 교반하면서 질소 분위기 하에서 iPr-N=C=N-iPr 2.98 g, 23.60 mmol을 함유하는 펜탄 용액에 순수한 Ti(OiPr)2(NMe2)2 (3.00 g, 11.80 mmol) 화합물을 천천히 첨가하였고, 플라스크의 배출구는 오일 버블러에 연결되었다. 생성된 용액을 밤새 실온에서 교반하였다. 용매 및 휘발물질을 진공 하에서 반응 혼합물로부터 제거하였다. 적색 고체가 수득되었다. 고체의 정제를 승화에 의해 수행하였고, 매우 낮은 수율을 얻었고, NMR 스펙트럼은 생성물의 혼합물을 나타내었다.
실시예 5
Ti ( N iPr - amd )( OiPr ) 2 (NMe 2 ): 실온에서 교반하면서 질소 분위기 하에서 Ti(OiPr)2(NMe2)2 14.3g, 56.31 mmol을 함유하는 펜탄 용액 80 mL에 펜탄 50 mL 중 NiPr-amd-H (8.01g, 56.31 mmol)의 용액을 천천히 적가하였다. 플라스크의 배출구는 오일 버블러에 연결되었고, 이는 산 스크러버에 연결되었다. 생성된 용액을 밤새 실온에서 교반하였다. 용매 및 휘발물질을 진공 하에서 반응 혼합물로부터 제거하여, 다홍색 액체를 생성하였다. 다홍색 액체의 증류에 의한 정제는 11.5g (60%)을 제공하였다. 도 4는 상기 전구체의 온도 변화에 대한 중량 손실%를 나타내는 TGA 그래프이다.
Figure 112012066374519-pat00017
실시예 6
Ti ( Et -N-( CH 2 ) 2 -N- Et )( OiPr ) 2 : 실온에서 교반하면서 질소 분위기 하에서 Ti(OiPr)2(NMe2)2 15.0g, 59.01 mmol을 함유한 펜탄 용액 60 mL에 Et-NH-(CH2)2-NH-Et (6.86g, 59.01 mmol)의 순수한 액체를 천천히 적가하였다. 플라스크의 배출구는 오일 버블러에 연결되었고, 이는 산 스크러버에 연결되었다. 생성된 용액을 밤새 실온에서 교반하였다. 용매 및 휘발물질을 진공 하에서 반응 혼합물로부터 제거하여, 다홍색 액체를 생성하였다. 다홍색 액체의 증류에 의한 정제는 정량적인 수율을 생성하였다. 도 5는 상기 전구체의 온도 변화에 대한 중량 손실%를 나타내는 TGA 그래프이다.
Figure 112012066374519-pat00018
실시예 7
Ti ( Me -N-( CH 2 ) 2 -N- Me )( OiPr ) 2 : 실시예 6과 유사하게 합성을 수행하였다. 적색 액체의 증류에 의한 정제는 화합물을 분해시켰다.
Figure 112012066374519-pat00019
실시예 8
Ti ( Me 2 CH -N-( CH 2 ) 3 -N- CHMe 2 )( OiPr ) 2 : 실시예 6과 유사하게 합성을 수행하였다. 도 6은 이 전구체의 온도 변화에 대한 중량 손실%를 나타내는 TGA 그래프이다.
Figure 112012066374519-pat00020
실시예 9
실시예 1의 티타늄 함유 전구체 (Ti(NiPr-amd)(OiPr)3) 및 반응물 O3을 사용하여 SiO2/Si 기판 상에 TiO2 막을 증착시키는 데 사용하였다. SiO2/Si 기판을 250℃의 온도로 유지하였다. 50℃로 유지된 버블러에서 전구체를 기화시켰다. ALD 사이클은 전구체 펄스 5초, 이후 퍼징 5초, 이후 반응물 펄스 2초, 이후 퍼징 5초를 포함하였다. TiO2 성장 속도는 0.1 Å/사이클인 것으로 관찰되었다. ALD 체계(regime)는 0.3 Å/사이클만큼 높은 증착 속도로 최대 350℃까지 평가되었다.
실시예 10
실시예 1의 티타늄 함유 전구체 (Ti(NiPr-amd)(OiPr)3) 및 반응물 H2O를 사용하여 SiO2/Si 기판 상에 TiO2의 막을 증착시켰다. SiO2/Si 기판을 250℃의 온도로 유지하였다. 50℃로 유지된 버블러에서 전구체를 기화시켰다. ALD 사이클은 전구체 펄스 20초, 이후 퍼징 5초, 이후 반응물 펄스 2초, 이후 퍼징 10초를 포함하였다. TiO2 성장 속도는 0.33 Å/사이클인 것으로 관찰되었다. ALD 체계는 0.40 Å/사이클만큼 높은 증착 속도로 최대 350℃까지 평가되었다.
실시예 11
실시예 2의 티타늄 함유 전구체 (Ti(NiPr-amd)2(OiPr)2) 및 반응물 O3을 사용하여 SiO2/Si 기판 상에 TiO2의 막을 증착시켰다. SiO2/Si 기판을 300℃의 온도로 유지하였다. 120℃로 유지된 버블러에서 다홍색 전구체를 기화시켰다. ALD 사이클은 전구체 펄스 10초, 이후 퍼징 5초, 이후 반응물 펄스 2초, 이후 퍼징 10초를 포함하였다. TiO2 성장 속도는 0.33 Å/사이클인 것으로 관찰되었다. ALD 체계는 0.40 Å/사이클만큼 높은 증착 속도로 최대 325℃까지 평가되었다.
실시예 12
실시예 2의 티타늄 함유 전구체 (Ti(NiPr-amd)2(OiPr)2) 및 반응물 H2O를 사용하여 SiO2/Si 기판 상에 TiO2의 막을 증착시켰다. SiO2/Si 기판을 275℃의 온도로 유지하였다. 120℃로 유지된 버블러에서 다홍색 전구체를 기화시켰다. ALD 사이클은 전구체 펄스 10초, 이후 퍼징 5초, 이후 반응물 펄스 2초, 이후 퍼징 5초를 포함하였다. TiO2 성장 속도는 0.40 Å/사이클인 것으로 관찰되었다. ALD 체계는 0.47 Å/사이클만큼 높은 증착 속도로 최대 325℃까지 평가되었다.
실시예 13
실시예 5의 티타늄 함유 전구체 (Ti(NiPr-amd )(OiPr)2(NMe2)) 및 반응물 O3을 사용하여 SiO2/Si 기판 상에 TiO2의 막을 증착시켰다. SiO2/Si 기판을 225℃의 온도로 유지하였다. 55℃로 유지된 버블러에서 다홍색 전구체를 기화시켰다. ALD 사이클은 전구체 펄스 15초, 이후 퍼징 5초, 이후 반응물 펄스 2초, 이후 퍼징 5초를 포함하였다. TiO2 성장 속도는 0.17 Å/사이클인 것으로 관찰되었다. ALD 체계는 0.70 Å/사이클만큼 높은 증착 속도로 최대 375℃까지 평가되었다.
실시예 14
실시예 5의 티타늄 함유 전구체 (Ti(NiPr-amd)(OiPr)2(NMe2)) 및 반응물 H2O을 사용하여 SiO2/Si 기판 상에 TiO2의 막을 증착시켰다. SiO2/Si 기판을 225℃의 온도로 유지하였다. 55℃로 유지된 버블러에서 다홍색 전구체를 기화시켰다. ALD 사이클은 전구체 펄스 10초, 이후 퍼징 10초, 이후 반응물 펄스 1초, 이후 퍼징 10초를 포함하였다. TiO2 성장 속도는 0.73 Å/사이클인 것으로 관찰되었다. ALD 체계는 0.80 Å/사이클만큼 높은 증착 속도로 최대 375℃까지 평가되었다.
실시예 15
실시예 6의 티타늄 함유 전구체 (Ti(Et-N-(CH2)2-N-Et)(OiPr)2) 및 반응물 O3을 사용하여 SiO2/Si 기판 상에 TiO2의 막을 증착시켰다. SiO2/Si 기판을 250℃의 온도로 유지하였다. 50℃로 유지된 버블러에서 다홍색 전구체를 기화시켰다. ALD 사이클은 전구체 펄스 15초, 이후 퍼징 5초, 이후 반응물 펄스 2초, 이후 퍼징 5초를 포함하였다. TiO2 성장 속도는 0.1 Å/사이클인 것으로 관찰되었다. ALD 체계는 0.3 Å/사이클만큼 높은 증착 속도로 최대 325℃까지 평가되었다.
실시예 16
실시예 8의 티타늄 함유 전구체 (Ti(Me2CH-N-(CH2)3-N-CHMe2)(OiPr)2) 및 반응물 O3을 사용하여 SiO2/Si 기판 상에 TiO2의 막을 증착시켰다. SiO2/Si 기판을 250℃의 온도로 유지하였다. 50℃로 유지된 버블러에서 다홍색 전구체를 기화시켰다. ALD 사이클은 전구체 펄스 15초, 이후 퍼징 5초, 이후 반응물 펄스 2초, 이후 퍼징 5초를 포함하였다. TiO2 성장 속도는 0.2 Å/사이클인 것으로 관찰되었다. ALD 체계는 0.33 Å/사이클만큼 높은 증착 속도로 최대 325℃까지 평가되었다.
실시예 17
화학식 Ti(OiPr)2(NMe2)2로 표시되는 티타늄 함유 전구체 및 반응물 O3을 사용하여 SiO2/Si 기판 상에 TiO2의 막을 증착시켰다. SiO2/Si 기판을 250℃의 온도로 유지하였다. 30℃로 유지된 버블러에서 전구체를 기화시켰다. ALD 사이클은 전구체 펄스 10초, 이후 퍼징 5초, 이후 반응물 펄스 2초, 이후 퍼징 5초를 포함하였다. TiO2 성장 속도는 0.77 Å/사이클인 것으로 관찰되었다. ALD 체계는 0.77 Å/사이클만큼 높은 증착 속도로 최대 275℃까지 평가되었다.
실시예 18
실시예 12의 티타늄 함유 전구체 (Ti(OiPr)2(NMe2)2) 및 반응물 H2O를 사용하여 SiO2/Si 기판 상에 TiO2의 막을 증착시켰다. SiO2/Si 기판을 250℃의 온도로 유지하였다. 30℃로 유지된 버블러에서 전구체를 기화시켰다. ALD 사이클은 전구체 펄스 7초, 이후 퍼징 5초, 이후 반응물 펄스 2초, 이후 퍼징 10초를 포함하였다. TiO2 성장 속도는 0.25 Å/사이클인 것으로 관찰되었다. ALD 체계는 0.40 Å/사이클만큼 높은 증착 속도로 최대 275℃까지 평가되었다.
본 발명의 특징을 설명하기 위해 본원에 기재되고 예시된 상세한 설명, 물질, 단계 및 배열의 여러 가지 추가 변화가 첨부된 특허청구범위에 명시된 본 발명의 원리 및 범위 내에서 당업자에 의해 이루어질 수 있다는 것을 이해할 것이다. 따라서, 본 발명은 상기 주어진 실시예의 특정 실시양태 및/또는 첨부된 도면에 제한되는 것으로 의도되지 않았다.

Claims (14)

  1. 하기 화학식 I로 표시되는 분자:
    [화학식 I]
    Ti ( R 1 -N-C( R 3 )-N- R 2 ) u (OR 4 ) x ( NR 5 R 6 ) y ( O 2 CR 7 ) z
    식 중:
    ■ R1, R2, R5, R6 및 R7은 독립적으로 H, Me, Et, iPr, tBu 및 네오펜틸로 이루어진 군으로부터 선택되고;
    ■ R3은 H, C1-C6 알킬기 또는 NMe2이고;
    ■ R4는 C1-C6 알킬기이고;
    ■ u, x, y 및 z는 u=2, x=2, y=0 및 z=0; u=1, x=2, y=1 및 z=0; 및 u=1, x=2, y=0 및 z=1로 이루어진 군으로부터 선택된다.
  2. 제1항에 있어서, Ti(iPr-N-C(H)-N-iPr)2(OiPr)2, Ti(iPr-N-C(H)-N-iPr)2(OMe)2, Ti(iPr-N-C(H)-N-iPr)2(OEt)2, Ti(iPr-N-C(H)-N-iPr)2(OnPr)2, Ti(iPr-N-C(H)-N-iPr)2(OsBu)2, Ti(iPr-N-C(H)-N-iPr)2(OiBu)2, Ti(iPr-N-C(H)-N-iPr)2(OtBu)2, Ti(Et-N-C(H)-N-Et)2(OiPr)2, Ti(Et-N-C(H)-N-Et)2(OMe)2, Ti(Et-N-C(H)-N-Et)2(OEt)2, Ti(Et-N-C(H)-N-Et)2(OnPr)2, Ti(Et-N-C(H)-N-Et)2(OsBu)2, Ti(Et-N-C(H)-N-Et)2(OiBu)2, Ti(Et-N-C(H)-N-Et)2(OtBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2, Ti(iPr-N-C(Me)-N-iPr)2(OMe)2, Ti(iPr-N-C(Me)-N-iPr)2(OEt)2, Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2, Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2, Ti(Et-N-C(Me)-N-Et)2(OiPr)2, Ti(Et-N-C(Me)-N-Et)2(OMe)2, Ti(Et-N-C(Me)-N-Et)2(OEt)2, Ti(Et-N-C(Me)-N-Et)2(OnPr)2, Ti(Et-N-C(Me)-N-Et)2(OsBu)2, Ti(Et-N-C(Me)-N-Et)2(OiBu)2 및 Ti(Et-N-C(Me)-N-Et)2(OtBu)2로 이루어진 군으로부터 선택된 분자.
  3. 제1항에 있어서, Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEt2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NiPr2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMetBu), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(N네오펜틸2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMeiPr), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NiPr2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(N네오펜틸2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NiPr2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(N네오펜틸2) 및 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr)로 이루어진 군으로부터 선택된 분자.
  4. 제1항에 있어서, Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(O2CMe) 및 Ti(Et-N-C(Me)-N-Et)(OiPr)2(O2CMe)로 이루어진 군으로부터 선택된 분자.
  5. 삭제
  6. 삭제
  7. 하나 이상의 기판이 내부에 배치된 반응 챔버를 제공하는 단계;
    반응 챔버에 Ti(OiPr)2(O2CMe)2, Ti(OiPr)3(O2CMe) 및 하기 화학식 I로 표시되는 분자로 이루어진 군으로부터 선택된 1종 이상의 전구체를 포함하는 증기를 도입하는 단계;
    증기를 기판과 접촉시켜 증착 공정을 사용하여 기판의 적어도 하나의 표면 상에 Ti 함유 층을 형성하는 단계
    를 포함하는, 기판 상에 Ti 함유 층을 형성하는 방법.
    [화학식 I]
    Ti ( R 1 -N-C( R 3 )-N- R 2 ) u (OR 4 ) x ( NR 5 R 6 ) y ( O 2 CR 7 ) z
    (식 중,
    ■ R1, R2, R5, R6 및 R7은 독립적으로 H, Me, Et, iPr, tBu 및 네오펜틸로 이루어진 군으로부터 선택되고;
    ■ R3은 H, C1-C6 알킬기 또는 NMe2이고;
    ■ R4는 C1-C6 알킬기이고;
    ■ u, x, y 및 z는 u=2, x=2, y=0 및 z=0; u=1, x=2, y=1 및 z=0; 및 u=1, x=2, y=0 및 z=1로 이루어진 군으로부터 선택됨).
  8. 제7항에 있어서, 1종 이상의 전구체가 Ti(iPr-N-C(H)-N-iPr)2(OiPr)2, Ti(iPr-N-C(H)-N-iPr)2(OMe)2, Ti(iPr-N-C(H)-N-iPr)2(OEt)2, Ti(iPr-N-C(H)-N-iPr)2(OnPr)2, Ti(iPr-N-C(H)-N-iPr)2(OsBu)2, Ti(iPr-N-C(H)-N-iPr)2(OiBu)2, Ti(iPr-N-C(H)-N-iPr)2(OtBu)2, Ti(Et-N-C(H)-N-Et)2(OiPr)2, Ti(Et-N-C(H)-N-Et)2(OMe)2, Ti(Et-N-C(H)-N-Et)2(OEt)2, Ti(Et-N-C(H)-N-Et)2(OnPr)2, Ti(Et-N-C(H)-N-Et)2(OsBu)2, Ti(Et-N-C(H)-N-Et)2(OiBu)2, Ti(Et-N-C(H)-N-Et)2(OtBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2, Ti(iPr-N-C(Me)-N-iPr)2(OMe)2, Ti(iPr-N-C(Me)-N-iPr)2(OEt)2, Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2, Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2, Ti(Et-N-C(Me)-N-Et)2(OiPr)2, Ti(Et-N-C(Me)-N-Et)2(OMe)2, Ti(Et-N-C(Me)-N-Et)2(OEt)2, Ti(Et-N-C(Me)-N-Et)2(OnPr)2, Ti(Et-N-C(Me)-N-Et)2(OsBu)2, Ti(Et-N-C(Me)-N-Et)2(OiBu)2, Ti(Et-N-C(Me)-N-Et)2(OtBu)2, Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEt2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NiPr2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMetBu), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(N네오펜틸2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMeiPr), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NiPr2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(N네오펜틸2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NiPr2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(N네오펜틸2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(O2CMe) 및 Ti(Et-N-C(Me)-N-Et)(OiPr)2(O2CMe)로 이루어진 군으로부터 선택되는 것인 방법.
  9. 제8항에 있어서,
    반응 챔버에 M(L)2 및 M(L)2.A로 이루어진 군으로부터 선택된 1종 이상의 금속 함유 전구체를 포함하는 제2 증기를 도입하는 단계
    (식 중,
    - M은 Sr 또는 Ba이고;
    - L은 치환된 시클로펜타디에닐 리간드계 (R1R2R3R4R5Cp) 또는 베타-디케토네이트 리간드계 (-O-CR6-CH-CR7-O-)로부터 선택되고;
    - R1 내지 R5 각각은 독립적으로 H 또는 C1-C6 선형 또는 분지형 알킬 사슬로부터 선택되고;
    - R6 및 R7 각각은 독립적으로 C1-C6 선형 또는 분지형 알킬 사슬로부터 선택되고;
    - A는 테트라히드로푸란, 디메톡시에탄, 디글라임, 트리글라임 및 테트라글라임으로 이루어진 군으로부터 선택된 중성 산소 함유 분자임); 및
    제2 증기를 기판과 접촉시켜 증착 공정을 사용하여 기판의 하나 이상의 표면 상에 금속 함유 층을 형성하는 단계
    를 추가로 포함하는 방법.
  10. 제9항에 있어서, 금속 함유 전구체가 Sr(iPr3Cp)2, Sr(iPr3Cp)2.thf, Sr(iPr3Cp)2.dme, Sr(tBu3Cp)2, Sr(tBu3Cp)2.thf, Sr(tBu3Cp)2.dme, Sr(tmhd)2, Sr(tmhd)2.트리글라임, Sr(tmhd)2.테트라글라임, Sr(Me5Cp)2, Sr(Me4Cp)2, Sr(Me4EtCp)2, Sr(Me4nBuCp)2, Ba(iPr3Cp)2, Ba(iPr3Cp)2.thf, Ba(iPr3Cp)2.dme, Ba(tBu3Cp)2, Ba(tBu3Cp)2.thf, Ba(tBu3Cp)2.dme, Ba(tmhd)2, Ba(tmhd)2.트리글라임, Ba(tmhd)2.테트라글라임, Ba(Me5Cp)2, Ba(Me4Cp)2, Ba(Me4EtCp)2 및 Ba(Me4nBuCp)2로 이루어진 군으로부터 선택되는 것인 방법.
  11. 하나 이상의 기판이 내부에 배치된 ALD 반응 챔버를 제공하는 단계;
    반응 챔버에 Ti(OiPr)2(O2CMe)2, Ti(OiPr)3(O2CMe) 및 하기 화학식 I로 표시되는 분자로 이루어진 군으로부터 선택된 전구체를 펄스화 첨가하는 단계
    [화학식 I]
    Ti ( R 1 -N-C( R 3 )-N- R 2 ) u (OR 4 ) x ( NR 5 R 6 ) y ( O 2 CR 7 ) z
    (식 중,
    ■ R1, R2, R5, R6 및 R7은 독립적으로 H, Me, Et, iPr, tBu 및 네오펜틸로 이루어진 군으로부터 선택되고;
    ■ R3은 H, C1-C6 알킬기 또는 NMe2이고;
    ■ R4는 C1-C6 알킬기이고;
    ■ u, x, y 및 z는 u=2, x=2, y=0 및 z=0; u=1, x=2, y=1 및 z=0; 및 u=1, x=2, y=0 및 z=1로 이루어진 군으로부터 선택됨); 및
    반응 챔버에 산소 공급원을 펄스화 첨가하는 단계;
    반응 챔버에 M(L)2 및 M(L)2.A로 이루어진 군으로부터 선택된 금속 함유 전구체를 펄스화 첨가하는 단계
    (식 중,
    - M은 Sr 또는 Ba이고;
    - L은 치환된 시클로펜타디에닐 리간드계 (R1R2R3R4R5Cp) 또는 베타-디케토네이트 리간드계 (-O-CR6-CH-CR7-O-)로부터 선택되고;
    - R1 내지 R5 각각은 독립적으로 H 또는 C1-C6 선형 또는 분지형 알킬 사슬로부터 선택되고;
    - R6 및 R7 각각은 독립적으로 C1-C6 선형 또는 분지형 알킬 사슬로부터 선택되고;
    - A는 테트라히드로푸란, 디메톡시에탄, 디글라임, 트리글라임 및 테트라글라임으로 이루어진 군으로부터 선택된 중성 산소 함유 분자임);
    반응 챔버에 제2 산소 공급원을 펄스화 첨가하는 단계; 및
    전구체 및 금속 함유 전구체에 대한 펄스화 첨가 단계의 수를 변화시킴으로써 STO 또는 BST 막 중 M:Ti 비의 화학량론양을 제어하는 단계
    를 포함하는, STO 또는 BST 막을 증착하는 방법.
  12. 제11항에 있어서, 전구체가 Ti(iPr-N-C(H)-N-iPr)2(OiPr)2, Ti(iPr-N-C(H)-N-iPr)2(OMe)2, Ti(iPr-N-C(H)-N-iPr)2(OEt)2, Ti(iPr-N-C(H)-N-iPr)2(OnPr)2, Ti(iPr-N-C(H)-N-iPr)2(OsBu)2, Ti(iPr-N-C(H)-N-iPr)2(OiBu)2, Ti(iPr-N-C(H)-N-iPr)2(OtBu)2, Ti(Et-N-C(H)-N-Et)2(OiPr)2, Ti(Et-N-C(H)-N-Et)2(OMe)2, Ti(Et-N-C(H)-N-Et)2(OEt)2, Ti(Et-N-C(H)-N-Et)2(OnPr)2, Ti(Et-N-C(H)-N-Et)2(OsBu)2, Ti(Et-N-C(H)-N-Et)2(OiBu)2, Ti(Et-N-C(H)-N-Et)2(OtBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2, Ti(iPr-N-C(Me)-N-iPr)2(OMe)2, Ti(iPr-N-C(Me)-N-iPr)2(OEt)2, Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2, Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2, Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2, Ti(Et-N-C(Me)-N-Et)2(OiPr)2, Ti(Et-N-C(Me)-N-Et)2(OMe)2, Ti(Et-N-C(Me)-N-Et)2(OEt)2, Ti(Et-N-C(Me)-N-Et)2(OnPr)2, Ti(Et-N-C(Me)-N-Et)2(OsBu)2, Ti(Et-N-C(Me)-N-Et)2(OiBu)2, Ti(Et-N-C(Me)-N-Et)2(OtBu)2, Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEt2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NiPr2), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMetBu), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(N네오펜틸2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMeiPr), Ti(Et-N-C(Me)-N-Et)(OiPr)2(NiPr2), Ti(Et-N-C(Me)-N-Et)(OiPr)2(N네오펜틸2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NiPr2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(N네오펜틸2), Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr), Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(O2CMe) 및 Ti(Et-N-C(Me)-N-Et)(OiPr)2(O2CMe)로 이루어진 군으로부터 선택되는 것인 방법.
  13. 제11항에 있어서, 금속 함유 전구체가 Sr(iPr3Cp)2, Sr(iPr3Cp)2.thf, Sr(iPr3Cp)2.dme, Sr(tBu3Cp)2, Sr(tBu3Cp)2.thf, Sr(tBu3Cp)2.dme, Sr(tmhd)2, Sr(tmhd)2.트리글라임, Sr(tmhd)2.테트라글라임, Sr(Me5Cp)2, Sr(Me4Cp)2, Sr(Me4EtCp)2, Sr(Me4nBuCp)2, Ba(iPr3Cp)2, Ba(iPr3Cp)2.thf, Ba(iPr3Cp)2.dme, Ba(tBu3Cp)2, Ba(tBu3Cp)2.thf, Ba(tBu3Cp)2.dme, Ba(tmhd)2, Ba(tmhd)2.트리글라임, Ba(tmhd)2.테트라글라임, Ba(Me5Cp)2, Ba(Me4Cp)2, Ba(Me4EtCp)2 및 Ba(Me4nBuCp)2로 이루어진 군으로부터 선택되는 것인 방법.
  14. 제11항에 있어서, 산소 공급원 및 제2 산소 공급원이 물인 방법.
KR1020127021696A 2010-04-07 2011-04-06 증착용 티타늄 함유 전구체 KR101749783B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US32176310P 2010-04-07 2010-04-07
US61/321,763 2010-04-07
US12/981,872 2010-12-30
US12/981,872 US8404878B2 (en) 2010-04-07 2010-12-30 Titanium-containing precursors for vapor deposition
PCT/US2011/031346 WO2011127122A2 (en) 2010-04-07 2011-04-06 Titanium-containing precursors for vapor deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020127005058A Division KR101304760B1 (ko) 2010-04-07 2011-04-06 증착용 티타늄 함유 전구체

Publications (2)

Publication Number Publication Date
KR20120125631A KR20120125631A (ko) 2012-11-16
KR101749783B1 true KR101749783B1 (ko) 2017-06-21

Family

ID=44761118

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127005058A KR101304760B1 (ko) 2010-04-07 2011-04-06 증착용 티타늄 함유 전구체
KR1020127021696A KR101749783B1 (ko) 2010-04-07 2011-04-06 증착용 티타늄 함유 전구체

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020127005058A KR101304760B1 (ko) 2010-04-07 2011-04-06 증착용 티타늄 함유 전구체

Country Status (8)

Country Link
US (3) US8404878B2 (ko)
EP (1) EP2556181A4 (ko)
JP (1) JP2013527147A (ko)
KR (2) KR101304760B1 (ko)
CN (1) CN102482771B (ko)
SG (1) SG178831A1 (ko)
TW (1) TW201202465A (ko)
WO (1) WO2011127122A2 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015528011A (ja) 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
KR20210020175A (ko) * 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
CN104945444A (zh) * 2014-03-26 2015-09-30 广东丹邦科技有限公司 用于制备钡金属茂合物的原料及钡金属茂合物的制备方法
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
CA2920646A1 (en) * 2016-02-12 2017-08-12 Seastar Chemicals Inc. Organometallic compound and method
US10465289B2 (en) * 2016-12-30 2019-11-05 L'Air Liquide, Société Anonyme pour l'Etude at l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
JP7235466B2 (ja) * 2018-01-26 2023-03-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ランタノイド化合物、ランタノイド含有薄膜、および該ランタノイド化合物を用いたランタノイド含有薄膜の成膜方法
CN114787168B (zh) * 2019-11-27 2023-06-06 株式会社Adeka 化合物、薄膜形成用原料和薄膜的制造方法
US11111578B1 (en) * 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
KR102428276B1 (ko) * 2020-09-08 2022-08-04 주식회사 한솔케미칼 4족 금속 원소-함유 화합물, 이를 포함하는 전구체 조성물, 및 이를 이용한 박막의 제조 방법
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821921B2 (en) 1998-02-12 2004-11-23 Chevron Chemical Co. Catalyst compounds with β-diiminate anionic ligands and processes for polymerizing olefins
WO2006012052A2 (en) 2004-06-25 2006-02-02 Arkema, Inc. Amidinate ligand containing chemical vapor deposition precursors

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2047646A5 (ko) * 1969-05-13 1971-03-12 Maruzen Petrochemical
US3907754A (en) * 1974-06-19 1975-09-23 Eastman Kodak Co Process and catalyst-inhibitor system for preparing synthetic linear polyester
US7048968B2 (en) * 2003-08-22 2006-05-23 Micron Technology, Inc. Methods of depositing materials over substrates, and methods of forming layers over substrates
KR100584200B1 (ko) 2004-02-13 2006-05-29 한국화학연구원 티타늄 산화물 선구 물질 및 그 제조 방법
KR100581993B1 (ko) 2004-06-09 2006-05-22 삼성전자주식회사 원자층 증착법을 이용한 물질 형성방법
JP2006045083A (ja) 2004-08-02 2006-02-16 Asahi Denka Kogyo Kk 薄膜形成用原料、薄膜の製造方法及び金属化合物
KR100640654B1 (ko) 2005-07-16 2006-11-01 삼성전자주식회사 ZrO2 박막 형성 방법 및 이를 포함하는 반도체 메모리소자의 커패시터 제조 방법
WO2007012052A1 (en) 2005-07-20 2007-01-25 Medical College Of Georgia Research Institute Use of protein profiles in disease diagnosis and treatment
JP2010531373A (ja) * 2007-06-28 2010-09-24 ビーエーエスエフ ソシエタス・ヨーロピア ポリエステルの固体状態重合法
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
WO2009012341A2 (en) * 2007-07-16 2009-01-22 Advancaed Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821921B2 (en) 1998-02-12 2004-11-23 Chevron Chemical Co. Catalyst compounds with β-diiminate anionic ligands and processes for polymerizing olefins
WO2006012052A2 (en) 2004-06-25 2006-02-02 Arkema, Inc. Amidinate ligand containing chemical vapor deposition precursors

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Cotton, S. A. Annu. Rep. Prog. Chem., Sect. A, Vol.97, pp.133-142.
Jhang, Y. et al. Chinese Science Bulletin, Vol.50(24), pp.2817-2820.

Also Published As

Publication number Publication date
KR20120125631A (ko) 2012-11-16
WO2011127122A2 (en) 2011-10-13
SG178831A1 (en) 2012-04-27
US20140127913A1 (en) 2014-05-08
JP2013527147A (ja) 2013-06-27
EP2556181A2 (en) 2013-02-13
CN102482771B (zh) 2014-07-30
KR101304760B1 (ko) 2013-09-05
CN102482771A (zh) 2012-05-30
TW201202465A (en) 2012-01-16
US8633329B2 (en) 2014-01-21
KR20120045031A (ko) 2012-05-08
US8404878B2 (en) 2013-03-26
US20130164947A1 (en) 2013-06-27
WO2011127122A3 (en) 2011-12-08
EP2556181A4 (en) 2014-10-15
US20110250354A1 (en) 2011-10-13

Similar Documents

Publication Publication Date Title
KR101749783B1 (ko) 증착용 티타늄 함유 전구체
KR101502251B1 (ko) 유전체 필름의 형성 방법, 신규 전구체 및 그의 반도체 제조에서의 용도
JP4684706B2 (ja) 薄膜形成方法
KR101274330B1 (ko) 알칼리 토금속 베타-디케티미네이트 전구체를 이용한원자층 증착
TWI463032B (zh) 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積
EP2322530B1 (en) Group 4 metal precursors for metal-containing films
JP2011513260A (ja) 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
KR20120093165A (ko) 하프늄- 및 지르코늄-함유 전구체 및 그의 사용 방법
TWI523078B (zh) 製造含鉿或鋯化合物的方法及使用含鉿或鋯化合物之沈積方法
KR101721294B1 (ko) 증착을 위한 하프늄-함유 또는 지르코늄-함유 전구체
TW201335417A (zh) 用於ald沈積金屬矽酸鹽膜之含矽化合物
TWI756959B (zh) 膜或塗層之方法
KR20120056827A (ko) 고온에서 원자층 침착에 의해 침착된 고 유전율 막
TW202235423A (zh) 含第iv族元素之先質及含第iv族元素的膜之沈積
TWI593820B (zh) 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積
TWI518199B (zh) 用於氣相沉積之含鉿或含鋯前驅物

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right