US20140127913A1 - Titanium-containing precursors for vapor deposition - Google Patents

Titanium-containing precursors for vapor deposition Download PDF

Info

Publication number
US20140127913A1
US20140127913A1 US14/151,161 US201414151161A US2014127913A1 US 20140127913 A1 US20140127913 A1 US 20140127913A1 US 201414151161 A US201414151161 A US 201414151161A US 2014127913 A1 US2014127913 A1 US 2014127913A1
Authority
US
United States
Prior art keywords
ipr
oipr
formula
precursor
tbu
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/151,161
Inventor
Venkateswara R. Pallem
Christian Dussarrat
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
American Air Liquide Inc
Original Assignee
American Air Liquide Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by American Air Liquide Inc filed Critical American Air Liquide Inc
Priority to US14/151,161 priority Critical patent/US20140127913A1/en
Publication of US20140127913A1 publication Critical patent/US20140127913A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic System without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

Disclosed are titanium-containing precursors and methods of synthesizing the same. The compounds may be used to deposit titanium, titanium oxide, strontium-titanium oxide, and barium strontium titanate containing layers using vapor deposition methods such as chemical vapor deposition or atomic layer deposition.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of Ser. No. 13/772,737 filed Feb. 21, 2013, which is a continuation application of U.S. patent application Ser. No. 12/981,872 filed Dec. 30, 2010, now U.S. Pat. No. 8,404,878, which claims the benefit under 35 U.S.C. §119(e) to provisional application No. 61/321,763, filed Apr. 7, 2010, the entire contents of each being incorporated herein by reference.
  • TECHNICAL FIELD
  • Disclosed are titanium-containing precursors, methods of synthesizing the same, and methods of using the same to deposit titanium-containing layers using vapor deposition processes.
  • BACKGROUND
  • One of the serious challenges the semiconductor industry faces is developing new gate dielectric materials for DRAM and capacitors. For decades, silicon dioxide (SiO2) was a reliable dielectric, but as transistors have continued to shrink and the technology has moved from “Full Si” transistors to “Metal Gate/High-k” transistors, the reliability of the SiO2-based gate dielectric is reaching its physical limits. The need for new high dielectric constant materials and processes is increasing and becoming more and more critical as the size for current technology shrinks.
  • Standard dielectric materials like TiO2 or new dielectric materials containing alkaline earth metals called strontium titanates, such as SrTiO3 or Sr2TiO4, or barium strontium titanates provide a significant advantage in capacitance compared to conventional dielectric materials. The new dielectric materials are also attractive candidates for several thin film applications, such as high dielectric constant materials for electronic devices, anti-reflection optical coatings, biocompatible coatings, photocatalysis, and solar cells. (H. A. Durand et al., Appl. Surf. Sci. 86, 122 (1995); C.-W. Wang et al., J. Appl. Phys. 91, 9198 (2002); M. Keshmiri et al., J. Non-Cryst. Solids 324, 289 (2003); T. Inoue et al., Nature (London) 277,637 (1979); H. Kim et al., Appl. Phys. Lett. 85, 64 (2004)).
  • In addition, TiO2 is also a constituent of several important multi-metal oxide systems, such as strontium titanates (STDs), barium strontium titanates (BSTs), and lead zirconium titanates (PZTs), for dielectric and ferroelectric applications. (P. Alluri et al., Integr. Ferroelectr., 21, 305 (1998); J.F. Scott et al., Science 246, 1400 (1989)).
  • Nevertheless, deposition of Ti containing layers is difficult and new materials and processes are needed. For instance, atomic layer deposition, ALD, has been identified as an important thin film growth technique for microelectronics manufacturing, relying on sequential and saturating surface reactions of alternatively applied precursors, separated by inert gas purging. Frequently, an oxygen source such as ozone or water is used in this deposition method. The surface-controlled nature of ALD enables the growth of thin films of high conformality and uniformity with an accurate thickness control.
  • In STO ALD deposition, available Sr precursors show excellent reactivity with 03 and acceptable reactivity with water. However, the use of ozone as an oxidant may have undesired results with the underlying layer, such as TiN or strontium ruthenium oxide (SRO), when the STO film is deposited at high temperature. It may either oxidize the TiN layer or partially etch Ru from SRO layer.
  • Although atomic layer deposition (ALD) of Ti compounds has been disclosed, these metal precursors have poor reactivity, especially with moisture, and low stability often requiring low substrate temperatures and strong oxidizers to grow a film, which is often contaminated with carbon or nitrogen.
  • Air Liquide showed that most of the standard homoleptic Ti molecules have limited ALD process temperature window or no deposition (R. Katamreddy, V. Omarjee, B. Feist, C. Dussarrat, ECS conference 2008). For example, in a water ALD process, the Ti molecules titanium tetrakis(isopropoxide) (TTIP), tetrakis(dimethylamino) titanium (TDMAT), tetrakis(diethylamino) titanium (TDEAT), and tetrakis(ethylmethylamino) titanium (TEMAT) had deposition rates below 0.6 Å/cycle and process windows that did not exceed 250° C. Id.
  • New Ti precursors having higher thermal stability at higher process temperatures are needed. High temperature processes are desired to generate high quality TiO2 (doped or undoped) and STO films with very high dielectric constants (preferably with k≧50). It has been reported that an STO film with a dense and columnar polycrystalline microstructure and a small average grain size (30 nm) is required to obtain a low leakage current with a high k value (C. S. Hwang, S. O. Park, C. S. Kang, H. Cho, H. Kang, S. T. Ahn, and M.Y. Lee, Jpn. J. Appl. Phys., Part 1, 34, 5178 1995).
  • Zhang et al. disclose the unexpected synthesis of Ti(Cy-NC(NiPr2) N-Cy)2(OnBu)2. Chinese Science Bulletin (2005), 50(24), 2817-2820. Chen et al. disclose the synthesis of Ti(OnBu)2(O2CMe)2. Huaxue Xuebao (2003), 61(10), 1592-1596. Uses for these compounds were not disclosed.
  • US Pat App Pub No 2005/277223 discloses ALD methods of forming metal oxides using metal-containing precursors having the formula M(L1)x(L2)y, wherein M is a metal, L1 and L2 may be halide, diketonate, alkoxide, amino, alkoxyamine, amidinate, or multidentate ligands. The exemplary precursors however are only Hf(OtBu)2(NEtMe)2, Hf(OtBu)2(NEt2)2, Hf(NEt2)2(DMAMP)2, Hf(NEtMe)2(DMAMP)2, Ti(OtBu)3Cl, Ti(OtBu)3Me, Ti(OtBu)2(NEt2)2, Ti(NEt2)2(DMAMP)2, Ti(OtBu)2(DMAMP)2, and TiCl2(DMAMP)2. Therefore, a need remains for precursors suitable for titanium/H2O ALD processes compatible with Sr ALD process.
  • New chemical vapor deposition (CVD) processes are also required for Ti materials. Other sources and methods of incorporating Ti materials are being sought for new generations of integrated circuit devices. Novel precursors are needed.
  • SUMMARY
  • Disclosed are molecules having the following formula:

  • Ti(R1—N—C(R3)—N—R2)u(OR4)x(NR5R6)y(O2CR7)z   Formula I

  • or

  • Ti(R1—N—(C(R3)2)m—N—R2)v(OR4)x(NR5R6)y(O2CR7)z   Formula II
  • wherein:
      • R1, R2, R5, R6, and R7 are independently selected from the group consisting of H and C1-C6 alkyl group;
      • R3═H, C1-C6 alkyl group, or NMe2;
      • R4 is a C1-C6 alkyl group;
      • m=2-4;
      • u=0-2;
      • v=0-1;
      • x=1-3;
      • y=0-2;
      • z=0-1;
      • in Formula I, u+x+y+z=4;
      • in Formula II, 2v+x+y+z=4; and
      • u, v, or 1.
        The disclosed molecules may further include one or more of the following aspects:
      • the molecule having Formula I, wherein u=1, x=3, y=0, and z=0;
      • the molecule being selected from the group consisting of Ti(iPr—N—C(Me)—N-iPr)1(OiPr)3, Ti(iPr—N—C(Me)-N-iPr)1(OMe)3, Ti(iPr—N—C(Me)-N-iPr)1(OEt)3, Ti(iPr—N—C(Me)-N-iPr)1(OnPr)3, Ti(iPr—N—C(Me)-N-iPr)1(OsBu)3, Ti(iPr—N—C(Me)-N-iPr)1(OiBu)3, Ti(iPr—N—C(Me)-N-iPr)1(OtBu)3, Ti(Et-N—C(Me)-N-Et)1(OEt)3, Ti(Et-N—C(Me)-N-Et)1(OMe)3, Ti(Et-N—C(Me)-N-Et)1(OnPr)3, Ti(Et-N—C(Me)-N-Et)1(OsBu)3, Ti(Et-N—C(Me)-N-Et)1(OiBu)3, Ti(Et-N—C(Me)-N-Et)1(OtBu)3, and Ti(iPr—N—C(NMe2)—N-iPr)(OiPr)3;
      • the molecule having Formula II, wherein v=1, x=2, y=0, and z=0;
      • The molecule being selected from the group consisting of Ti(iPr—N—(CH2)2—N-iPr)1(OiPr)2, Ti(iPr—N—(CH2)2—N-iPr)1(OMe)2, Ti(iPr—N—(CH2)2—N-iPr)1(OEt)2, Ti(iPr—N—(CH2)2—N-iPr)1(OnPr)2, Ti(iPr—N—(CH2)2—N-iPr)1(OsBu)2, Ti(iPr—N—(CH2)2—N-iPr)1(OiBu)2, Ti(iPr—N—(CH2)2—N-iPr)1(OtBu)2, Ti(Et-N—(CH2)2—N-Et)1(OiPr)2, Ti(Et-N—(CH2)2—N-Et)1(OMe)2, Ti(Et-N—(CH2)2—N-Et)1(OEt)2, Ti(Et-N—(CH2)2—N-Et)1(OnPr)2, Ti(Et-N—(CH2)2—N-Et)1(OsBu)2, Ti(Et-N—(CH2)2—N-Et)1(OiBu)2, Ti(Et-N—(CH2)2—N-Et)1 (OtBu)2, Ti(iPr—N—(CH2)3—N-iPr)1(OiPr)2, Ti(iPr—N—(CH2)3—N-iPr)1(OMe)2, Ti(iPr—N—(CH2)3—N-iPr)1(OEt)2, Ti(iPr—N—(CH2)3—N-iPr)1(OnPr)2, Ti(iPr—N—(CH2)3—N-iPr)1(OsBu)2, Ti(iPr—N—(CH2)3—N-iPr)1(OiBu)2, Ti(iPr—N—(CH2)3—N-iPr)1(OtBu)2, Ti(Et-N—(CH2)3—N-Et)1(OiPr)2, Ti(Et-N—(CH2)3—N-Et)1(OMe)2, Ti(Et-N—(CH2)3—N-Et)1(OEt)2, Ti(Et-N—(CH2)3—N-Et)1(OnPr)2, Ti(Et-N—(CH2)3—N-Et)1(OsBu)2, Ti(Et-N—(CH2)3—N-Et)1 (OiBu)2, and Ti(Et-N—(CH2)3—N-Et)1 (OtBu)2;
      • the molecule having Formula I, wherein u=2, x=2, y=0, and z=0;
      • the molecule being selected from the group consisting of Ti(iPr—N—C(H)—N-iPr)2(OiPr)2, Ti(iPr—N—C(H)—N-iPr)2(OMe)2, Ti(iPr—N—C(H)—N-iPr)2(OEt)2, Ti(iPr—N—C(H)—N-iPr)2(OnPr)2, Ti(iPr—N—C(H)—N-iPr)2(OsBu)2, Ti(iPr—N—C(H)—N-iPr)2(OiBu)2, Ti(iPr—N—C(H)—N-iPr)2(OtBu)2, Ti(Et-N—C(H)—N-Et)2(OiPr)2, Ti(Et-N—C(H)—N-Et)2(OMe)2, Ti(Et-N—C(H)—N-Et)2(OEt)2, Ti(Et-N—C(H)—N-Et)2(OnPr)2, Ti(Et-N—C(H)—N-Et)2(OsBu)2, Ti(Et-N—C(H)—N-Et)2(OiBu)2, Ti(Et-N—C(H)—N-Et)2(OtBu)2, Ti(iPr—N—C(Me)-N-iPr)2(OiPr)2, Ti(iPr—N—C(Me)-N-iPr)2(OMe)2, Ti(iPr—N—C(Me)-N-iPr)2(OEt)2, Ti(iPr—N—C(Me)-N-iPr)2(OnPr)2, Ti(iPr—N—C(Me)-N-iPr)2(OsBu)2, Ti(iPr—N—C(Me)-N-iPr)2(OiBu)2, Ti(iPr—N—C(Me)-N-iPr)2(OtBu)2, Ti(Et-N—C(Me)-N-Et)2(OiPr)2, Ti(Et-N—C(Me)-N-Et)2(OMe)2, Ti(Et-N—C(Me)-N-Et)2(OEt)2, Ti(Et-N—C(Me)-N-Et)2(OnPr)2, Ti(Et-N—C(Me)-N-Et)2(OsBu)2, Ti(Et-N—C(Me)-N-Et)2(OiBu)2, and Ti(Et-N—C(Me)-N-Et)2(OtBu)2;
      • the molecule having Formula I, wherein u=1, x=2, y=1, and z=0;
      • the molecule being selected from the group consisting of Ti(iPr—N—C(Me)-N-iPr)(OiPr)2(NMe2), Ti(iPr—N—C(Me)-N-iPr)(OiPr)2(NEt2), Ti(iPr—N—C(Me)-N-iPr)(OiPr)2(NEtMe), Ti(Et-N—C(Me)-N-Et)(OiPr)2(NMe2), Ti(Et-N—C(Me)-N-Et)(OiPr)2(NEt2), Ti(Et-N—C(Me)-N-Et)(OiPr)2(NEtMe), Ti(iPr—N—C(NMe2)—N-iPr)(OiPr)2(NMe2), Ti(iPr—N—C(NMe2)—N-iPr)(OiPr)2(NEt2), and Ti(iPr—N—C(NMe2)—N-iPr)(OiPr)2(NEtMe);
      • the molecule having Formula I, wherein u=1, x=2, y=0, and z=1;
      • the molecule being selected from the group consisting of Ti(iPr—N—C(Me)-N-iPr)(OiPr)2(O2CMe) and Ti(Et-N—C(Me)-N-Et)(OiPr)2(O2CMe);
      • the molecule having Formula II, wherein v=1, x=1, y=0, and z=1;
      • The molecule being selected from the group consisting of Ti(iPr—N—(CH2)2—N-iPr)(OiPr)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OMe)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OEt)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OnPr)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OsBu)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OiBu)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OtBu)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OiPr)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OMe)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OEt)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OnPr)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OsBu)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OiBu)(O2CMe), and Ti(Et-N—(CH2)2—N-Et)(OtBu)(O2CMe);
      • the molecule having either Formula I or Formula II, wherein u, v, y=0, x=2, and z=2;
      • the molecule being Ti(OiPr)2(O2CMe)2;
      • the molecule having either Formula I or Formula II, wherein u, v, y=0, x=3, and z=1; and
      • the molecule being Ti(OiPr)3(O2CMe).
  • Also disclosed are methods of forming a Ti-containing layer on a substrate. A reaction chamber is provided having at least one substrate disposed within it. The vapor of at least one of the molecules disclosed above is introduced into the reaction chamber. The vapor is contacted with the substrate to form a Ti-containing layer on at least one surface of the substrate using a vapor deposition process. The disclosed methods may further include one or more of the following aspects:
      • introducing into the reaction chamber a second vapor including at least one metal-containing precursor and contacting the second vapor with the substrate to form a metal-containing layer on at least one surface of the substrate using the vapor deposition process, wherein the metal-containing precursor is selected from the group consisting of M(L)2 and M(L)2.A, wherein:
        • M is Sr or Ba;
        • L is selected from substituted cyclopentadienyl ligand systems
        • R1R2R3R4R5Cp or beta-diketonate ligand systems —O—CR6—CH—CR7—O—;
        • each of R1 to R5 is independently selected from H or C1-C6 linear or branched alkyl chain;
        • each of R6 and R7 is independently selected from C1-C6 linear or branched alkyl chain; and
        • A is a neutral oxygen containing molecules selected from the group consisting of tetrahydrofuran, dimethoxyethane, diglyme, triglyme, and tetraglyme; and
      • the metal-containing precursor being selected from the group consisting of Sr(iPr3Cp)2, Sr(iPr3Cp)2.thf, Sr(iPr3Cp)2.dme, Sr(tBu3Cp)2, Sr(tBu3Cp)2.thf, Sr(tBu3Cp)2.dme, Sr(thmd)2, Sr(thmd)2.triglyme, Sr(thmd)2.tetraglyme, Sr(Me5Cp)2, Sr(Me4Cp)2, Sr(Me4EtCp)2, Sr(Me4nBuCp)2, Ba(iPr3Cp)2, Ba(iPr3Cp)2.thf, Ba(iPr3Cp)2.dme, Ba(tBu3Cp)2, Ba(tBu3Cp)2.thf, Ba(tBu3Cp)2.dme, Ba(thmd)2, Ba(thmd)2.triglyme, Ba(thmd)2.tetraglyme, Ba(Me5Cp)2, Ba(Me4Cp)2, Ba(Me4EtCp)2, and Ba(Me4nBuCp)2.
  • Also disclosed are methods of depositing a STO or BST film. An ALD reaction chamber is provided having at least one substrate disposed within it. At least one of the compounds disclosed above is pulsed into the reaction chamber. An oxygen source is pulsed into the reaction chamber. A metal-containing precursor is pulsed into the reaction chamber. The metal-containing precursor is selected from the group consisting of M(L)2 and M(L)2.A, wherein:
        • M is Sr or Ba;
        • L is selected from substituted cyclopentadenyl ligand systems R1R2R3R4R5Cp or beta-diketonate ligand systems —O—CR6—CH—CR7—O—;
        • each of R1 to R5 is independently selected from H or C1-C6 linear or branched alkyl chain;
        • each of R6 and R7 is independently selected from C1-C6 linear or branched alkyl chain; and
        • A is a neutral oxygen containing molecules selected from the group consisting of tetrahydrofuran, dimethoxyethane, diglyme, triglyme, and tetraglyme.
          A second oxygen source is pulsed into the reaction chamber. The stoichiometry of M:Ti ratio in the STO or BST film is controlled by varying the number of pulsing steps for the precursor and metal-containing precursor. The disclosed methods may further include one or more of the following aspects:
      • the metal-containing precursor being selected from the group consisting of Sr(iPr3Cp)2, Sr(iPr3Cp)2.thf, Sr(iPr3Cp)2.dme, Sr(tBu3Cp)2, Sr(tBu3Cp)2.thf, Sr(tBu3Cp)2.dme, Sr(thmd)2, Sr(thmd)2.triglyme, Sr(thmd)2.tetraglyme, Sr(Me5Cp)2, Sr(Me4Cp)2, Sr(Me4EtCp)2, Sr(Me4nBuCp)2, Ba(iPr3Cp)2, Ba(iPr3Cp)2.thf, Ba(iPr3Cp)2.dme, Ba(tBu3Cp)2, Ba(tBu3Cp)2.thf, Ba(tBu3Cp)2.dme, Ba(thmd)2, Ba(thmd)2.triglyme, Ba(thmd)2.tetraglyme, Ba(Me5Cp)2, Ba(Me4Cp)2, Ba(Me4EtCp)2, and Ba(Me4nBuCp)2; and
      • the oxygen source and the second oxygen source being water.
    Notation and Nomenclature
  • Certain abbreviations, symbols, and terms are used throughout the following description and claims and include: the abbreviation “STO” refers to strontium titanates; the abbreviation “BST” refers to barium strontium titanates; the abbreviation “PZT” refers to lead zirconium titanates; the abbreviation “R1—NC(R3)N—R2” refers to the following chemical structure:
  • Figure US20140127913A1-20140508-C00001
  • the abbreviation “R1—N(C(R3)2)m—N—R2” refers to the following chemical structure:
  • Figure US20140127913A1-20140508-C00002
  • the abbreviation “O2CR7” refers to the following chemical structure:
  • Figure US20140127913A1-20140508-C00003
  • the abbreviation “Cy” refers to cyclohexyl; the abbreviation “Cp” refers to cyclopentadiene; the term “aliphatic group” refers to a C1-C6 linear or branched chain alkyl group; the term “alkyl group” refers to saturated functional groups containing exclusively carbon and hydrogen atoms and includes linear, branched, or cyclic alkyl groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, n-propyl groups, n-butyl groups, etc. Examples of branched alkyls groups include without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclobutyl groups, cyclopentyl groups, cyclohexyl groups, etc. The abbreviation “Me” refers to a methyl group; the abbreviation “Et” refers to an ethyl group; the abbreviation “Pr” refers to a propyl group; the abbreviation “iPr” refers to an isopropyl group; the abbreviation “iBu” refers to an isobutyl group; the abbreviation “nBu” refers to a n-butyl group; the abbreviation “sBu” refers to a sec-butyl group; the abbreviation “tBu” refers to a tertiary butyl group; the abbreviation “NZ-amd” refers to R1—NC(R3) N—R2, wherein R3=a C1-C6 alkyl group and R1 and R2═Z, which is defined as Me, Et, Pr, iPr, nBu, iBu, sBu, or tBu, for example NMe-amd is Me-NC(Me)N-Me; the abbreviation “NZ-fmd” refers to R1—NC(R3) N—R2, wherein R3═H and R1 and R2═Z, which is defined as Me, Et, Pr, iPr, or tBu; the abbreviation “NZ-gmd” refers to R1—NC(R3)N—R2 wherein R3═NR5R6 with R5 and R6═H or a C1-C6 alkyl group, and R1 and R2═Z, which is defined as Me, Et, Pr, iPr, nBu, iBu, sBu, or tBu; the abbreviation “THF” refers to tetrahydrofuran; the abbreviation “TMA ” refers to trimethyl aluminum; the abbreviation “ALD” refers to atomic layer deposition; the abbreviation “CVD” refers to chemical vapor deposition; the abbreviation “LPCVD” refers to low pressure chemical vapor deposition; the abbreviation “P-CVD” refers to pulsed chemical vapor deposition; the abbreviation “PE-ALD” refers to plasma enhanced atomic layer deposition; the abbreviation “MIM” refers to Metal Insulator Metal (a structure used in capacitors); the abbreviation “DRAM” refers to dynamic random access memory; the abbreviation “FeRAM” refers to ferroelectric random access memory; the abbreviation “CMOS” refers to complementary metal-oxide-semiconductor; the abbreviation “TGA” refers to thermogravimetric analysis.
  • The standard abbreviations of the elements from the periodic table of elements are used herein. It should be understood that elements may be referred to by these abbreviations (e.g., Ti refers to titanium, Ba refers to barium, etc.).
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description, taken in conjunction with the accompanying drawings, in which like elements are given the same or analogous reference numbers and wherein:
  • FIG. 1 is a thermogravimetric analysis (TGA) graph demonstrating the percentage of weight loss with temperature change for Ti(NPr-amd)(OiPr)3;
  • FIG. 2 is a TGA graph for Ti(NPr-amd)2(OiPr2;
  • FIG. 3 is a TGA graph for Ti(NiPr-fmd)2(OiPr2;
  • FIG. 4 is a TGA graph for Ti(NiPr-amd)(OiPr)2(NMe2);
  • FIG. 5 is a TGA graph for Ti(Et-N—(CH2)2—N-Et)(OiPr)2; and
  • FIG. 6 is a TGA graph for Ti(Me2CH—N—(CH2)3—N—CHMe2)(OiPr)2.
  • DESCRIPTION OF PREFERRED EMBODIMENTS
  • Disclosed are novel titanium-containing precursors, methods of synthesizing the same, and methods of using the same.
  • The disclosed heteroleptic titanium-containing precursors are derived from different classes of ligand systems, such as amidinate, formamidinate, guanidinate, amide, and/or chelating amide ligands, plus alkoxide ligands. Precursor design may help improve volatility, reduce the melting point (liquids or very low melting solids), increase reactivity with water, and increase thermal stability for wider process window applications.
  • The disclosed titanium-containing precursors have the following formulae:

  • Ti(R1—N—C(R3)—N—R2)u(OR4)x(NR5R6)y(O2CR7)z   Formula I

  • or

  • Ti(R1—N—(C(R3)2)m—N—R2)v(OR4)x(NR5R6)y(O2CR7)z   Formula II
  • wherein:
      • R1, R2, R5, R6, and R7 are independently selected from the group consisting of H and C1-C6 alkyl group;
      • R3═H, C1-C6 alkyl group, or NMe2;
      • R4 is a C1-C6 alkyl group;
      • m=2-4;
      • u=0-2;
      • v=0-1;
      • x=1-3;
      • y=0-2;
      • z=0-1;
      • in Formula I, u+x+y+z=4;
      • in Formula II, 2v+x+y+z=4; and
      • u, v, or z 1.
  • As defined above, the C1-C6 alkyl group includes any linear, branched, or cyclic alkyl groups having from 1 to 6 carbon atoms, including but not limited to Me, tBu, or cyclohexyl groups.
  • In Formula I, the R1—NC(R3)N—R2 ligand has the following chemical structure:
  • Figure US20140127913A1-20140508-C00004
  • In Formula II, the R1—N—(C(R3)2)m—N—R2 ligand has the following chemical structure:
  • Figure US20140127913A1-20140508-C00005
  • Therefore, although the same elements have been maintained in the backbone of the ligand (i.e., —N—C—N—), the ligand itself has gone from a −1 ligand having one delocalized negative charge between the —N—C—N— backbone to a −2 ligand having a negative charge localized at each nitrogen atom. Additionally, the Formula I ligand has a more rigid structure than the Formula II ligand.
  • When R1 and R3 are C1-C6 linear or ‘branched alkyl groups in Formula I, R1 and R3 may be independent substituents or they may be linked together to form a monocyclic structure extending from R1 to R3, as demonstrated below.
  • Figure US20140127913A1-20140508-C00006
  • Similarly, when R1, R3 and R2 are C1-C6 linear or branched alkyl groups in Formula I, R1, R3 and R2 may be independent substituents or they may be linked together to form a bicyclic structure, as demonstrated below.
  • Figure US20140127913A1-20140508-C00007
  • The configuration of the disclosed precursors was selected in order to optimize the reactivity (especially with H2O) and, at the same time, the stability. The Ti—N bond is weak and will react rapidly on the surface. At the same time, the Ti—O bond is much stronger and will help stabilize the molecule to avoid fast decomposition. By tuning this molecule, a precursor is obtained that reacts well on the substrate thanks to a weaker site
  • When u=1, x=3, y=0, and z=0 in Formula I, R1 and R2 are preferably Et or iPr, R3 is preferably H, Me, or NMe2, and R4 is preferably a C1-C4 linear or branched alkyl chain. Exemplary precursors include Ti(iPr—N—C(H)—N-iPr)1(OiPr)3, Ti(iPr—N—C(H)—N-iPr)1(OMe)3, Ti(iPr—N—C(H)—N-iPr)1(OEt)3, Ti(iPr—N—C(H)—N-iPr)1(OnPr)3, Ti(iPr—N—C(H)—N-iPr)1(OsBu)3, Ti(iPr—N—C(H)—N-iPr)1(OiBu)3, Ti(iPr—N—C(H)—N-iPr)1(OtBu)3, Ti(iPr—N—C(Me)-N-iPr)1(OiPr)3, Ti(iPr—N—C(Me)-N-iPr)1(OMe)3, Ti(iPr—N—C(Me)-N-iPr)1(OEt)3, Ti(iPr—N—C(Me)-N-iPr)i(OnPr)3, Ti(iPr—N—C(Me)-N-iPr)1(OsBu)3, Ti(iPr—N—C(Me)-N-iPr)i(OiBu)3, Ti(iPr—N—C(Me)-N-iPr)i(OtBu)3, Ti(Et-N—C(Me)-N-Et)1(OEt)3, Ti(Et-N—C(Me)-N-Et)1(OMe)3, Ti(Et-N—C(Me)-N-Et)i(OnPr)3, Ti(Et-N—C(Me)-N-Et)1(OsBu)3, Ti(Et-N—C(Me)-N-Et)1(OiBu)3, Ti(Et-N—C(Me)-N-Et)1(OtBu)3, or Ti(iPr—N—C(NMe2)-N-iPr)(OiPr)3. The preferred exemplary precursor is Ti(iPr—N—C(Me)-N-iPr)1(OiPr)3.
  • When m=2 or 3, v=1, x=2, y=0, and z=0 in Formula II, R1 and R2 are preferably Et or iPr, R3 is preferably H, and R4 is preferably a C1-C4 linear or branched alkyl chain. More preferably, R1 and R2 are not Me when m=2.
  • Exemplary precursors include Ti(iPr—N—(CH2)2—N-iPr)1(OiPr)2, Ti(iPr—N—(CH2)2—N-iPr)1(OMe)2, Ti(iPr—N—(CH2)2—N-iPr)1(OEt)2, Ti(iPr—N—(CH2)2—N-iPr)1(OnPr)2, Ti(iPr—N—(CH2)2—N-iPr)1(OsBu)2, Ti(iPr—N—(CH2)2—N-iPr)1(OiBu)2, Ti(iPr—N—(CH2)2—N-iPr)1(OtBu)2, Ti(Et-N—(CH2)2—N-Et)1(OiPr)2, Ti(Et-N—(CH2)2—N-Et)1(OMe)2, Ti(Et-N—(CH2)2—Nt)1(OEt)2, Ti(Et-N—(CH2)2—N-Et)1(OnPr)2, Ti(Et-N—(CH2)2—N-Et)1(OsBu)2, Ti(Et-N—(CH2)2—N-Et)1(OiBu)2, Ti(Et-N—(CH2)2—N-Et)1(OtBu)2, Ti(iPr—N—(CH2)3—N-iPr)1(OiPr)2, Ti(iPr—N—(CH2)3—N-iPr)1(OMe)2, Ti(iPr—N—(CH2)3—N-iPr)1(OEt)2, Ti(iPr—N—(CH2)3—N-iPr)1(OnPr)2, Ti(iPr—N—(CH2)3—N-iPr)1(OsBu)2, Ti(iPr—N—(CH2)3—N-iPr)1(OiBu)2, Ti(iPr—N—(CH2)3—N-iPr)1(OtBu)2, Ti(Et-N—(CH2)3—N-Et)1(OiPr)2, Ti(Et-N—(CH2)3—N-Et)1 (OMe)2, Ti(Et-N—(CH2)3—N-Et)1 (0E02, Ti(Et-N—(CH2)3—N-Et)1 (On Pr)2, Ti(Et-N—(CH2)3—N-Et)1(OsBu)2, Ti(Et-N—(CH2)3—N-Et)1(OiBu)2, or Ti(Et-N—(CH2)3—N-Et)1(OtBu)2. The preferred exemplary precursors are Ti(iPr—N—(CH2)2—N-iPr)1(OiPr)2, Ti(Et-N—(CH2)3—N-Et)1(OiPr)2, or Ti(Et-N—(CH2)2—N-Et)1(OiPr)2.
  • When u=2, x=2, y=0, and z=0 in Formula I, the precursor has the following chemical structure:
  • Figure US20140127913A1-20140508-C00008
  • In this embodiment, R1 and R2 are preferably Et or iPr, R3 is preferably H or Me, and R4 is preferably a C1-C4 linear or branched alkyl chain. More preferably, R3 is not NMe2. Exemplary precursors include Ti(iPr—N—C(H)—N-iPr)2(OiPr)2, Ti(iPr—N—C(H)—N-iPr)2(OMe)2, Ti(iPr—N—C(H)—N-iPr)2(OEt)2, Ti(iPr—N—C(H)—N-iPr)2(OnPr)2, Ti(iPr—N—C(H)—N-iPr)2(OsBu)2, Ti(iPr—N—C(H)—N-iPr)2(OiBu)2, Ti(iPr—N—C(H)—N-iPr)2(OtBu)2, Ti(Et-N—C(H)—N-Et)2(OiPr)2, Ti(Et-N—C(H)—N-Et)2(OMe)2, Ti(Et-N—C(H)—N-Et)2(OEt)2, Ti(Et-N—C(H)—N-Et)2(OnPr)2, Ti(Et-N—C(H)—N-Et)2(OsBu)2, Ti(Et-N—C(H)—N-Et)2(OiBu)2, Ti(Et-N—C(H)—N-Et)2(OtBu)2, Ti(iPr—N—C(Me)-N-iPr)2(OiPr)2, Ti(iPr—N—C(Me)-N-iPr)2(OMe)2, Ti(iPr—N—C(Me)-N-iPr)2(OEt)2, Ti(iPr—N—C(Me)-N-iPr)2(OnPr)2, Ti(iPr—N—C(Me)-N-iPr)2(OsBu)2, Ti(iPr—N—C(Me)-N-iPr)2(OiBu)2, Ti(iPr—N—C(Me)-N-iPr)2(OtBu)2, Ti(Et-N—C(Me)-N-Et)2(OiPr)2, Ti(Et-N—C(Me)-N-Et)2(OMe)2, Ti(Et-N—C(Me)-N-Et)2(OEt)2, Ti(Et-N—C(Me)-N-Et)2(OnPr)2, Ti(Et-N—C(Me)-N-Et)2(OsBu)2, Ti(Et-N—C(Me)-N-Et)2(OiBu)2, and Ti(Et-N—C(Me)-N-Et)2(OtBu)2. The preferred exemplary precursor is Ti(iPr—N—C(H)—N-iPr)2(OiPr)2 or Ti(iPr—N—C(Me)-N-iPr)2(OiPr)2.
  • When u=1, x=2, y=1, and z=0 in Formula I, the precursor has the following chemical structure:
  • Figure US20140127913A1-20140508-C00009
  • In this embodiment, R1 and R2 are preferably Et or iPr; R3 is preferably H, Me, or NMe2; R4 is preferably iPr; and R5 and R6 preferably are independently Me or Et. Exemplary precursors include Ti(iPr—N—C(Me)-N-iPr)(OiPr)2(NMe2), Ti(iPr—N—C(Me)-N-iPr)(OiPr)2(NEt2), Ti(iPr—N—C(Me)-N-iPr)(OiPr)2(NEtMe), Ti(Et-N—C(Me)-N-Et)(OiPr)2(NMe2), Ti(Et-N—C(Me)-N-Et)(OiPr)2(NEt2), Ti(Et-N—C(Me)-N-Et)(OiPr)2(NEtMe), Ti(iPr—N—C(NMe2)-N-iPr)(OiPr)2(NMe2), Ti(iPr—N—C(NMe2)-N-iPr)(OiPr)2(NEt2), and Ti(iPr—N—C(NMe2)-N-iPr)(OiPr)2(NEtMe). The preferred exemplary precursor is Ti(iPr—N—C(Me)-N-iPr)(OiPr)2(NMe2).
  • When u=1, x=2, y=0, and z=1 in Formula I, the precursor has the following chemical structure:
  • Figure US20140127913A1-20140508-C00010
  • In this embodiment, R1 and R2 are preferably Et or iPr; R3 is preferably H or Me; R4 is preferably iPr; and R7 is preferably Me. Exemplary precursors include Ti(iPr—N—C(Me)-N-iPr)(OiPr)2(O2CMe) and Ti(Et-N—C(Me)-N-Et)(OiPr)2(O2CMe).
  • When v=1, x=1, y=0, and z=1 in Formula II, the precursor has the following chemical structure:
  • Figure US20140127913A1-20140508-C00011
  • When m=2, v=1, x=1, y=0, z=1, and R3═H, the precursor has the following chemical structure:
  • Figure US20140127913A1-20140508-C00012
  • When m=3, v=1, x=1, y=0, z=1, and R3═H, the precursor has the following chemical structure:
  • Figure US20140127913A1-20140508-C00013
  • In these embodiments, m is preferably 2 or 3, R1 and R2 are preferably Et or iPr; R3 is preferably H; R4 is preferably a C1-C4 linear or branched alkyl chain; and R7 is preferably Me. Exemplary precursors include Ti(iPr—N—(CH2)2—N-iPr)(OiPr)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OMe)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OEt)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OnPr)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OsBu)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OiBu)(O2CMe), Ti(iPr—N—(CH2)2—N-iPr)(OtBu)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OiPr)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OMe)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OEt)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OnPr)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OsBu)(O2CMe), Ti(Et-N—(CH2)2—N-Et)(OiBu)(O2CMe), and Ti(Et-N—(CH2)2—N-Et)(OtBu)(O2CMe).
  • When u=1, x=1, y=2, and z=0 in Formula I, exemplary precursors include Ti(iPr—N—C(Me)-N-iPr)(OiPr) (NMe2)2, Ti(iPr—N—C(Me)-N-iPr)(OiPr)(NEt2)2, Ti(iPr—N—C(Me)-N-iPr)(OiPr)(NEtMe)2, Ti(Et-N—C(Me)-N-Et)(OiPr)(NMe2)2, Ti(Et-N—C(Me)-N-Et)(OiPr)(NEt2)2, Ti(Et-N—C(Me)-N-Et)(OiPr)(NEtMe)2, Ti(iPr—N—C(NMe2)-N-iPr)(OiPr)(NMe2)2, Ti(iPr—N—C(NMe2)-N-iPr)(OiPr)(NEt2)2, and Ti(iPr—N—C(NMe2)-N-iPr)(OiPr)(NEtMe)2.
  • When v=1, x=1, y=1, and z=0 in Formula II, exemplary precursors include Ti(iPr—N—(CH2)2—N-iPr)(OiPr)(NMe2), Ti(iPr—N—(CH2)2—N-iPr)(OiPr)(NEt2), Ti(iPr—N—(CH2)2—N-iPr)(OiPr)(NEtMe), Ti(Et-N—(CH2)2—N-Et)(OiPr)(NMe2), Ti(Et-N—(CH2)2—N-Et)(OiPr)(NEt2), and Ti(Et-N—(CH2)2—N-Et)(OiPr)(NEtMe).
  • When u=1, x=1, y=0, and z=2 in Formula I, exemplary precursors include Ti(iPr—N—C(Me)-N-iPr)(OiPr) (O2CMe)2 and Ti(Et-N—C(Me)-N-Et)(OiPr)(O2CMe)2. When u, v, y=0, x=2, and z=2 in either of Formula I or Formula II, exemplary precursors include Ti(OiPr)2(O2CMe)2.
  • When u, v, y=0, x=3, and z=1 in either of Formula I or Formula II, exemplary precursors include Ti(OiPr)3(O2CMe).
  • The disclosed precursors may be synthesized by combining a hydrocarbon solution of H(R1—N—C(R3)-N—R2) with a neat or hydrocarbon solution of a titanium compound, such as Ti(OR4)3(NR5R6)or Ti(OR4)2(NR5R6)2, under atmosphere of nitrogen, the outlet of the mixing flask being connected to an oil bubbler. Exemplary hydrocarbon solutions include pentane. The resulting solution is stirred at room temperature overnight. Where applicable, HO2CR7 may be added and further stirred for 6-12 hours. Solvent and volatiles are removed from the reaction mixture under vacuum. Purification of the resulting liquid or solid is carried out by distillation or sublimation, respectively. Additional synthesis details are provided in the Examples.
  • Also disclosed are methods of using the disclosed titanium-containing precursors for vapor deposition methods. The disclosed methods provide for the use of the titanium-containing precursors for deposition of titanium-containing films. The disclosed methods may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices. The method includes: providing a substrate; providing a vapor including at least one of the disclosed titanium-containing precursors: and contacting the vapor with the substrate (and typically directing the vapor to the substrate) to form a titanium-containing layer on at least one surface of the substrate.
  • The disclosed methods also provide for forming a bimetal-containing layer on a substrate using a vapor deposition process and, more particularly, for deposition of STO or BST films. The disclosed methods may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices. The method includes: providing a substrate; providing a vapor including at least one of the disclosed titanium-containing precursors and contacting the vapor with the substrate (and typically directing the vapor to the substrate) to form a bi metal-containing layer on at least one surface of the substrate. An oxygen source, such as O3, O2, H2O, and NO, preferably H2O, may also be provided with the vapor.
  • The disclosed titanium-containing precursors may be used to deposit titanium-containing films using any deposition methods known to those of skill in the art. Examples of suitable deposition methods include without limitation, conventional chemical vapor deposition (CVD), low pressure chemical vapor deposition (LPCVD), atomic layer deposition (ALD), pulsed chemical vapor deposition (P-CVD), plasma enhanced atomic layer deposition (PE-ALD), or combinations thereof. Preferably, the deposition method is ALD or PE-ALD.
  • The vapor of the titanium-containing precursor is introduced into a reaction chamber containing at least one substrate. The temperature and the pressure within the reaction chamber and the temperature of the substrate are held at suitable conditions so that contact between the titanium-containing precursor and substrate results in formation of a Ti-containing layer on at least one surface of the substrate. A reactant may also be used to help in formation of the Ti-containing layer.
  • The reaction chamber may be any enclosure or chamber of a device in which deposition methods take place, such as, without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other such types of deposition systems. All of these exemplary reaction chambers are capable of serving as an ALD reaction chamber. The reaction chamber may be maintained at a pressure ranging from about 0.5 mTorr to about 20 Torr. In addition, the temperature within the reaction chamber may range from about 200° C. to about 600° C. One of ordinary skill in the art will recognize that the temperature may be optimized through mere experimentation to achieve the desired result.
  • The substrate may be heated to a sufficient temperature to obtain the desired titanium-containing film at a sufficient growth rate and with desired physical state and composition. A non-limiting exemplary temperature range to which the substrate may be heated includes from 150° C. to 600° C. Preferably, the temperature of the substrate remains less than or equal to 450° C.
  • The type of substrate upon which the titanium-containing film will be deposited will vary depending on the final use intended. In some embodiments, the substrate may be chosen from oxides which are used as dielectric materials in MIM, DRAM, or FeRam technologies (for example, HfO2 based materials, TiO2 based materials, ZrO2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or from nitride-based films (for example, TaN) that are used as an oxygen barrier between copper and the low-k layer. Other substrates may be used in the manufacture of semiconductors, photovoltaics, LCD-TFT, or flat panel devices. Examples of such substrates include, but are not limited to, solid substrates such as metal nitride containing substrates (for example, TaN, TiN, WN, TaCN, TiCN, TaSiN, and TiSiN); insulators (for example, SiO2, Si3N4, SiON, Hf02, Ta2O5, ZrO2, TiO2, Al2O3, and barium strontium titanate); or other substrates that include any number of combinations of these materials. The actual substrate utilized may also depend upon the specific precursor embodiment utilized. In many instances though, the preferred substrate utilized will be selected from TiN, SRO, Ru, and Si type substrates.
  • The titanium-containing precursor may be fed in liquid state to a vaporizer where it is vaporized before it is introduced into the reaction chamber. Prior to its vaporization, the titanium-containing precursor may optionally be mixed with one or more solvents, one or more metal sources, and a mixture of one or more solvents and one or more metal sources. The solvents may be selected from the group consisting of toluene, ethyl benzene, xylene, mesitylene, decane, dodecane, octane, hexane, pentane, or others. The resulting concentration may range from approximately 0.05 M to approximately 2 M. The metal source may include any metal-containing precursors now known or later developed.
  • Alternatively, the titanium-containing precursor may be vaporized by passing a carrier gas into a container containing the titanium-containing precursor or by bubbling the carrier gas into the titanium-containing precursor. The carrier gas and titanium-containing precursor are then introduced into the reaction chamber as a vapor. The carrier gas may include, but is not limited to, Ar, He,
  • N2,and mixtures thereof. The titanium-containing precursor may optionally be mixed in the container with one or more solvents, metal-containing precursors, or mixtures thereof. If necessary, the container may be heated to a temperature that permits the titanium-containing precursor to be in its liquid phase and to have a sufficient vapor pressure. The container may be maintained at temperatures in the range of, for example, 0-150° C. Those skilled in the art recognize that the temperature of the container may be adjusted in a known manner to control the amount of titanuim-containing precursor vaporized.
  • In addition to the optional mixing of the titanium-containing precursor with solvents, metal-containing precursors, and stabilizers prior to introduction into the reaction chamber, the titanium-containing precursor may be mixed with reactants inside the reaction chamber. Exemplary reactants include, without limitation, metal-containing precursors such as strontium-containing precursors, barium-containing cursors, aluminum-containing precursors such as TMA, and any combination thereof. These or other metal-containing precursors may be incorporated into the resultant film in small quantities, as a dopant, or as a second or third metal in the resulting film, such as BST and STO. When the desired titanium-containing film also contains oxygen, such as, for example and without limitation, STO, the reactants may include an oxygen source which is selected from, but not limited to, O2, O3, H2O, H2O2, acetic acid, formalin, para-formaldehyde, and combinations thereof. Preferably, when an ALD process is performed, the reactant is H2O.
  • When the desired titanium-containing film also contains another metal, such as, for example and without limitation, Ta, Hf, Zr, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, lanthanides (such as Eu), or combinations thereof, the reactants may include a metal-containing precursor which is selected from, but not limited to, metal alkyls, such as Ln(RCp)3 or Co(RCp)2, metal amines, such as Zr(Cp)(NMe2)3 or Hf(Cp)(NMe2)3, and any combination thereof.
  • In one preferred embodiment, the reactant may be a metal-containing precursor compound having the formula M(L)2 or M(L)2.A, wherein M is Sr or Ba, L is selected from (a) substituted cyclopentadienyl ligand systems (R1R2R3R4R5Cp) in which each of R1 to R5 is independently selected from H or C1-C6 linear or branched alkyl chains, or (b) beta-diketonate ligand systems (—O—CR6—CH—CR7—O—), in which each of R6 and R7 is independently selected from C1-C6 linear or branched alkyl chain; and A=is a neutral oxygen containing molecule, including but not limited to tetrahydrofuran, dimethoxyethane, diglyme, triglyme, tetraglyme, or a combination thereof. Preferably, the metal-containing precursor has the formula M(R5Cp)2 with each R being independently selected from H, Me, Et, and nBu.
  • Exemplary metal-containing precursors include but are not limited to Sr(iPr3Cp)2, Sr(iPr3Cp)2.thf, Sr(iPr3Cp)2.dme, Sr(tBu3Cp)2, Sr(tBu3Cp)2.thf, Sr(tBu3Cp)2.dme, Sr(thmd)2, Sr(thmd)2.triglyme, Sr(thmd)2.tetraglyme, Sr(Me5Cp)2, Sr(Me4Cp)2, Sr(Me4EtCp)2, Sr(Me4nBuCp)2, Ba(iPr3Cp)2, Ba(iPr3Cp)2.thf, Ba(iPr3Cp)2.dme, Ba(tBu3Cp)2, Ba(tBu3Cp)2.thf, Ba(tBu3Cp)2.dme, Ba(thmd)2, Ba(thmd)2.triglyme, Ba(thmd)2.tetraglyme, Ba(Me5Cp)2, Ba(Me4Cp)2, Ba(Me4EtCp)2, and Ba(Me4nBuCp)2.
  • The vapor of the metal-containing precursor (i.e., second vapor) is introduced into a reaction chamber. The temperature and the pressure within the reaction chamber and the temperature of the substrate are held at suitable conditions so that contact between the metal-containing precursor and substrate results in formation of a M-containing layer on at least one surface of the substrate. A reactant may also be used to help in formation of the M-containing layer.
  • One of ordinary skill in the art will recognize that additional reactants may be used in the disclosed deposition processes. The term “second vapor” is merely used to avoid confusion with the “vapor” of the titanium-containing precursor. For example, a second vapor of a metal-containing precursor having the formula Sr(iPr3Cp)2 and a third vapor of a metal-containing precursor having the formula Ba(Me5Cp)2 may be used with the vapor of the disclosed titanium-containing precursors to form a BST film.
  • The titanium-containing precursor and one or more reactants may be introduced into the reaction chamber simultaneously (chemical vapor deposition), sequentially (atomic layer deposition), or in other combinations. For example, the titanium-containing precursor may be introduced in one pulse and two additional metal sources may be introduced together in a separate pulse [modified atomic layer deposition]. Alternatively, the reaction chamber may already contain the reactant prior to introduction of the titanium-containing precursor. The reactant may be passed through a plasma system localized remotely from the reaction chamber, and decomposed to radicals. Alternatively, the titanium-containing precursor may be introduced to the reaction chamber continuously while other metal sources are introduced by pulse (pulsed-chemical vapor deposition). In each example, a pulse may be followed by a purge or evacuation step to remove excess amounts of the component introduced. In each example, the pulse may last for a time period ranging from about 0.01 s to about 10 s, alternatively from about 0.3 s to about 3 s, alternatively from about 0.5 s to about 2 s.
  • In one non-limiting exemplary atomic layer deposition type process, the vapor phase of a titanium-containing precursor is introduced into the reaction chamber, where it is contacted with a suitable substrate. Excess titanium-containing precursor may then be removed from the reaction chamber by purging and/or evacuating the reaction chamber. An oxygen source is introduced into the reaction chamber where it reacts with the absorbed titanium precursor in a self-limiting manner. Any excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. If the desired film is a titanium oxide film, this two-step process may provide the desired film thickness or may be repeated until a film having the necessary thickness has been obtained.
  • Alternatively, if the desired film is a titanium metal oxide film (i.e., TiM), the two-step process above may be followed by introduction of a second vapor of a metal-containing precursor into the reaction chamber. The metal-containing precursor will be selected based on the nature of the titanium metal oxide film being deposited. After introduction into the reaction chamber, the metal-containing precursor is contacted with the substrate. Any excess metal-containing precursor is removed from the reaction chamber by purging and/or evacuating the reaction chamber. Once again, an oxygen source may be introduced into the reaction chamber to react with the metal-containing precursor. Excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. If a desired film thickness has been achieved, the process may be terminated. However, if a thicker film is desired, the entire four-step process may be repeated. By alternating the provision of the titanium-containing precursor, metal-containing precursor, and oxygen source, a film of desired composition and thickness can be deposited.
  • Additionally, by varying the number of pulses, films having a desired stoichiometric M:Ti ratio may be obtained. For example, a Sr2TiO4 film may be obtained by having one pulse of the titanium-containing precursor and two pulses of the metal-containing precursor, with each pulse being followed by pulses of the oxygen source. However, one of ordinary skill in the art will recognize that the number of pulses required to obtain the desired film may not be identical to the stoichiometric ratio of the resulting film.
  • The titanium-containing films or titanium-containing layers resulting from the processes discussed above may include STO, BST, or PZT. One of ordinary skill in the art will recognize that by judicial selection of the appropriate titanium-containing precursor and reactants, the desired film composition may be obtained.
  • EXAMPLES
  • The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all inclusive and are not intended to limit the scope of the inventions described herein.
  • Example 1
  • Ti(NiPr-amd)(OiPr)3: A 200 mL pentane solution was chilled to −30° C. for 1 h, followed by addition of 10.0 g, 37.15 mmol, of Ti(OiPr)3(NMe2) and stirring at room temperature under atmosphere of nitrogen. A solution of NiPr-amd-H (5.28 g, 37.15 mmol) in 20 mL of pentane was added slowly to the above mixture. The outlet of the flask was connected to an oil bubbler, which in turn was connected to an acid scrubber. The resulting solution was stirred at room temperature overnight. Solvent and volatiles were removed from the reaction mixture under vacuum, resulting in an orange liquid. Purification of the orange liquid by distillation gave 12.5 g (92%). FIG. 1 is a TGA graph demonstrating the percentage of weight loss with temperature change for this precursor. NMR (C6D6, δ): 1.17 (12H, d, (CH3)2—CH—N—C(CH3)═N—CH—(CH3)2), 1.23 (18H, d, O—CH—(CH3)2), 1.86 (3H, s, (CH3)2—CH—N—C(CH3)═N—CH—(CH3)2), 3.57 (1H, m, O—CH—(CH3)2), 4.46 (2H, m, O—CH—(CH3)2), 4.73 (2H, m, (CH3)2—CH—N—C(H)═N—CH—(CH3)2).
  • Example 2
  • Ti(NiPr-amd)2(OiPO2: To a pentane solution containing 11.19 g, 78.68 mmol, of NiPr-amd-H stirring at room temperature was added slowly a neat Ti(OiPr)2(NMe2)2 (10.00 g, 39.34 mmol) compound under atmosphere of nitrogen, the outlet of the flask connected to an oil bubbler. The resulting solution was stirred at room temperature overnight. Solvent and volatiles were removed from the reaction mixture under vacuum. A dark orange-red solid was obtained. Purification of the solid was carried out by sublimation, resulting in yield: 15.4 g, 88%. FIG. 2 is a TGA graph demonstrating the percentage of weight loss with temperature change for this precursor.
  • NMR (C6D6, δ): 1.14 (6H, br, (CH3)2—CH—N—C(CH3)═N—CH—(CH3)2), 1.31 (24H, br, (CH3)2—CH—N—C(H)═N—CH—(CH3)2), 1.53 (12H, d, O—CH—(CH3)2), 3.56 (4H, br, (CH3)2—CH—N—C(H)═N—CH—(CH3)2), 4.85 (2H, d, O—CH—(CH3)2).
  • Example 3
  • Ti(NiPr-fmd)2(OiPr)2: To a pentane solution containing 10.00 g, 78.68 mmol, of NiPr-fmd-H stirring at room temperature was added slowly a neat Ti(OiPr)2(NMe2)2 (10.00 g, 39.34 mmol) compound under atmosphere of nitrogen, the outlet of the flask connected to an oil bubbler. The resulting solution was stirred at room temperature overnight. Solvent and volatiles were removed from the reaction mixture under vacuum. A yellow orange solid was obtained. Purification of the solid was carried out by sublimation, resulting in yield: 16.5 g, 74%. FIG. 3 is a TGA graph demonstrating the percentage of weight loss with temperature change for this precursor.
  • NMR (C6D6, δ): 1.27 (24H, br, (CH3)2—CH—N—C(H)═N—CH—(CH3)2), 1.29 (12H, d, O—CH—(CH3)2), 3.99 (4H, br, (CH3)2—CH—N—C(H)═N—CH—(CH3)2), 4.88 (2H, d, O—CH—(CH3)2), 7.88 (2H, br, (CH3)2—CH—N—C(H)═N—CH—(CH3)2).
  • Example 4
  • Ti(NiPr-gmd)2(OiPr)2: To a pentane solution containing 2.98 g, 23.60 mmol, of iPr—N═C═N-iPr stirring at room temperature was added slowly a neat Ti(OiPr)2(NMe2)2 (3.00 g, 11.80 mmol) compound under atmosphere of nitrogen, the outlet of the flask connected to an oil bubbler. The resulting solution was stirred at room temperature overnight. Solvent and volatiles were removed from the reaction mixture under vacuum. A red solid was obtained. Purification of the solid was carried out by sublimation, resulting in very low yield, NMR spectra showed a mixture of products.
  • Example 5
  • Ti(NiPr-amd)(OiPr)2(NMe2): To an 80 mL pentane solution containing 14.3 g, 56.31 mmol of Ti(OiPr)2(NMe2)2 stirring at room temperature under atmosphere of nitrogen was added slowly drop wise a solution of NiPr-amd-H (8.01 g, 56.31 mmol) in 50 mL pentane. The outlet of the flask connected to an oil bubbler, which in turn was connected to an acid scrubber. The resulting solution was stirred at room temperature overnight. Solvent and volatiles were removed from the reaction mixture under vacuum, resulting in an orange red liquid. Purification of orange red liquid by distillation gave 11.5 g (60%). FIG. 4 is a TGA graph demonstrating the percentage of weight loss with temperature change for this precursor. NMR (C6D6, δ): 1.14 (12H, d, (CH3)2—CH—N—C(CH3)═N—CH—(CH3)2), 1.33 (12H, d, O—CH—(CH3)2), 1.47 (3H, s, (CH3)2—CH—N—C(CH3)═N—CH—(CH3)2), 3.37 (6H, s, N(CH3)2) 3.46 (2H, m, O—CH—(CH3)2), 4.82 (2H, m, (CH3)2—CH—N—C(H)═N—CH—(CH3)2).
  • Example 6
  • Ti(Et-N—(CH2)2—N-Et)(OiPr)2: To a 60 mL pentane solution containing 15.0 g, 59.01 mmol, of Ti(OiPr)2(NMe2)2 stirring at room temperature under atmosphere of nitrogen was added slowly drop wise neat liquid of Et-NH—(CH2)2—NH-Et (6.86 g, 59.01 mmol). The outlet of the flask was connected to an oil bubbler, which in turn was connected to an acid scrubber. The resulting solution was stirred at room temperature overnight. Solvent and volatiles were removed from the reaction mixture under vacuum, resulting in an orange red liquid. Purification of orange red liquid by distillation resulted in a quantitative yield. FIG. 5 is a TGA graph demonstrating the percentage of weight loss with temperature change for this precursor.
  • NMR (C6D6, δ): 1.20 (6H, t, CH3—CH2—N—CH2—CH2—N—CH2—CH3), 1.3 (12H, d, O—CH—(CH3)2), 3.5 (4H, s, CH3—CH2—N—CH2—CH2—N—CH2—CH3), 3.7 (4H, q, (CH3—CH2—N—CH2—CH2—N—OH2—OH3), 4.7 (2H, m, O—CH—(CH3)2.
  • Example 7
  • Ti(Me-N—(CH2)2—N-Me)(OiPr)2: Synthesis was carried out similar to Example 6. Purification of a red liquid by distillation resulted in decomposition of compound. NMR (C6D6, δ): 1.31 (12H, d, O—CH—(CH3)2),3.29 (6H, t, CH3—N—CH2—CH2—N—CH3), 3.41 (4H, s, CH3—N—CH2—CH2—N—CH3), 4.73 (2H, m, O—CH—(CH3)2.
  • Example 8
  • Ti(Me2CH—N—(CH2)3—N—CHMe2)(OiPr)2: Synthesis was carried out similar to Example 6. FIG. 6 is a TGA graph demonstrating the percentage of weight loss with temperature change for this precursor. NMR (C6D6, δ): 1.20 (6H, t, CH3—CH2—N—CH2—CH2—CH2—N—CH2—CH3), 1.27 (12H, d, O—CH—(CH3)2), 2.23 (2H, br, CH3—CH2—N—CH2—CH2—CH2—N—CH2—CH3), 3.28 (4H, q, (CH3—CH2—N—CH2—CH2—CH2—N—CH2—CH3), 3.33 (4H, q, (CH3—CH2—N—CH2—CH2—CH2—N—CH2—CH3), 4.55 (2H, m, O—CH—(CH3)2.
  • Example 9
  • The titanium-containing precursor of Example 1, Ti(NiPr-amd)(OiPr)3, and the reactant 03 were used to deposit a film of TiO2 on a SiO2/Si substrate. The SiO2/Si substrate was maintained at a temperature of 250° C. The precursor was vaporized in a bubbler maintained at 50° C. The ALD cycle included a precursor pulse of 5 seconds, followed by a 5 second purge, followed by a reactant pulse of 2 seconds, followed by a 5 second purge. The TiO2 growth rate was observed to be 0.1 Å/cycle. The ALD regime was assessed up to 350° C. with a deposition rate as high as 0.3 Å/cycle.
  • Example 10
  • The titanium-containing precursor of Example 1, Ti(NPr-amd)(OiPr)3, and the reactant H2O were used to deposit a film of TiO2 on a SiO2/Si substrate. The SiO2/Si substrate was maintained at a temperature of 250° C. The precursor was vaporized in a bubbler maintained at 50° C. The ALD cycle included a precursor pulse of 20 seconds, followed by a 5 second purge, followed by a reactant pulse of 2 seconds, followed by a 10 second purge. The TiO2 growth rate was observed to be 0.33 Å/cycle. The ALD regime was assessed up to 350° C. with a deposition rate as high as 0.40 Å/cycle.
  • Example 11
  • The titanium-containing precursor of Example 2, Ti(NiPr-amd)2(OiPr)2, and the reactant O3 were used to deposit a film of TiO2 on a SiO2/Si substrate. The SiO2/Si substrate was maintained at a temperature of 300° C. The orange red precursor was vaporized in a bubbler maintained at 120° C. The ALD cycle included a precursor pulse of 10 seconds, followed by a 5 second purge, followed by a reactant pulse of 2 seconds, followed by a 10 second purge. The TiO2 growth rate was observed to be 0.33 Å/cycle. The ALD regime was assessed up to 325° C. with a deposition rate as high as 0.40 Å/cycle.
  • Example 12
  • The titanium-containing precursor of Example 2, Ti(NiPr-amd)2(OiPr)2, and the reactant H2O were used to deposit a film of TiO2 on a SiO2/Si substrate. The SiO2/Si substrate was maintained at a temperature of 275° C. The orange red precursor was vaporized in a bubbler maintained at 120° C. The ALD cycle included a precursor pulse of 10 seconds, followed by a 5 second purge, followed by a reactant pulse of 2 seconds, followed by a 5 second purge. The TiO2 growth rate was observed to be 0.40 Å/cycle. The ALD regime was assessed up to 325° C. with a deposition rate as high as 0.47 Å/cycle.
  • Example 13
  • The titanium-containing precursor of Example 5, Ti(NiPr-amd)(OiPr)2(NMe2), and the reactant 03 were used to deposit a film of TiO2 on a SiO2/Si substrate. The SiO2/Si substrate was maintained at a temperature of 225° C. The orange red precursor was vaporized in a bubbler maintained at 55° C. The ALD cycle included a precursor pulse of 15 seconds, followed by a 5 second purge, followed by a reactant pulse of 2 seconds, followed by a 5 second purge. The TiO2 growth rate was observed to be 0.17 Å/cycle. The ALD regime was assessed up to 375° C. with a deposition rate as high as 0.70 Å/cycle.
  • Example 14
  • The titanium-containing precursor of Example 5, Ti(NiPr-amd)(OiPr)2(NMe2), and the reactant H2O were used to deposit a film of TiO2 on a SiO2/Si substrate. The SiO2/Si substrate was maintained at a temperature of 225° C. The orange red precursor was vaporized in a bubbler maintained at 55° C. The ALD cycle included a precursor pulse of 10 seconds, followed by a 10 second purge, followed by a reactant pulse of 1 second, followed by a 10 second purge. The TiO2 growth rate was observed to be 0.73 Å/cycle. The ALD regime was assessed up to 375° C. with a deposition rate as high as 0.80 Å/cycle.
  • Example 15
  • The titanium-containing precursor of Example 6, Ti(Et-N—(CH2)2—N-Et)(OiPr)2, and the reactant 03 were used to deposit a film of TiO2 on a SiO2/Si substrate. The SiO2/Si substrate was maintained at a temperature of 250° C. The precursor was vaporized in a bubbler maintained at 50° C. The ALD cycle included a precursor pulse of 15 seconds, followed by a 5 second purge, followed by a reactant pulse of 2 seconds, followed by a 5 second purge. The TiO2 growth rate was observed to be 0.1 Å/cycle. The ALD regime was assessed up to 325° C. with a deposition rate as high as 0.3 Å/cycle.
  • Example 16
  • The titanium-containing precursor of Example 8, Ti (Me2CH—N—(CH2)3—N—CHMe2)(OiPr)2, and the reactant 03 were used to deposit a film of TiO2 on a SiO2/Si substrate. The SiO2/Si substrate was maintained at a temperature of 250° C. The precursor was vaporized in a bubbler maintained at 50° C. The ALD cycle included a precursor pulse of 15 seconds, followed by a 5 second purge, followed by a reactant pulse of 2 seconds, followed by a 5 second purge. The TiO2 growth rate was observed to be 0.2 Å/cycle. The ALD regime was assessed up to 325° C. with a deposition rate as high as 0.33 Å/cycle.
  • Example 17
  • A titanium-containing precursor having the formula Ti(OiPr)2(NMe2)2, and the reactant 03 were used to deposit a film of TiO2 on a SiO2/Si substrate. The SiO2/Si substrate was maintained at a temperature of 250° C. The precursor was vaporized in a bubbler maintained at 30° C. The ALD cycle included a precursor pulse of 10 seconds, followed by a 5 second purge, followed by a reactant pulse of 2 seconds, followed by a 5 second purge. The TiO2 growth rate was observed to be 0.77 Å/cycle. The ALD regime was assessed up to 275° C. with a deposition rate as high as 0.77 Å/cycle.
  • Example 18
  • The titanium-containing precursor of Example 12, Ti(OiPr)2(NMe2)2, and the reactant H2O were used to deposit a film of TiO2 on a SiO2/Si substrate. The SiO2/Si substrate was maintained at a temperature of 250° C. The precursor was vaporized in a bubbler maintained at 30° C. The ALD cycle included a precursor pulse of 7 seconds, followed by a 5 second purge, followed by a reactant pulse of 2 seconds, followed by a 10 second purge. The TiO2 growth rate was observed to be 0.25 Å/cycle. The ALD regime was assessed up to 275° C. with a deposition rate as high as 0.40 Å/cycle.
  • It will be understood that many additional changes in the details, materials, steps, and arrangement of parts, which have been herein described and illustrated in order to explain the nature of the invention, may be made by those skilled in the art within the principle and scope of the invention as expressed in the appended claims. Thus, the present invention is not intended to be limited to the specific embodiments in the examples given above and/or the attached drawings.

Claims (13)

What is claimed is:
1. A molecule having the following formula:

Ti(R1—N—C(R3)—N—R2)u(OR4)x(NR5R6)y(O2CR7)z   Formula I

or

Ti(R1—N—(C(R3)2)m—N—R2)v(OR4)x(NR5R6)y(O2CR7)z   Formula II
wherein:
R1, R2, R5, R6, and R7 are independently selected from the group consisting of H and C1-C6 alkyl group;
R3 ═H, C1-C6 alkyl group, or NMe2;
R4 is a C1-C6 alkyl group;
m=2-4;
u=0;
v=0;
x=2-3;
y=0;
z=1-2;
in Formula I, u+x+y+z=4;
in Formula II, 2v+x+y+z=4; and
u, v, or 1.
2. The molecule of claim 1, the molecule being Ti(OiPr)2(O2CMe)2.
3. The molecule of claim 1, the molecule being Ti(OiPr)3(O2CMe).
4. A method of forming a Ti-containing layer on a substrate, the method comprising:
providing a reaction chamber having at least one substrate disposed therein;
introducing into the reaction chamber a vapor including at least one precursor having the formula:

Ti(R1—N—C(R3)—N—R2)u(OR4)x(NR5R6)y(O2CR7)z   Formula I

or

Ti(R1—N—(C(R3)2)m—N—R2)v(OR4)x(NR5R6)y(O2CR7)z   Formula II
wherein:
R1, R2, R5, R6, and R7 are independently selected from the group consisting of H and C1-C6 alkyl group;
R3═H, C1-C6 alkyl group, or NMe2;
R4 is a C1-C6 alkyl group;
m=2-4;
u=0;
v=0;
x=2-3;
y=0;
z=1-2;
in Formula I, u+x+y+z=4;
in Formula II, 2v+x+y+z=4; and
u, v, or 1;
contacting the vapor with the substrate to form a Ti-containing layer on at least one surface of the substrate using a vapor deposition process.
5. The method of claim 4, wherein the at least one precursor is Ti(OiPr)2(O2CMe)2.
6. The method of claim 4, wherein the at least one precursor is Ti(OiPr)3(O2CMe).
7. The method of claim 4, further comprising:
introducing into the reaction chamber a second vapor including at least one metal-containing precursor selected from the group consisting of M(L)2 and M(L)2.A, wherein:
M is Sr or Ba;
L is selected from substituted cyclopentadienyl ligand systems R1R2R3R4R5Cp or beta-diketonate ligand systems—O‘3CR6—CH—CR7—O—;
each of R1 to R5 is independently selected from H or C1-C6 linear or branched alkyl chain;
each of R6 and R7 is independently selected from C1-C6 linear or branched alkyl chain; and
A is a neutral oxygen containing molecules selected from the group consisting of tetrahydrofuran, dimethoxyethane, diglyme, triglyme, and tetraglyme; and
contacting the second vapor with the substrate to form a metal-containing layer on at least one surface of the substrate using the vapor deposition process.
8. The method of claim 7, wherein the metal-containing precursor is selected from the group consisting of Sr(iPr3Cp)2, Sr(iPr3Cp)2.thf, Sr(iPr3Cp)2.dme, Sr(tBu3Cp)2, Sr(tBu3Cp)2.thf, Sr(tBu3Cp)2.dme, Sr(thmd)2, Sr(thmd)2.triglyme, Sr(thmd)2.tetraglyme, Sr(Me5Cp)2, Sr(Me4Cp)2, Sr(Me4EtCp)2, Sr(Me4nBuCp)2, Ba(iPr3Cp)2, Ba(iPr3Cp)2.thf, Ba(iPr3Cp)2.dme, Ba(tBu3Cp)2, Ba(tBu3Cp)2.thf, Ba(tBu3Cp)2.dme, Ba(thmd)2, Ba(thmd)2.triglyme, Ba(thmd)2.tetraglyme, Ba(Me5Cp)2, Ba(Me4Cp)2, Ba(Me4EtCp)2, and Ba(Me4nBuCp)2.
9. A method of depositing a STO or BST film, the method comprising:
providing an ALD reaction chamber having at least one substrate disposed therein;
pulsing into the reaction chamber a precursor having the formula:

Ti(R1—N—C(R3)—N—R2)u(OR4)x(NR5R6)y(O2CR7)z   Formula I

or

Ti(R1—N—(C(R3)2)m—N—R2)v(OR4)x(NR5R6)y(O2CR7)z   Formula II
wherein:
R1, R2, R5, R6, and R7 are independently selected from the group consisting of H and C1-C6 alkyl group;
R3═H, C1-C6 alkyl group, or NMe2;
R4 is a C1-C6 alkyl group;
m=2-4;
u=0;
v=0;
x=2-3;
y=0;
z=1-2;
in Formula I, u+x+y+z=4;
in Formula II, 2v+x+y+z=4; and
u, v, or z 1; and
pulsing into the reaction chamber an oxygen source;
pulsing into the reaction chamber a metal-containing precursor selected from the group consisting of M(L)2 and M(L)2.A, wherein:
M is Sr or Ba;
L is selected from substituted cyclopentadenyl ligand systems R1R2R3R4R5Cp or beta-diketonate ligand systems —O—CR6—CH—CR7—O—;
each of R1 to R5 is independently selected from H or C1-C6 linear or branched alkyl chain;
each of R6 and R7 is independently selected from C1-C6 linear or branched alkyl chain; and
A is a neutral oxygen containing molecules selected from the group consisting of tetrahydrofuran, dimethoxyethane, diglyme, triglyme, and tetraglyme;
pulsing into the reaction chamber a second oxygen source; and
controlling the stoichiometry of M:Ti ratio in the STO or BST film by varying a number of the pulsing steps for the precursor and metal-containing precursor.
10. The method of claim 9, wherein the precursor is Ti(OiPr)2(O2CMe)2.
11. The method of claim 9, wherein the precursor is Ti(OiPr)3(O2CMe).
12. The method of claim 9, wherein the metal-containing precursor is selected from the group consisting of Sr(iPr3Cp)2, Sr(iPr3Cp)2.thf, Sr(iPr3Cp)2.dme, Sr(tBu3Cp)2, Sr(tBu3Cp)2.thf, Sr(tBu3Cp)2.dme, Sr(thmd)2, Sr(thmd)2.triglyme, Sr(thmd)2.tetraglyme, Sr(Me5Cp)2, Sr(Me4Cp)2, Sr(Me4EtCp)2, Sr(Me4nBuCp)2, Ba(iPr3Cp)2, Ba(iPr3Cp)2.thf, Ba(iPr3Cp)2.dme, Ba(tBu3Cp)2, Ba(tBu3Cp)2.thf, Ba(tBu3Cp)2.dme, Ba(thmd)2, Ba(thmd)2.triglyme, Ba(thmd)2.tetraglyme, Ba(Me5Cp)2, Ba(Me4Cp)2, Ba(Me4EtCp)2, and Ba(Me4nBuCp)2.
13. The method of claim 9, wherein the oxygen source and the second oxygen source are water.
US14/151,161 2010-04-07 2014-01-09 Titanium-containing precursors for vapor deposition Abandoned US20140127913A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/151,161 US20140127913A1 (en) 2010-04-07 2014-01-09 Titanium-containing precursors for vapor deposition

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US32176310P 2010-04-07 2010-04-07
US12/981,872 US8404878B2 (en) 2010-04-07 2010-12-30 Titanium-containing precursors for vapor deposition
US13/772,737 US8633329B2 (en) 2010-04-07 2013-02-21 Titanium-containing precursors for vapor deposition
US14/151,161 US20140127913A1 (en) 2010-04-07 2014-01-09 Titanium-containing precursors for vapor deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/772,737 Continuation US8633329B2 (en) 2010-04-07 2013-02-21 Titanium-containing precursors for vapor deposition

Publications (1)

Publication Number Publication Date
US20140127913A1 true US20140127913A1 (en) 2014-05-08

Family

ID=44761118

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/981,872 Active 2031-08-24 US8404878B2 (en) 2010-04-07 2010-12-30 Titanium-containing precursors for vapor deposition
US13/772,737 Active US8633329B2 (en) 2010-04-07 2013-02-21 Titanium-containing precursors for vapor deposition
US14/151,161 Abandoned US20140127913A1 (en) 2010-04-07 2014-01-09 Titanium-containing precursors for vapor deposition

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/981,872 Active 2031-08-24 US8404878B2 (en) 2010-04-07 2010-12-30 Titanium-containing precursors for vapor deposition
US13/772,737 Active US8633329B2 (en) 2010-04-07 2013-02-21 Titanium-containing precursors for vapor deposition

Country Status (8)

Country Link
US (3) US8404878B2 (en)
EP (1) EP2556181A4 (en)
JP (1) JP2013527147A (en)
KR (2) KR101749783B1 (en)
CN (1) CN102482771B (en)
SG (1) SG178831A1 (en)
TW (1) TW201202465A (en)
WO (1) WO2011127122A2 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014015237A1 (en) * 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (en) 2013-07-19 2015-03-16 Air Liquide Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
WO2015072589A1 (en) * 2013-11-13 2015-05-21 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
CN104945444A (en) * 2014-03-26 2015-09-30 广东丹邦科技有限公司 Raw material for preparing barium metallocene complex and preparation method of barium metallocene complex
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
CA2920646A1 (en) * 2016-02-12 2017-08-12 Seastar Chemicals Inc. Organometallic compound and method
US10465289B2 (en) * 2016-12-30 2019-11-05 L'Air Liquide, Société Anonyme pour l'Etude at l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
JP7235466B2 (en) * 2018-01-26 2023-03-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Lanthanoid compound, lanthanoid-containing thin film, and method for forming a lanthanoid-containing thin film using the lanthanoid compound
IL293196A (en) * 2019-11-27 2022-07-01 Adeka Corp Compound, thin-film forming raw material, and method of producing thin-film
US11111578B1 (en) * 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
KR102428276B1 (en) * 2020-09-08 2022-08-04 주식회사 한솔케미칼 Group 4 metal element-containing compound, precursor composition containing same, and method for forming thin film using same
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3766153A (en) * 1969-05-13 1973-10-16 Maruzen Petrochem Co Ltd Process for preparing alternating copolymer of butadiene and alphaolefine and novel alternating copolymer of butadiene and alphaolefine containing cis configuration butadiene unit
US3907754A (en) * 1974-06-19 1975-09-23 Eastman Kodak Co Process and catalyst-inhibitor system for preparing synthetic linear polyester
US20090005531A1 (en) * 2007-06-28 2009-01-01 Thomspon Thomas F Solid state polymerization process for polyester

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6511936B1 (en) 1998-02-12 2003-01-28 University Of Delaware Catalyst compounds with β-diminate anionic ligands and processes for polymerizing olefins
US7048968B2 (en) * 2003-08-22 2006-05-23 Micron Technology, Inc. Methods of depositing materials over substrates, and methods of forming layers over substrates
KR100584200B1 (en) 2004-02-13 2006-05-29 한국화학연구원 Precursors of titanium oxide and preparation method thereof
KR100581993B1 (en) 2004-06-09 2006-05-22 삼성전자주식회사 Method of forming material using atomic layer deposition
WO2006012052A2 (en) * 2004-06-25 2006-02-02 Arkema, Inc. Amidinate ligand containing chemical vapor deposition precursors
JP2006045083A (en) 2004-08-02 2006-02-16 Asahi Denka Kogyo Kk Material for forming thin film, method for producing thin film and metallic compound
KR100640654B1 (en) 2005-07-16 2006-11-01 삼성전자주식회사 Method of forming zro2 thin film using plasma enhanced atomic layer deposition and method of manufacturing capacitor of semiconductor memory device having the thin film
US20070178526A1 (en) 2005-07-20 2007-08-02 Kountakis Stilianos E Use of protein profiles in disease diagnosis and treatment
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US20100209610A1 (en) * 2007-07-16 2010-08-19 Advanced Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3766153A (en) * 1969-05-13 1973-10-16 Maruzen Petrochem Co Ltd Process for preparing alternating copolymer of butadiene and alphaolefine and novel alternating copolymer of butadiene and alphaolefine containing cis configuration butadiene unit
US3907754A (en) * 1974-06-19 1975-09-23 Eastman Kodak Co Process and catalyst-inhibitor system for preparing synthetic linear polyester
US20090005531A1 (en) * 2007-06-28 2009-01-01 Thomspon Thomas F Solid state polymerization process for polyester

Also Published As

Publication number Publication date
SG178831A1 (en) 2012-04-27
KR20120045031A (en) 2012-05-08
CN102482771B (en) 2014-07-30
KR101749783B1 (en) 2017-06-21
KR20120125631A (en) 2012-11-16
US20110250354A1 (en) 2011-10-13
US8633329B2 (en) 2014-01-21
US8404878B2 (en) 2013-03-26
JP2013527147A (en) 2013-06-27
WO2011127122A2 (en) 2011-10-13
CN102482771A (en) 2012-05-30
EP2556181A2 (en) 2013-02-13
TW201202465A (en) 2012-01-16
WO2011127122A3 (en) 2011-12-08
EP2556181A4 (en) 2014-10-15
KR101304760B1 (en) 2013-09-05
US20130164947A1 (en) 2013-06-27

Similar Documents

Publication Publication Date Title
US8633329B2 (en) Titanium-containing precursors for vapor deposition
US9911590B2 (en) Methods of forming dielectric films, new precursors and their use in semiconductor manufacturing
KR102443752B1 (en) Group 6 film forming compositions for vapor deposition of group 6 transition metal-containing films
US7439338B2 (en) Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
US7572731B2 (en) Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US10174423B2 (en) Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
US20120145953A1 (en) LITHIUM PRECURSORS FOR LixMyOz MATERIALS FOR BATTERIES
EP2499274B1 (en) Deposition methods using hafnium-containing compounds
US9087690B2 (en) Hafnium-containing and zirconium-containing precursors for vapor deposition
US20220205099A1 (en) Group iv element containing precursors and deposition of group iv element containing films
US11466043B2 (en) Niobium compound and method of forming thin film
TWI518199B (en) Hafnium-containing or zirconium-containing precursors for vapor deposition
TW202402771A (en) Niobium, vanadium, tantalum film forming compositions and deposition of group v (five) containing films using the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION