TW201202465A - Titanium-containing precursors for vapor deposition - Google Patents

Titanium-containing precursors for vapor deposition Download PDF

Info

Publication number
TW201202465A
TW201202465A TW100112132A TW100112132A TW201202465A TW 201202465 A TW201202465 A TW 201202465A TW 100112132 A TW100112132 A TW 100112132A TW 100112132 A TW100112132 A TW 100112132A TW 201202465 A TW201202465 A TW 201202465A
Authority
TW
Taiwan
Prior art keywords
ipr
oipr
02cme
nme2
molecule
Prior art date
Application number
TW100112132A
Other languages
English (en)
Inventor
Venkateswara R Pallem
Christian Dussarrat
Original Assignee
Air Liquide
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide filed Critical Air Liquide
Publication of TW201202465A publication Critical patent/TW201202465A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/003Compounds containing elements of Groups 4 or 14 of the Periodic Table without C-Metal linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28229Making the insulator by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Description

201202465 六、發明說明: 相關申請案之相互參照 本申請案為2010年12月3〇曰申請之先前申請案第 12/981’872號之部分接㈣請案’其依據35 u s c §⑽⑷ 主張2〇10年4月7日申請之臨時中請案第6i/32i,763號之 權利,該兩個申請案之全部内容以弓丨用之方式併入本文中。 【發明所屬之技術領域】 揭示含鈦前驅物、其合成方法及使用其來使用氣相沉 積製程沉積含鈦層之方法。 【先前技術】 半導體行業所面對的嚴重挑戰中之一者為開發用於 dram及電容器之新的閘極介電材料。數十年來,二氧化 石夕(Si02)為可靠的介電f,但隨著電晶體已持續縮小且技 術已自「全Si」電晶體發展至「金屬閘極/高k」電晶體, 基於Si〇2之閘極介電質的可靠性正連到其物理限制。隨著 用於當前技術的大小縮小,對新的高介電常數材料及製程 之需求正曰益增加且變得愈來愈關鍵。 與習知介電材料相比,如Ti〇2之標準介電材料或含有 稱作鈦酸鳃(諸如,SrTi〇3或Sr2Ti〇4)或鈦酸鳃鋇之鹼土 金屬的新介電材料提供電容方面的顯著優勢。新的介電材 料亦為用於若干薄膜應用之有吸引力的候選者,諸如,用 於電子裝置、抗反射光學塗層、生物相容塗層、光催化及 太陽能電池之高介電常數材料β (H A· Durand等人Αρρ1 S訂f. Sci. 86, 122 ( 1995 ) ; c _w Wang 等人;Αρρ1 phys 201202465 91,9198( 2002) ; Μ· Keshmiri 等人 J. Non-Cryst. Solids 324, 289 ( 2003 ) ; T. Inoue 等人 Nature (儉敦)277,637 ( 1979 ); H. Kim 等人 Appl. Phys. Lett. 85,64 ( 2004 ))。 此外,Ti〇2亦為用於介電及鐵電應用之若干重要的多 金屬氧化物系統(諸如,鈦酸鳃(STO )、鈦酸锶鋇(BST ) 及錯鈦酸鉛(PZT))之組份。(p. Alluri等人Integr.
Ferroelectr·,21,305 ( 1998 ) ; J_F. Scott 等人 Science 246, 1400 ( 1989))。 然而,含敛層之沉積有困難,且需要新的材料及製程。 舉例而言,原子層沉積ALD已被識別為用於微電子裝置製 造之重要的薄膜生長技術,其依賴於由惰性氣體淨化分開 的交替塗覆之前驅物的依序且飽和表面反應。常在此沉積 方法中使用諸如臭氧或水之氧源。ALD之表面控制性質藉 由準確之厚度控制來實現具有高保形性及均勻性之薄膜的 生長。 在STOALD沉積中,可用Sr前驅物展示與〇3之優良 反應性及與水之可接受的反應性。然而,#在高溫下沉積 ST0臈時,將臭氧用作氧化劑可具有對下伏層(諸如,則 或氧化鰓釕(SR0 ))之不去姓里 4 ^义不田結果。其可氧化TiN層或部分 名虫刻來自SRO層之Ru。 雖然已揭示了 Ti化合物之原子層沉積(ald),作 等金屬前驅物具有尤其與水分之不良反應性及低穩定性 :而常需要低的基板溫度及強氧化劑以使受碳或氮污染 膜生長。 4 201202465
Air Liquide展示標準均配Ti分子中之多數具有有限的 ALD製程溫度窗或無沉積(R. Katamreddy、V. Omarjee、 B. Feist、C. Dussarrat,ECS conference 2008 )。舉例而言, 在水ALD製程中,Ti分子肆(異丙醇)鈦(ΤΤΙΡ)、肆(二甲 胺棊)鈦(TDMAT)、肆(二乙胺基)鈦(TDEAT)及肆(乙基 甲基胺基)鈦(TEM AT )具有在0.6埃/循環下之沉積速率及 不超過250°C之製程窗。 需要在較高製程溫度下具有較高熱穩定性之新的Ti前 驅物。需要高溫製程產生高品質Ti〇2 (經摻雜或未經摻雜) 及具有非常高之介電常數(較佳地,其中k250 )之STO膜。 已報告,需要具有密集且柱狀多晶微結構及小的平均晶粒 大小(30 nm)之STO膜以獲得具有高k值之低洩漏電流(C.S, Hwang、S.O. Park、C.S. Kang、H, Cho、H. Kang、S.T. Ahn i 及 M.Y.Lee’Jpn.J. Appl.Phys.,第 1 部分,34,5178 1995 )。 Zhang 等人揭示了 Ti(Cy-NC(NiPr2) N-Cy)2(OnBu)2 之 意想不到的合成。《中國科學通報》(2005 ) ,50(24), 2817-2820。Chen 等人揭示了 Ti(0nBu)2(02CMe)2 之合成。 《化學學報》(2003 ),61(10),1592-1596。未揭示此等化 合物之用途。 美國專則t請公開案第2005/277223號揭示了使用具 有式M(Ll)x(L2)y之含金屬前驅物形成金屬氧化物之ALD 方法,其中Μ為金屬,L1及L2可為鹵化物、二酮、醇鹽、 胺基、烷氧基胺、脒鹽或多牙配位基。然而,例示性前驅 物僅為 Hf(OtBu)2(NEtMe)2、Hf(OtBu)2(NEt2)2、Hf(NEt2)2 201202465 (DMAMP)2、Hf(NEtMe)2(DMAMP)2、Ti(〇tBu)3Cn、Ti(OtBu)3 Me、Ti(OtBu)2(NEt2)2、Ti(NEt2)2(DMAMP)2、Ti(OtBu)2 (DMAMP)2 及 TiCl2(DMAMP)2。 正在搜尋併有Ti材料之其他來源及方法用於新生代之 積體電路裝置。需要新穎前驅物。 【發明内容】 揭示具有下式之分子:
Ti(RrN-C(R3)-N-R2)u(〇R4)x(NR5R6)y(02CR7)z 式 I 或
Ti(R,-N-(C(R3)2)m.N-R2)v(〇R4)x(NR5R6)y(〇2CR7)2 式 II 其中: _ Ri、尺2、R5、R6及R7獨立地選自由Η及C1-C6 烧基組成之群; _ R3 = Η、C1-C6 烷基或 NMe2 ; R4為C1-C6烷基; m =2-4 ; U =0-2 ; V =0-1 ; X =1-3 ; y =0-2 ; Z : =0-1 ; 在式 I 中,u+x+y+z = 4 ; 在式 II 中,2v + y + y + z = 4 ;且 u、V 或 z > 1。 201202465 所揭示之分子可進一步包括以下態樣中之一或多者: •該分子具有式I·,其中u=l、x = 3、y=0且z = 0 ; •該分子係選自由以下各物組成之群: Ti(iPr-N-C(Me)-N-iPr)!(OiPr)3 ' Ti(iPr-N-C(Me)-N-iPr),(OMe)3 ' Ti(iPr-N-C(Me)-N-iPr),(OEt)3 ' Ti(iPr-N-C(Me)-N-iPr)!(OnPr)3 ' Ti(iPr-N-C(Me)-N-iPr),(OsBu)3 ' Ti(iPr-N-C(Me)-N-iPr)!(OiBu)3 ' Ti(iPr-N-C(Me)-N-iPr)!(OtBu)3 ' Ti(Et-N-C(Me)-N-Et)!(OEt)3 ' Ti(Et-N-C(Me)-N-Et),(OMe)3、Ti(Et-N-C(Me)-N-Et)i(OnPr)3 > Ti(Et-N-C(Me)-N-Et)1(OsBu)3 ' Ti(Et-N-C(Me)-N-Et)i(OiBu)3 ' TREt.N-CXMehN-EthCOtBuh 及 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3; •該分子具有式Π,其中v=l、x = 2、y=0且z=0 ; •該分子係選自由以下各物組成之群: Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2 ' Ti(iPr-N-(CH2)2-N-iPr)i(OMe)2 ' Ti(iPr-N-(CH2)2-N-iPr),(OEt)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OnPr)2 > Ti(iPr-N-(CH2)2-N-iPr)1(OsBu)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OiBu)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OtBu)2 ' Ti(Et-N-(CH2)2-N-Et),(OiPr)2 ' Ti(Et-N-(CH2)2-N-Et)i(OMe)2 、 Ti(Et-N-(CH2)2-N-Et),(OEt)2 、
Ti(Et-N-(CH2)2-N-Et)i(OnPr)2 、Ti(Et-N-(CH2)2-N-Et)1(OsBu)2 、 Ti(Et-N-(CH2)2-N-Et)1(OiBu)2、Ti(Et-N-(CH2)2-N-Et)1(OtBu)2、 Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OMe)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OEt)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OnPr)2 > Ti(iPr-N-(CH2)3-N-iPr),(OsBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OiBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2 > Ti(Et-N-(CH2)3-N-Et)1(OiPr)2 ' Ti(Et-N-(CH2)3-N-Et)i(OMe)2 、 Ti(Et-N-(CH2)3-N-Et)i(OEt)2 、 201202465
Ti(Et-N-(CH2)3-N-Et)i(OnPr)2 、 Ti(Et-N-(CH2)3-N-Et)1(OsBu)2 、 TREt-N-CCHA-N-EtMOiBuh 及 TKEt-lsKCHA-N-EtMOtBuh ; •該分子具有式I,其中u=2、x=2、y=0且z = 0 ; •該分子係選自由以下各物組成之群: Ti(iPr-N-C(H)-N-iPr)2(OiPr)2、Ti(iPr-N-C(H)-N-iPr)2(OMe)2、 Ti(iPr-N-C(H)-N-iPr)2(OEt)2、Ti(iPr-N-C(H)-N-iPr)2(OnPr)2、
Ti(iPr-N-C(H)-N-iPr)2(OsBu)2、Ti(iPr-N-C(H)-N-iPr)2(OiBu):
Ti(iPr-N-C(H)-N-iPr)2(OtBu)2 Ti(Et-N-C(H)-N-Et)2(OMe)2 ' Ti(Et-N-C(H)-N-Et)2(〇nPr)2、 Ti(Et-N-C(H)-N-Et)2(OiBu)2、 Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2 ' Ti(iPr-N-C(Me)-N-iPr)2(OEt)2、 Ti(iPr-N-C(Me)-N-iPr)2(〇sBu)2 、Ti(Et-N-C(H)-N-Et)2(OiPr)2、 Ti(Et-N-C(H)-N-Et)2(OEt)2 、 Ti(Et-N-C(H)-N-Et)2(OsBu)2、 Ti(Et-N-C(H)-N-Et)2(OtBu)2、 Ti(iPr-N-C(Me)-N-iPr)2(OMe)2、 Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2、 Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2、 Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2、Ti(Et-N-C(Me)-N-Et)2(OiPr)2、 Ti(Et-N-C(Me)-N-Et)2(OMe)2、Ti(Et-N-C(Me)-N-Et)2(OEt)2、 Ti(Et-N-C(Me)-N-Et)2(OnPr)2、Ti(Et-N-C(Me)-N-Et)2(OsBu)2、 Ti(Et-N-C(Me)-N-Et)2(OiBu)2 及 Ti(Et-N-C(Me)-N-Et)2(OtBu)2; •該分子具有式I,其中u=l、x = 2、y=l且z=0 ;
•該分子係選自由以下各物組成之群: Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2)' Ti(iPr-N-C(Me)-N-iPr) (OiPr)2(NEt2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2 (NEt2) > Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe) > Ti(iPr-N-C 201202465 (NMe2)-N-iPr)(OiPr)2(NMe2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2 (NEt2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMeiPr) 、 Ti(iPr-N-C(Me)-N-iPr)(OiPr)2 (NiPr2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMetBu)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2 (NneoPentyl2) ' Ti(Et-N-C(Me)-N-Et) (OiPr)2(NMeiPr)'Ti(Et-N-C(Me)-N-Et)(OiPr)2(NiPr2)'Ti(Et-N-C(Me)-N-Et)(OiPr)2(NneoPentyl2) ' Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2 (NMeiPr)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2 (NiPr2)、 Ti(iPr- N-C(NMe2)-N-iPr)(OiPr)2(NneoPe.ntyl2)及 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr); •該分子具有式I,其中u=l、x=2、y=0且z=l ; •該分子係選自由 Ti(iPr-N-C(Me)-N-iPr)(OiPr)2 (02CM:e)及 Ti(Et-N-C(Me)_N-Et)(0iPr)2(02CMe)組成之群; • .4該分子具有式II,其中v= 1、x= 1、y=0且z= 1 ; •該分子係選自由以下各物組成之群:
Ti(iPr_N-(CH2)2-N-iPr)(0iPr)(02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(0Me)(02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(0Et)(02CMe)、Ti (iPr-N-(CH2)2-N-iPr)(0nPr)(02CMe)、Ti(iPr-N-(CH2)2-N-iPr) (OsBu)(02CMe>、Ti(iPr-N-(CH2)2-N-iPr)(0iBu)(02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(0tBu)(02CMe)、Ti(Et-N-(CH2)2- N-Et)(OiPr) (02CMe) > Ti(Et-N-(CH2)2-N-Et)(0Me)(02CMe)' Ti(Et-N-(CH2)2-N-Et)(0Et)(02CMe)、Ti(Et-N-(CH2)2-N-Et)(0nPr)(02CMe)、Ti (Et-N-(CH2)2-N-Et)(0sBu)(02CMe)、Ti(Et-N-(CH2)2-N-Et)(OiBu) (02CMe)及 Ti(Et-N-(CH2)2-N-Et) (0tBu)(02CMe); 201202465 •該分子具有式I或式Π,其中u、v、y=0、x=2且z = 2 ; •該分子為 Ti(0iPr)2(02CMe)2 ; •該分子具有式I或式II,其中u、v、y=0、χ = 3且z=i ; •該分子為 Ti(0iPr)3(02CMe)。 亦揭示在基板上形成含Ti層之方法。提供反應腔室, 該反應腔室具有安置於其内之至少一基板。將以上揭示的 分子中之至少一者之蒸汽引入至該反應腔室内。使該蒸汽 與該基板接觸以使用氣相沉積製程在該基板之至少一表面 上形成含Ti層。所揭示之方法可進一步包括以下態樣中之 一或多者: •將包括至少一含金屬前驅物之第二蒸汽引入至該反 應腔室内且使該第二蒸汽與該基板接觸以使用該氣相沉積 製程在該基板之至少一表面上形成含金屬層,其中該含金 屬前驅物係選自由M(L)2及M(L)2.A組成之群,其中: -M 為 Sr 或 Ba ; •L係選自經取代之環戊二烯基配位基系統 RAmcp 或 ^二酮配位基系統 _〇_CIVCH_CR7_〇 ; -Rd R5中之每一者獨立地選自以Cl_c6直鏈 支鏈烷基鏈; π C1-C6直鏈或分支鏈 -Re及R7中之每一者獨立地選自 烷基鏈;且 -A马選自由四氫呋喃 乙二醇 - 4 ^ , 及四乙二醇二甲醚組成之群的含中性氧; 10 201202465 分子;且 •該含金屬前驅物係選自由以下各物組成之群: Sr(iPr3Cp)2、Sr(iPr3Cp)2.thf、Sr(iPr3Cp)2.dme、Sr(tBu3Cp)2、
Sr(tBu3Cp)2.thf、Sr(tBu3Cp)2.dme、Sr(thmd)2、Sr(thmd)2. 三乙二醇二甲醚、Sr(thmd)2.四乙二醇二甲醚、Sr(Me5Cp)2、 Sr(Me4Cp)2 ' Sr(Me4EtCp)2 ' Sr(Me4nBuCp)2 ' Ba(iPr3Cp)2 ' Ba(iPr3Cp)2.thf、Ba(iPr3Cp)2.dme、Ba(tBu3Cp)2、Ba(tBu3Cp)2.thf、 Ba(tBu3Cp)2.dme、Ba(thmd)2、Ba(thmd)2.三乙二醇二甲驗、 Ba(thmd)2.四乙二醇二曱醚、Ba(Me5Cp)2、Ba(Me4Cp)2、 Ba(Me4EtCp)2 及 Ba(Me4nBuCp)2。 亦揭示沉積STO或BST膜之方法。提供ALD反應腔 室’該;ALD反應腔室具有安置於其内之至少一基板。將以 上揭示‘的化合物中之至少一者用脈衝輸送至該反應腔室 中。將A氧源用脈衝輸送至該反應腔室中。將含金屬前驅物 用脈衝輸送至該反應腔室中。該含金屬前驅物係選自由 M(L)2及M(L)2.A組成之群,其中: -M 為 Sr 或 Ba ; •L係選自經取代之環戊二烯基配位基系統 ihmcp 或 /?-二酮配位基系統 _OCR6CHCR7〇_;
Ri至R5中之每—者獨立地選自H或C1_C6直鏈或分 支鍵烧基鍵; 汉6及I中之每—者獨立地選自C1_C6直鏈或分支鏈 烷基鏈;且 -A為選自由四氫〇夫喃、_ i大南 一 T氧乙烧、二乙二醇二甲醚、 201202465 三乙二醇二甲醚及四乙二醇二曱醚組成之拜的含中性氧之 分子。 將第二氧源用脈衝輸送至該反應腔室中。藉由變化用 於該前驅物及該含金屬前驅物的脈衝輸送步驟之數目來控 制在該STO或BST膜中的M:Ti比之化學計量。所揭示之 方法可進一步包括以下態樣中之一或多者: •該含金屬則驅物係選自由以下各物組成之群:
Sr(iPr3Cp)2、Sr(iPr3Cp)2.thf、Sr(iPr3Cp)2.dme、Sr(tBu3Cp)2、 Sr(tBu3Cp)2.thf、Sr(tBu3Cp)2.dme、Sr(thmd)2、Sr(thmd)2. 三乙二醇二曱醚、Sr(thmd)2.四乙二醇二甲醚、Sr(Me5Cp)2、 Sr(Me4Cp)2、Sr(Me4EtCp)2、Sr(Me4nBuCp)2、Ba(iPr3Cp)2、 Ba(iPr3Cp)2.thf、Ba(iPr3Cp)2.dme、Ba(tBu3Cp)2、Ba(tBu3Cp)2.thf、 Ba(tBu3Cp)2.dme、Ba(thmd)2、Ba(thmd)2.三乙二醇二甲鍵、 Ba(thmd)2.四乙二醇二曱醚、Ba(Me5Cp)2、Ba(Me4Cp)2、 Ba(Me4EtCp)2 及 Ba(Me4nBuCp)2 ;且 •該氧源及該第二氧源為水。 記號及命名法 某些縮寫、符號及術語貫穿以下描述及申請專利範圍 使用’且包括:縮寫「ST〇」指代鈦酸锶;縮寫「BST」指 代欽酸銷鋇;縮寫「PZT」指代锆鈦酸鉛; 縮寫「Ri-NC(R3)N-R2」指代以下化學結構:
12 201202465 縮寫
Ri-NCC^RdOm-N-R2」指代以下化學結構:.
r2N0 (C(R3)2)m
縮寫「〇2CR7」指代以下化學結構:
縮寫「Cy」指代環己基;縮寫Γ cp」指代環戊二烯; 術語「脂肪基」指代C1-C6直鏈或分支鏈鏈烷基;術語「烷 基」指代排他性地含有碳及氫原子之飽和官能基且包括直 叇、/刀爻鏈驭艰玩丞。罝鏈烷基之實例包括(無限制)甲 基、乙基、正丙基、正丁基等。分支鏈烷基之實例包括(無 限制)第三丁基。環烷基之實例包括(無限制)環丙基·'、'、 環丁基、環戊基、環己基等。縮寫「Me」指代甲基;: 「扮」指代乙基;縮寫「Pr」指代丙基;縮寫「卬 異丙基;縮寫「iBu」指代異丁基;縮寫「心」指^曰代 ::縮寫「―」指代第二丁基;縮寫「咖」 _ 丁 土,^寫「ne0-pentyi」指代 _CH2CMe3 ; 二丁 代Ri-NCa 罵 N -amd」指 (R3)N-R2’ 其中 R3 = C1-C6 烷基’且 ^ 其經定義為 Me、Et、Pr、iPr、nBu、iB^Rm sBHBu,例 13 201202465 如,N,amu Me_NC(Me)N_Me;縮寫「妒㈤」指代
Ri-NC(R3) N-R2,其争 R =H 且 β xt η 3且心及1 = 2,其經定義為
Me、Et、Pr、ipnmz-—MR,_NC(R3)N_R2,
其中R3 = NR5R6,其中RJR6 = H或CL烧基,且Rl 及 H,其經定義為 Me、Et、Pr、ipr、nBU、iBU、SBU 或tBunTHF」指代四氫咬喃;㈣「TMA」指代三 甲基鋁;縮寫「ALD」指代原子層沉積;縮寫「CVD」指代 化學氣相沉積:缩寫「LPCVD“,代低壓化學氣相沉積; 縮寫「P-CVD」才旨代脈衝式化學氣相沉積;縮寫「pE_ALD」 指代電聚增強型原子層沉積;縮冑「議」指代金屬絕緣 體金屬(在電容器中使用之結構);縮寫「DRAM」指代動 態隨機存取記憶體;縮寫「FeRAM」指代鐵電隨機存取記 憶體;縮寫「CMOS」指代互補金屬氧化物半導體;縮寫 「TGA」指代熱重分析。 本文中使用來自元素週期表的元素之標準縮寫。應理 解,兀素可由此等縮寫來指代(例如,Ti指代鈦,Ba指代 鋇,等等)。 為了進一步理解本發明之性質及目標,應參考結合隨 附圖式作出之以下詳細描述,其中對同樣的元件給出相同 或相似之參考數字。 【實施方式】 揭示新穎含鈦前驅物、其合成方法及其使用方法。 所揭示之混配含鈦前驅物係衍生自不同類別之配位基 系統’諸如’脒鹽、曱脒鹽、胍鹽、醯胺及/或螯合醯胺配 14 201202465 位基加上醇鹽配位基。前驅物設計可幫助改良揮發性,降 低溶點(液體或非常低熔融固體),增加與水之反應性,及 增加較寬製程窗應用之熱穩定性。 所揭示之含鈦前驅物具有以下式: Ti(R1-N-C(R3)-N-R2)u(〇R4)x(NR5R6)y(〇2CR7)z 式 I 或 其中: R1、、R5、R>6及Κ·7獨立地選自由Η及C 1- C6 貌基組成之群; _ R3 = Η、C1-C6 烧基或 NMe2 ; R4為C1-C6烷基; m = 2-4 ; _ u = 0-2 ; V = 0-1 ; X = 1-3 ; y = 0-2 ; z = o-i ; 在式 I 中,U + x+y + Z = 4 ; 在式 Π 中,2v+x+y+z = 4 ;且 _ u、v 或 1 〇 如上所定義,Cl-C6烷基包括具有自1至6個碳原子之 住何直鏈、分支鏈或環烷基’包括(但不限於)Me、tBu 或環己基.。 15 201202465 在式I中,RrNC^RON-R2配位基具有以下化學結構:
R2 在式II中’ RrN-CCdWm-N-R^配位基具有以下化學 結構:
(C(R3)2)m
Rl 因此,雖然已維持在配位基之主鏈(亦即,_Ncn_) 中的相同元素,但配位基自身已自在_N_C_N_主鏈間具有— 個非定域負電荷之-1配位基轉向具有定域於每一氮原子處 之負電荷之-2配位基。另外,式〗配位基具有比式Η配位 基剛硬的結構。 當在式I中,1及1為C1_C6直鏈或分支鏈烷基,Ri 及R3可為獨立取代基,或其可經鍵聯在一起以形成自Ri 延伸至I之單環結構,如以下所表明。
RrN-C-N-R2 16 201202465 類似地,當在式!中,Rl、RdR^cl_c^鍵或分 支鏈院基時,Rl、R3AR2可為獨立取代基,或其可經鍵聯 在一起以形成雙環結構,如以下所表明。
Ri-N-C-N-R2 選擇所揭示之前驅物的組態以便使反應性(尤其與 H2〇 )最佳化’且同時使穩定性最佳化。Ti-N鍵較弱,且將 在表面上迅速起反應。同時,Ti-O鍵強得多,且將幫助使 分子穩定以避免快速分解。藉由調整此分子,獲得歸因於 較弱位點而在基板上良好地起反應之前驅物。 當在式I中U=1、x = 3、y=〇且Z = 0時,R丨及R2較佳地 為Et或iPr,R3較佳地為Η、Me或NMe2,且R4較佳地為 C1-C4直鏈或分支鏈烷基鏈。例示性前驅物包括: TiCiPr-N-C^-N-iPO^OiP^a ' Ti(iPr-N-C(H)-N-iPr),(〇Me)3 ' Ti(iPr-N-C(H)-N-iPr)1(OEt)3、TiOPr-N-CTO-N-iPO^OnP^j、 Ti(iPr-N-C(H)-N-iPr)!(OsBu)3 > Ti(iPr-N-C(H)-N-iPr)1(OiBu)3 ' Ti(iPr-N-C(H)-N-iPr)!(OtBu)3 ' Ti(iPr-N-C(Me)-N-iPr)!(OiPr)3 ' Ti(iPr-N-C(Me)-N-iPr),(OMe)3 > Ti(iPr-N-C(Me)-N-iPr)1(OEt)3 ' Ti(iPr-N-C(Me)-N-iPr)1(OnPr)3' Ti(iPr-N-C(Me)-N-iPr)i(OsBu)3 ' Ti(iPr-N-C(Me)-N-iPr),(OiBu)3' Ti(iPr-N-C(Me)-N-iPr)!(OtBu)3 ' Ti(Et-N-C(Me)-N-Et)!(OEt)3 、Ti(Et-N-C(Me)-N-Et)i(OMe)3 、 Ti(Et-N-C(Me)-N-Et)i(OnPr)3 ' Ti(Et-N-C(Me)-N-Et)i(OsBu)3 ' 17 201202465 TKEt-N-C^MehN-EthCOiBuh、TKEt-N-C^MehN-EthCOtBuh 或 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3。較佳的例示性前驅物為 TKiPr-N-C^MehN-iPrMOiPrh。 當在式 II 中 m=2 或 3、v=l、x=2、y=0 且 z=0 時,R! 及R2較佳地為Et或iPr,R3較佳地為Η,且R4較佳地為 C1-C4直鏈或分支鏈烷基鏈。更佳地,當m=2時,R,及R2 不為Me。例示性前驅物包括:TKiPr-N-CCH^-N-iPrWOiPrh、 Ti(iPr-N-(CH2)2-N-iPr)1(OMe)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OEt)2 ' Ti(iPr-N-(CH2)2-N-iPr)i(OnPr)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OsBu)2 ' Ti(iPr-N-(CH2)2-N-iPr)i(OiBu)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OtBu)2 ' Ti(Et-N-(CH2)2-N-Et)i(OiPr)2 ' Ti(Et-N-(CH2)2-N-Et)1(OMe)2 ' Ti(Et-N-(CH2)2-N-Et)i(OEt)2 、 Ti(Et-N-(CH2)2-N-Et)1(OnPr)2 、 Ti(Et-N-(CH2)2-N-Et)i(OsBu)2 ' Ti(Et-N-(CH2)2-N-Et),(OiBu)2 ' Ti(Et-N-(CH2)2-N-Et)1(OtBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2 ' Ti(iPr-N-(CH2)3-N-iPr)i(OMe)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OEt)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OnPr)2 > Ti(iPr-N-(CH2)3-N-iPr),(OsBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OiBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2 ' Ti(Et-N-(CH2)3-N-Et)i(OiPr)2 ' Ti(Et-N-(CH2)3-N-Et)1(OMe)2 ' Ti(Et-N-(CH2)3-N-Et)i(OEt)2 ' Ti(Et-N-(CH2)3-N-Et)1 (OnPr)2 ' Ti(Et-N-(CH2)3-N-Et)i(OsBu)2 ' Ti(Et-N-(CH2)3-N-Et)1(OiBu)2 或TKEt-N^CHzh-N-EtMOtBuh。較佳的例示性前驅物為 Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2 ' Ti(Et-N-(CH2)3-N-Et)1(OiPr)2 或 TKEt-NJCHzVN-EtMOiPrh。 當在式I中u=2、x = 2、y=0且z = 0時,前驅物具有以 18 201202465 下化學結構:
在此具體實例中,R!及R2較佳地為Et或i.Pr,R3較佳 地為Η或Me,且R4較佳地為Cl-C4直鏈或分支鏈烷基鏈。 更佳地,R3不為 NMe2。例示性前驅物包括: Ti(iPr-N-C(H)-N-iPr)2(〇iPr)2 ' Ti(iPr-N-C(H)-N-iPr)2(〇Me)2 ' Ti(iPr-N-C(H)-N-iPr)2(OEt)2 ' Ti(iPr-N-C(H)-N-iPr)2(OnPr)2 > Ti(iPr-N-C(H)-N-iPr)2(OsBu)2、Ti(iPr-N-C(H)-N-iPr)2(OiBu)2、 Ti(iPr-N-C(H)-N-iPr)2(OtBu)2、Ti(Et-N-C(H)-N-Et)2(OiPr)2、 Ti(Et-N-C(H)-N-Et)2(OMe)2、Ti(Et-N-C(H)-N-Et)2(OEt)2、 Ti(Et-N-C(H)-N-Et)2(OnPr)2、Ti(Et-N-C(H)-N-Et)2(OsBu)2、 Ti(Et-N-C(H)-N-Et)2(〇iBu)2 ' Ti(Et-N-C(H)-N-Et)2(OtBu)2 ' Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OMe)2、 Ti(iPr-N-C(Me)-N-iPr)2(OEt)2、Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2、 Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2、Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2、 Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2、Ti(Et-N-C(Me)-N-Et)2(OiPr)2、 Ti(Et-N-C(Me)-N-Et)2(OMe)2、Ti(Et-N-C(Me)-N-Et)2(OEt)2、 Ti(Et-N-C(Me)-N-Et)2(OnPr)2、Ti(Et-N-C(Me)-N-Et)2(OsBu)2、 Ti(Et-N-C(Me)-N-Et)2(OiBu)2 及 Ti(Et-N-C(Me)-N-Et)2(OtBu)2。 較佳的例示性前驅物為 Ti(iPr-N-C(H)-N-iPr)2(OiPr)2或 Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2。 19 201202465 當在式I中u=l、x=2、y=l且z = 0時,前驅物具有以 下化學結構:
在此具體實例中,Ri及R2較佳地為Et或iPr ; R3較佳 地為Η、Me或NMe2 ; R4較佳地為ipr ;且r5及r6較佳地 獨立地為 Me 或 Et。例示性前驅物包括:
Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2) ' Ti(iPr-N-C(Me)-N-iPr) (OiPr)2(NEt2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEtMe) ' Ti (Et-N-C(Me)-N-Et)(OiPr)2(NMe2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2 (NEt2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe)、Ti(iPr-N-C (NMe2)-N-iPr)(OiPr)2(NMe2) 、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2 (NEt2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMeiPr)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2 (NiPr2) ' Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMetBu) ' Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NneoPentyl2)、Ti(Et-N-C(Me)-N-Et) (OiPr)2 (NMeiPr) 、 Ti(Et-N-C(Me)-N-Et)(OiPr)2(NiPr2) 、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NneoPentyl2)、Ti(iPr-N-C (NMe2)-N-iPr) (OiPr)2(NMeiPr)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NiPr2)、 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NneoPentyl2)及 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr)。較佳的例示性前驅物為 Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMe2)。 201202465 當在式I中U=q、χ=:2、y=〇且 下化學結構: z~ 時,前驅物具有以
在此具體實例中,心及I較佳地為玢或ipr; &較佳 地為Η或Me ’ R4較佳地為iPr ;且較佳地為Me。例示, 性前驅物包括:Ti(iPr-N-C(Me)-N-iPr)(0iPr)2(O2CMe)及 Ti(Et-N-C(Me)-N-Et)(0iPr)2(02CMe)。 當在式II中v=l、x=l、y=0且z=l時,前驅物具有以 下化學結構:
當 m=2、v=l、、y=0、z=l 且 R3=H 時,前驅物具 有以下化學結構:
21 201202465 當 m=3、v=l、x=l、y=0、z=l 且 R3=H 時,前驅物具 有以下化學結構:
在此等具體實例中,m較佳地為2或3,R,及R2較佳 地為Et或iPr ; R3較佳地為Η ; R4較佳地為C1-C4直鏈或 分支鏈烷基鏈;且R7較佳地為Me。例示性前驅物包括: Ti(iPr-N-(CH2)2-N-iPr)(0iPr)(02CMe)、Ti(iPr-N-(CH2)2. N-iPr) (0Me)(02CMe) 、Ti(iPr-N-(CH2)2-N-iPr)(0Et)(02CMe)、 Ti(iPr-N-(CH2)2-N-iPr)(0nPr)(02CMe)、Ti(iPr-N-(CH2)2-N-iPr) (0sBu)(02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OiBu)(02CMe)、 Ti(iPr-N-(CH2)2-N-iPr)(0tBu)(02CMe)、Ti(Et-N-(CH2)2-N-Et) (OiPr) (02CMe)、Ti(Et-N-(CH2)2-N-Et)(0Me)(02CMe)、Ti(Et-N-(CH2)2-N-Et)(0Et)(02CMe) 、Ti(Et-N-(CH2)2-N-Et)(OnPr) (02CMe) > Ti(Et-N-(CH2)2-N-Et)(0sBu)(02CMe)' Ti(Et-N-(CH2)2-N-Et)(0iBu)(02CMe)及 Ti(Et-N-(CH2)2-N-Et)(0tBu)(02CMe) » 當在式I中u=l、x=l、y=2且z=0時,例示性前驅物包 括:Ti(iPr-N-C(Me)-N-iPr)(OiPr)(NMe2)2、Ti(iPr-N-C(Me)-N-iPr) (OiPr)(NEt2)2、Ti(iPr-N-C(Me)-N-iPr)(OiPr)(NEtMe)2、Ti(Et-N-C (Me)-N-Et)(OiPr)(NMe2)2、Ti(Et-N-C(Me)-N-Et)(OiPr)(NEt2)2、 Ti(Et-N-C(Me)-N-Et)(OiPr)(NEtMe)2 、Ti(iPr-N-C(NMe2)- 22 201202465 N-iPr)(OiPr)(NMe2)2、Ti(iPr-N-C(NMe2) -N-iPr)(OiPr)(NEt2)2 及 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)(NEtMe)2。 當在式II中v=l、x=l、y=l且z=0時,例示性前驅物 包括:Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(NMe2)、Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(NEt2)、Ti(iPr-N-(CH2)2-N-iPr)(OiPr)(NEtMe)、 Ti(Et-N-(CH2)2-N-Et)(OiPr)(NMe2) 、 Ti(Et-N-(CH2)2-N-Et) (OiPr)(NEt2)及 Ti(Et-N-(CH2)2-N-Et)(OiPr)(NEtMe)。 當在式I中u=l、x=l、y=〇且z = 2時,例示性前驅物 包括:Ti(iPr-N-C(Me)-N-iPr)(0iPr)(02CMe)2 及 Ti(Et-N-C(Me)-N-Et)(OiPr)(02CMe〇2。 當在式I或式II中之任一者中u、v、y=0、x=2且z=2 時,例示性前驅物包括Ti(OiPr)2(〇2CMe)2。 當.在式I或式II中之任一者中u、v、y=〇、χ=3且2=1 時,例』示性前驅物包括Ti(OiPr)3(〇2CMe)。 可藉由將Hd-N-CdVN-R2)之烴溶液與鈦化合物(諸 女 Ti(〇R4)3(NR5R6)或 Ti(OR4)2(NR5R6)2 )之純溶液或烴溶 液在氮氣氛圍下組合來合成所揭示之前驅物,混合燒瓶之 出口連接至油起泡器。例示性烴溶液包括戊烷。在室溫下 將所侍溶液攪拌隔夜。在適用時,可添加HOAR?且進一步 搜拌歷時6至12個小時。在真空下自反應混合物移除溶劑 及揮發物。分別藉由蒸餾或昇華進行所得液體或固體之純 化。額外合成細節提供於實施例中。 、亦揭示將所揭示之含鈦前驅物用於氣相沉積方法之方 去所揭不之方法提供將含鈦前驅物用&沉積含鈦膜。所 23 201202465 揭示之方法可用於半導體、光伏打裝置、LCD_tft或平板 型裝置之製造中。該方法包括:提供基板;提供包括所揭 示之含鈦前驅物中之至少一者的蒸汽;及使蒸汽與基板接 觸(及典型地,將蒸汽引導至基板)以在基板之至少一表 面上形成含鈦層。 所揭不之方法亦提供使用氣相沉積製程(且更明確而 言,用於沉積STO或BST膜)在基板上形成含雙金屬層。 所揭示之方法可用於半導體、光伏打裝置、LCD-TFT或平 板型裝置之製造中。該方法包括:提供基板;提供包括所 揭示之含鈦前驅物中之至少一者的蒸汽;及使蒸汽與基板 接觸(及典型地,將蒸汽引導至基板)以在基板之至少一 表面上形成含雙金屬層。諸如〇3、〇2、H2〇及NO(較佳地, 10)之氧源亦可具備蒸汽。 所揭不之含鈦前軀物可用以使用熟習此項技術者已知 之任何 >儿積方法沉積含鈦膜。合適的沉積方法之實例包括 (無限制)習知化學氣相沉積(CVD)、低壓化學氣相沉積 (LPCVD )、原子層沉積(ALD )、脈衝式化學氣相沉積 (P-CVD )、電漿增強型原子層沉積(pE_ALD )或其組合。 較佳地’沉積方法為ALD或PE-ALD。 將含鈦前驅物之蒸汽引入至含有至少一基板之反應腔 至。在合適的條件下保持反應腔室内之溫度及壓力及基板 之μ度,使得含鈦前驅物與基板之間的接觸導致在基板之 至少一表面上形成含Ti層。反應物亦可用以幫助形成含以 層。 24 201202465 反應腔室可為裝置之發生沉積方法的任何圍封 (encl_e)或腔室,諸如(無限制)平行板型反應器、冷 壁型反應器、熱壁型反應器、單晶圓反應器、多晶圓反應 器或其他此等類型之沉積系統。所有此等例示性反應腔室 能夠充當ALD反應腔室。可將反應腔室雄持在自約〇·5毫 托(0.07Pa)至約20托( 2700 Pa)之壓力範圍下。此外’ 在反應腔室内之溫度的範圍可自約2〇〇t至約6〇(rc。一般 熟習此項技術者將認識到,可經由純實驗(mere experimentation )來使溫度最佳化以達成所要結果。 可藉由控制基板固持器之溫度或控制反應器壁之溫度 來控制反應腔至之溫度。用以加熱基板之裝置在此項技術 中係已知的。反應器壁經加熱至足夠溫度以以在足夠生長 速率下獲得該所要膜且該所要膜具有所要物理狀態及組成 物。姆應器壁可被加熱至的非限制性例示性溫度範圍包括 自大約20(TC至大約600°C。當利用電漿沉積製程時,沉積 溫度之範圍可自大約200。(:至大約55(TC。或者,當執行熱 製程時’沉積溫度之範圍可自大約4〇〇。〇至大約6〇〇。〇。 或者’可將基板加熱至足夠溫度以在足夠生長速率下 獲得所要含鈦膜且該所要含鈦膜具有所要物理狀態及組成 物。基板可被加熱至的非限制性例示性溫度範圍包括自15〇 C至600 C。較佳地’基板之溫度保持小於或等於45〇°C。 上面將沉積有含鈦膜之基板之類型將取決於意欲之最 終用途而變化。在一些具體實例中,基板可選自以下各者: 用作MIM、DRAM或FeRam技術中之介電材料的氧化物(例 25 201202465 如,基於Hf〇2之材料、基於Ti〇2之材料、基於Zr〇2之材 料、基於稀土元素氧化物之材料、基於三元氧化物之材料 等),或用作鋼與低k層之間的氧障壁的基於氮化物之膜 (例如,TaN)。其他基板可用於半導體、光伏打裝置、 LCD-TFT《平板裝置之製造中。此等基板之實例包括(但 不限於):固體基板,諸如,含金屬氮化物之基板(例如,
TaN、TiN、WN、TaCN、TiCN、及;絕緣體 (例如,Si02、Si3N4、Si〇N、Hf〇2、Ta2〇5、Zr〇2、丁叫、
Al2〇3及鈦酸鎖鋇);或其他基板,包括此等材料之任何數 目個組合。所利用之實際基板亦可取決於所利用之特定前 驅物具體實例。但在許多例子中,所利用之較佳基板將選 自TiN、SRO、Ru及Si型基板❹ 在將含鈦前驅物引入至反應腔室之前’可將含欽前驅 物在液態下饋人$、、与# 貝八至Α化裔,在汽化器中使該含鈦前驅物汽 在“太刖驅物汽化之冑,可視情況將含鈦前驅物與一 或多種溶劑 ' —弗炙接A Rf w 、 - 種金屬源及一或多種溶劑與一或多餚 金屬源之混合物混八。Μ "Q洛劑可選自由以下各者組成之群: 甲本、乙苯、二甲笑 ,,— 句二曱苯、癸烧、十二烧、辛烧、 己烧、戍烧,或it他去 至大約所得濃度之範圍可自M〇.〇5M 屬前驅物。/…括現在已知或日後開發之任何含金 可猎由將運载氣體傳遞至含有含鈦前驅物之容 益中或藉由使運載氣俨如刃之令 物、* " 釔泡至含鈦前驅物中來使含鈦前驅 物5化。接著將運載 心秋礼體及含鈦前驅物作為蒸汽引入至反 26 201202465 應腔室内。運載氣體可包括(但不限於)Ar、&、N2,及 其混合物。可視情況在容器中將含鈦前驅物與一或多種溶 劑、含金屬前驅物或其混合物混合。若必要,可將容器加 熱至准s午含鈦前驅物處於其液相下且具有足夠蒸汽壓之溫 度。可將容器維持在處於(例如)大約〇β(:至大約i 5〇t>c之 範圍内的溫度下。熟習此項技術者認識到,可以已知方式 調整容器之溫度以控制汽化的含鈦前驅物之量。 除了在引入至反應腔室前含鈦前驅物與溶劑、含金屬 前驅物及穩定劑之可選混合之外,亦可將含鈦前驅物與在 反應腔室内部之反應物混合。例示性反應物包括(無限制) 3金屬刖驅物,諸如,含锶前驅物、含鋇前驅物、諸如TMA 之含鋁前驅物,及其任何組合。可將少量此等或其他含金 屬前驅物作為摻雜劑或作為所得膜(諸如,bst及ST〇) 中之弟9二或第三金屬而併入至所得膜中。 田所要含鈦膜亦含有氧(諸如(且無限制),ST〇 )時, 反應物可包括選自(但不限於)以下各者之氡源:〇2、〇3、 =2〇、H2〇2、乙酸、福馬林、多聚曱醛,及其組合。較佳地, 當執行ALD製程時,反應物為h2〇。 可藉由電渡來處理反應物以便將反應物分解成其自由 基形式。電漿可產生或存在於反應腔室自身内。或者,電 桌可大體上處於遠離反應腔室之位置處(例如,在遠端定 位之電漿系統中)。熟習此項技術者將認識到適合於此電 聚處理之方法及設備。 舉例而δ,可將反應物引入至直接電聚反應器(其在 27 201202465 反應腔室中產生電漿)中,以在反應腔室中產生經電漿處 理之反應物。例示性直接電漿反應器包括由Trion Technologies生產之Titan™ pECVD系統。可在電敷處理之 前將反應物引入且固持於反應腔室中。或者,電漿處理可 與反應物之引入同時發生。原位電漿典型地為13 56 MHz RF電容耦合電漿,其產生於簇射頭與基板固持器之間。取 決於是否發生正離子碰撞,基板或簇射頭可為供電電極。 在原位電毁產生器中的典型施加之功率為自大約1〇〇 W至 大約1000 W。使用原位電漿達成的該反應物之解離典型地 小於對於相同功率輸入使用遠端電漿源達成的該反應物之 解離,且因此該反應物解離不如遠端電漿系統中之反應物 解離有效率’此情形可有益於在基板上沉積容易受電漿損 壞之含金屬氮化物膜。 或者’可在反應腔室外部生產經電漿處理之反應物。 在傳遞至反應腔室中之前,可使用MKS Instruments之 ASTR〇N®i活性氣體產生器來處理反應物。在2 45 ghz、7 kW電毁功率且範圍為自大約3托至大約1 〇托之壓力下操 作’可將反應物〇3分解成三個〇,自由基。較佳地,可藉由 範圍為自約1 kW至約10 kW、更佳地自約2.5 kW至約7.5 kW之功率來產生遠端電漿。 當所要含鈦膜亦含有另一金屬(諸如(且無限制),
Ta、Hf、Zr、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、
Sn Pb、Co、鋼系元素(諸如’ eu )或其組合)時,反應 物可包括選自(但不限於)以下各者之含金屬前驅物:金 28 201202465 屬烧’諸如’ Ln(RCp)3 or Co(RCp)2 ;金屬胺,諸如, Zr(Cp)(NMe2)3 或 Hf(Cp)(NMe2)3 ;及其任何組合。 在一較佳具體實例中,反應物可為具有式M(L)2或 M(L)2.A之含金屬前驅物化合物,其中Μ為Sr或Ba,L係 選自(a )經取代之環戊二烯基配位基系統 (RiR^mCp ),其中R丨至R5中之每一者獨立地選自Η 或C1-C6直鏈或分支鏈烷基鏈,或(b)二酮配位基系 統(-0-CR6-CH-CR7-0-) ’其中R6及R7中之每一者獨立地 選自C1-C6直鍵或分支鍵烧基鍵;且A =為含中性氧之分 子,包括(但不限於)四氫呋喃、二曱氧乙烷、二乙二醇 二曱醚、三乙二醇二甲醚、四乙二醇二曱醚,或其組合。 較佳地,含金屬前驅物具有式M(RsCp)2,其中每一 r獨立 地選自Η、Me、Et及nBu。 例示性含金屬前驅物包括(但不限於):Sr(iPr3Cp)2、 Sr(iPr3Cp)2.thf 、 Sr(iPr3Cp)2.dme 、 Sr(tBu3Cp)2 、
Sr(tBu3Cp)2.thf、Sr(tBu3Cp)2.dme、Sr(thmd)2、Sr(thmd)2. 三乙二醇二曱醚、Sr(thmd)2.四乙二醇二甲醚、Sr(Me5Cp)2、 Sr(Me4Cp)2 ' Sr(Me4EtCp)2 ' Sr(Me4nBuCp)2 ' Ba(iPr3Cp)2 ' Ba(iPr3Cp)2.thf 、 Ba(iP:r3Cp)2.dme 、 Ba(tBu3Cp)2 、
Ba(tBu3Cp)2.thf、Ba(tBu3Cp)2.dme、Ba(thmd)2、B.a(thmd)2. 三乙二醇二甲醚、Ba(thmd)2.四乙二醇二曱醚、Ba(Me5Cp)2、 Ba(Me4Cp)2、Ba(Me4EtCp)2 及 Ba(Me4nBuCp)2。 將含金屬前驅物之蒸汽(亦即,第二蒸汽)引入至反 應腔室内。在合適的條件下保持反應腔室内之溫度及壓力 29 201202465 及基板之溫度,使付含金屬前驅物與基板之間的接觸導致 在基板之至少一表面上形成含1^層。反應物亦可用以幫助 形成含Μ層。 一般熟習此項技術者將認識到,可在所揭示之沉積製 程中使用額外反應物。術語「第二蒸汽」僅用以避免與含 鈦前驅物之「蒸汽」混淆。舉例而言,具有式Sr(ip^Cp)2 的含金屬前驅物之第二蒸汽及具有式Ba(Me5Cp)2的含金屬2 則驅物之第二蒸汽可與所揭示之含鈦前驅物的蒸汽一起使 用以形成BST膜。 可將含鈦前驅物與一或多種反應物同時(化學氣相沉 積)、依序(原子層沉積)或以其他組合引入至反應腔室内。 舉例而言,可在一個脈衝中引入含鈦前驅物,且可在單獨 脈衝中一起引入兩個額外金屬源[修改之原子層沉積]。或 者,在引入含鈦前驅物之前,反應腔室可能已經含有反應 物。可使反應物通過位於反應腔室遠端之電漿系統,且使 其分解成自由I。或者,.可在藉由脈衝(脈衝式化學氣相 /儿積)引入其他金屬源之同時連續地將含鈦前驅物引入至 反應腔室。在每一實施例中,脈衝後可接著為淨化或抽空 步驟以移除引入的過多量之組份。在每一實施例中,脈衝 可持續範圍為自約0.01 s至約1〇 s、或者自約〇 3 s至約3 s、或者自約0.5 s至約2 s之時間週期。 在一非限制性例示性.原子層沉積型製程中,將汽相之 含鈦前驅物引入至反應腔室中,在反應腔室中使其與合適 的基板接觸。接著可藉由淨化及/或抽空反應腔室自反應腔 30 201202465 至移除過多令科& Br_ w 3斌則驅物。將氧源引入至反應腔室令,在反 應腔室中,砵氧.s & °〆氧源與所吸收之鈦前驅物以自限制方式反 應 0 接菩·5Γ从 ^ 猎由淨化及/或抽空反應腔室自反應腔室移除任 '"夕_源。若所要臈為氧化欽膜,則此兩步驟製程可提 供所要膜厚度,或可重複此兩步驟製程直至已獲得具有必 要厚度之膜為止。 或者’若所要的臈為鈦金屬氧化膜(亦即,TiMO ),則 可在以上之兩步驟製程後接著將含金屬前驅物之第二蒸汽 I至反應腔至中。將基於正沆積之鈦金屬氧化膜之性質 來:擇含金屬前驅物。在引入至反應腔室中之後,使含金 屬刖驅物與基板接觸。藉由淨化及/或抽空反應腔室自反應 腔室移除任何過多含金屬前驅物。再次,可將氧源引入至 反應腔,至内以與含金屬前驅物反應。藉由淨化及/或抽空反 應腔_反應腔室移除過多氧源。若已達成所要膜厚度, 則可終止該製程。然而,若需要較厚冑,則可重複整體四
步驟製程。藉由交替供廄冬& + A 又首伢應3鈦則驅物、含金屬前驅物與氧 源,可沉積具有所要組成物及厚度之膜。 、 另外,藉由變化脈衝之數目,可獲得具有所要化學叶 量M:Ti比之膜。舉例而言,可藉由具有含鈦前驅物之一個 脈衝及含金屬前驅物之兩個脈衝來獲得Sr2Ti〇4膜,其中每 —脈衝後接著為氧源之脈衝。麸而 χ ’、 衝然而,一般熟習此項技術者 將認識到’獲得所要膜所需之脈輪 而I脈衝之數目可能不與所得膜 之化學計量比相同》 、 以上所論述之製程產生的含鈦膜或含鈦層可包括 31 201202465 STO、BST或PZT。一般熟習此項技術者將認識到,_ & _ 適當含鈦前驅物及反應物之明斷選擇,可獲得所要膜組成 物。 實施例 提供以下非限制性實施例以進一步說明本發明之具體 實例。然而,該等實施例並不意欲為全部包括性且並不意 欲限制本文中描述的本發明之範_。 實施例1
Ti(NiPr-amd)(0iPr)3 :蔣 2〇〇 mL 戊烧溶液冷;東至 _3〇t: 歷時h,其後接著添加1〇.〇 g、37.15 mm〇i之 Ti(OiPr)3(NMe2) ’且在氮氣氛圍下在室溫下攪拌。將
NlPr-amd-H ( 5.28 g、37.15 mmol)在 20 mL 戊烷中之溶液 緩慢地添加至以上混合物。將燒瓶之出口連接至油起泡 器,油起泡器又連接至酸洗滌器。在室溫下將所得溶液授 拌隔夜。在真空下自反應混合物移除溶劑及揮發物,從而 得到橙色液體。藉由蒸餾淨化橙色液體產生12.5 g( 92%)。 圖1為表明關於此前驅物的隨溫度改變之重量損失之百分 比的 TGA 曲線圖。NMR (C6D6,δ) : 1.17 (12H,d, (Cif3)2-CH-N-C(CH3)=N-CH-(Ce3)2)、1.23 (18H,d,0-CH-(C//3)2)、 1.86 (3H,s,(CH3)2-CH-N-C(C丹3)=N-CH-(CH3)2)、3.57 (1H,m, 〇-C/f-(CH3)2)、4.46 (2H,m,0-Ci/-(CH3)2)、4.73 (2H,m, (CH3)2-C/f-N-C(H)=N-C/i-(CH3)2)。 實施例2
Ti(NlPf-amd)2(OiPr)2 :在氮氣氛圍下將純 Ti(〇iPr)2 32 201202465 (NMe2)2 ( 10.00 g、39.34 mmol)化合物緩慢地添加至在室 溫下撥摔的含有11.19 g ' 78.68 mmol之NiPr-amd-H之戊烧 溶液,將燒瓶之出口連接至油起泡器。在室溫下將所得溶 液攪拌隔夜。在真空下自反應混合物移除溶劑及揮發物。 獲得深橙紅色固體。藉由昇華進行固體之淨化,從而得到 產量:15.4 g、88%。圖2為表明關於此前驅物的隨溫度改 變之重量損失之百分比的TGA曲線圖。 NMR (C6D6,δ) : 1.14 (6H,br,(CH3)2-CH-N-C(C//3) =N-CH-(CH3)2)、1.31 (24H,br,(C//3)2-CH-N-C(H)=N-CH-(CH3)2)^ 1.53 (12H, d, 0-CH-(C//3)2) ' 3.56 (4H, br, (CH3)2-C/i-N-C(H)=N-C//-(CH3)2)、4.85 (2H, d,0-C//-(CH3)2)。 實施例3
Ti(NlP、fmd)2(OiPr)2 :在氮氣氛圍下將純 Ti(OiPr)2 (NMe2!|2 ( 10.00 g、39.34 mmol)化合物緩慢地添加至在室 溫下攪拌的含有10.00 g、78.68 mmol之NiPr-fmd-H之戊烷 溶液’將燒瓶之出口連接至油起泡器。在室溫下將所得溶 液搜拌隔夜》在真空下自反應混合物移除溶劑及揮發物。 獲得黃撥色固體。藉由昇華進行固體之淨化,從而得到產 量:16.5g、74%。圖3為表明關於此前驅物的隨溫度改變 之重量損失之百分比的TGA曲線圖。 NMR (C6D6,δ) : 1.27 (24H,br,(CH3)2-CH-N-C(H)= N-CH-(CH3)2)、1.29 (12H,d,〇-CH-(CF3)2)、3.99 (4H,br, (CH3)2-C//-N-C(H)=N-C/i- (CH3)2)、4.88 (2H, d,0-C/i-(CH3)2)、 7.88 (2H、br、(CH3)2-CH-N-C⑻=N-CH- (CH3)2)。 33 201202465 實施例4
Ti(NlPf-gmd)2(OiPr)2 :在氮氣氛圍下將純 Ti(〇ipr)2 (NMe2)2 ( 3.00 g、11.80 mmol)化合物緩慢地添加至在室溫 下授拌的含有2.98g、23.60 mmol之iPr-N=C=N-iPr之戊烧 溶液,將燒瓶之出口連接至油起泡器。在室溫下將所得溶 液授拌隔夜。在真空下自反應混合物移除溶劑及揮發物。 獲得紅色固體。藉由昇華進行固體之淨化,從而得到非常 低的產量,NMR頻譜展示產品之混合物。 實施例5
Ti(NlPr-amd)(OiPr)2(NMe2):將 NiPr-amd-H( 8.01 g、56.31 mmol )在50 mL戊烷t之溶液緩慢地逐滴添加至在氮氣氛 圍下在室溫下攪拌的含有14.3 g、56.31 mmol之 Ti(OiPr)2(NMe2)2之80 mL戊烷溶液。將燒瓶之出口連接至 油起泡器,油起泡器又連接至酸洗滌器。在室溫下將所得 溶液攪拌隔夜。在真空下自反應混合物移除溶劑及揮發 物’從而得到橙紅色液體。藉由蒸餾淨化橙紅色液體產生 11.5 g ( 60% ) ^圖4為表明關於此前驅物的隨溫度改變之 重量損失之百分比的TGA曲線圖。NMR (C6D6, δ): 1.14 (12H, d,(C//3)2-CH-N-C(CH3)=N-CH-(C//3)2)、1.33 (12H, d, 0-CH-(C"3)2)、1.47 (3H,s,(CH3)2-CH-N-C(C//3)=N-CH-(CH3)2)、3.37 (6H、s、N(C//3)2)、3.46 (2H,m,0-C//_(CH3)2)、 4.82 (2H,m,(CH3)2-C//-N-C(H)=N-C/i-(CH3)2)。 實施例6
Ti(Et-N-(CH2)2-N-Et)(OiPr)2:將純 Et-NH-(CH2)2-NH-Et 34 201202465 (6.86g、59.01 mmol)液體緩慢地逐滴添加至在氮氣氛圍 下在室溫下攪拌的含有15 〇 g、59 〇1顏〇1之 Ti(OiPr)2(NMe2)2之60 m:L戊烷溶液。將燒瓶之出口連接至 油起/包器,油起泡器又連接至酸洗滌器。在室溫下將所得 溶液攪拌隔夜。在真空下自反應混合物移除溶劑及揮發 物,從而得到橙紅色液體,藉由蒸餾淨化橙紅色液體得到 定量產量。圖5為表明關於此前驅物的隨溫度改變之重量 損失之百分比的TGA曲線圖。 NMR (C6D6s δ) : 1.20 (6Η, t, C^3-CH2-N-CH2-CH2- N-CH2-C/73)、1.3 (12H,d,0-CH-(C//3)2)、3.5 (4H,s, CH3-CH2-N-C丑2-C/i2-N-CH2-CH3)、3.7 (4H,q,CH3-C/i2-N-CH2-CH2-N-C//2-CH3)、4.7 (2H,m,O-C丑-(CH3)2)。 實.施例7 T4(Me-N-(CH2)2-N-Me:)(OiPr)2:類似於實施例 6 來進行 合成。藉由蒸餾淨化紅色液體導致化合物之分解。NMR (C6D6, δ) : 1.31 (12Η, d, 0-CH-(C^3)2) ' 3.29 (6H} t, C//3-N-CH2-CH2-N-C/f3) ' 3.41 (4H, s, CH3-N-Ci/2-Ci/2-N-CH3)、4.73 (2H,m,0-Ci7-(CH3)2)。 實施例8
Ti(Me2CH-N-(CH2)3-N-CHMe2)(OiPr)2:類似於實施例 6 進行合成》圖6為表明關於此前驅物的隨溫度改變之重量 損失之百分比的TGA曲線圖。 NMR (C6D6, δ) : 1.20 (6Η, t, C//3-CH2-N-CH2-CH2-CH2-N-CH2- C/i3)、1.27 (12H,d,0-CH-(C/i3)2)、2.23 (2H,br, 35 201202465 CH3-CH2-N-CH2-C//2- CH2-N-CH2-CH3) ' 3.28 (4H, q, (CH3-CH2-N-C//2-CH2-C/i2-N-CH2- CH3) ' 3.33 (4H, q, CH3-C//2-N-CH2-CH2-CH2-N-C//2-CH3)、4·55 (2H,m,0-C//-(CH3)2)。 實施例9 貫施例1之含鈦前驅物Ti(NlPr-amd)(OiPr)3及反應物 〇3用以在SiOVSi基板上沉積Ti〇2膜。將Si02/Si基板維持 在250°C之溫度下。在維持在5(TC下之起泡器中使前驅物 汽化。ALD循環包括5秒之前驅物脈衝,其後接著為$秒 淨化’其後接著為2秒之反應物脈衝,其後接著為5秒淨 化。觀測Ti〇2生長速率為埃/循環。在高達ο」埃/循環 之沉積速率下’分析ALD能譜高達35(TC。 實施例10 實施例1之含鈦前驅物Ti(NiPr-amd)(OiPr)3及反應物 Ηζο用以在sioysi基板上沉積Ti〇2膜。將Si〇2/Si基板維 持在250°C之溫度下。在維持在5〇〇c下之起泡器中使前驅 物汽化。ALD循環包括2〇秒之前驅物脈衝,其後接著為$ 秒淨化,其後接著為2秒之反應物脈衝,其後接著為1〇秒 淨化。觀測Ti〇2生長速率為0.33埃/循環。在高達〇 4〇埃/ 猶環之沉積速率下,分析ALD能譜高達350。(3。 實施例11 實施例2之含鈦前驅物Ti(NiPr-amd)2(OiPr)2及反應物 〇3用以在SiCVSi基板上沉積Ti〇2膜。將si〇2/Si基板維持 在300°C之溫度下。在維持在12(rc下之起泡器中使橙紅色 則驅物π化。ALD循環包括1〇秒之前驅物脈衝,其後接著 36 201202465 為 5 秒淨 ^卜,s* 八俊接者為2秒之反應物脈衝,其後接著為 1〇秒^化。觀測Ti〇2生長迷率為0.33埃/循環。在高達〇.40 埃/循%之沉積速率下,分析ald能譜高達325它。 實施例12 實施例2之含鈦前驅物Ti(Nlpr_amd)2(〇ipr)2及反應物 2〇用以在SiCVSi基板上沉積Ti〇2膜。將Si〇2/Si基板維 持在275 C之溫度下。在维持在丄2〇。〇下之起泡器中使橙紅 “月j驅物π化。ALD循環包括1 〇秒之前驅物脈衝,其後接 著為5移淨化,其後接著為2秒之反應物脈衝,其後接著 為5秒淨化。觀測Ti〇2生長速率為〇 4〇埃/循環。在高達 0·47埃/循環之沉積速率下,分析ALD能譜高達325它。 實施例1 3 實施例5之含鈦前驅物Ti(NiPr_amd)(〇ipr)2(NMe2)及反 應物〇'3用以在SiCVSi基板上沉積Ti〇2膜。將Si02/Si基 板、隹持在225 C之溫度下。在維持在55。〇下之起泡器中使 检A色則驅物汽化。ALD循環包括15秒之前驅物脈衝,其 /接著為5秒淨化,其後接著為2秒之反應物脈衝,其後 接著為5秒淨化。觀測Ti〇2生長速率為〇17埃/循環。在 门達0.7〇埃/循環之沉積速率下’分析ald能譜高達375 t。 實施例14 實施例5之含鈦前驅物Ti(NiPr-amd )(OiPr)2(NMe2)及反 應物H2〇用以在si〇2/Si基板上沉積Ti〇2膜。將Si〇“si 基板維持在225°C之溫度下。在維持在55。(:下之起泡器中 37 201202465 使检紅色前驅物汽化。ALD循環包括丨〇秒之前驅物脈衝, 其後接著為10秒淨化,其後接著為丨秒之反應物脈衝,其 後接著為10秒淨化。觀測Ti〇2生長速率為〇 73埃/循環。 在高達〇.8〇埃/循環之沉積速率下,分析ALD能譜高達375 。。。 實施例1 5 實施例6之含鈦前驅物Ti(Et-N-(CH2)2-N-Et)(OiPr)2及 反應物〇3用以在Si(VSi基板上沉積Ti〇2膜。將Si〇2/Si 基板維持在250 C之溫度下。在維持在5〇〇c下之起泡器中 使前驅物汽化。ALD循環包括15秒之前驅物脈衝,其後接 著為5秒淨化,其後接著為2秒之反應物脈衝’其後接著 為5秒淨化。觀測Ti〇2生長速率為〇丨埃/循環。在高達〇 3〇 埃/循環之沉積速率下,分析ALD能譜高達325°C。 實施例16 實施例8之含鈦前驅物Ti (Me2CH-N-(CH2)3-N-CHMe2) (OiPr)2及反應物〇3用以在Si〇2/Si基板上沉積Ti〇2膜。將
SiOi/Si基板維持在25〇°c之溫度下。在維持在5〇<>c下之起 泡器中使前驅物汽化。ALD循環包括15秒之前驅物脈衝, 其後接著為5秒淨化’其後接著為2秒之反應物脈衝,其 後接著為5秒淨化。觀測Ti02生長速率為〇.2埃/循環。在 高達0.33埃/循環之沉積速率下,分析ALD能譜高達325 °C。 實施例17 具有式Ti(OiPr)2(NMe2)2之含鈦前驅物及反應物〇3用 38 201202465 •。以在SiCVSi基板上沉積Ti〇2膜。將Si〇2/si基板維持在25〇 。(:之溫度T。在維持在赃下之起泡器中使前驅物汽化。 ALD循環包括1()秒之前㈣脈衝,其後接著為5秒淨化, 其後接著為2秒之反應物脈衝,其後接著為5秒淨化。觀 測Ti〇2生長速率為0 77埃/循環。在高達〇 77埃/循環之沉 積速率下,分析Ald能譜高達275。(:。 實施例18 實施例12之含鈦前驅物Ti(〇iPr)2(NMe2)2及反應物 仏〇用以在sicvsi基板上沉積Ti〇2膜。將Sicvsi基板維 持在250°C之溫度下。在維持在3〇。〇下之起泡器中使前驅 物汽化。ALD循環包括7秒之前驅物脈衝,其後接著為5 秒淨化,其後接著為2秒之反應物脈衝,其後接著為1〇秒 淨化。歲測Ti〇2生長速率為〇.25埃/循環。在高達〇4〇埃/ 循環之沉積速率下,分析ALD能譜高達275°C。 應理解,已在本文中描述及說明以便解釋本發明之性 質的細節、材料、步驟及份額之配置的許多額外改變可由 熟習此項技術者在如在隨附申請專利範圍中表達的本發明 之原理及範疇内進行。因此,本發明並不意欲限於在以上 給出之實施例及/或附圖中的特定具體實例。 【圖式簡單說明】 圖1為表明關於Ti(NlPr-amd)(OiPr)3的隨著溫度改變之 重量損失之百分比的熱重分析(TGA )曲線圖; 圖 2 為關於 Ti(NlPr-amd)2(OiPr)2 之 TGA 曲線圖; 圖 3 為關於 Ti(NlPr-fmd)2(OiPr)2 之 TGA 曲線圖; 39 201202465 圖 4 為關於 Ti(NiPr-amd)(OiPr)2(NMe2)之 TGA 曲線圖; 圖 5 為關於 Ti(Et-N-(CH2)2-N-Et)(OiPr)2 之 TGA 曲線 圖;及 圖 6 為關於 Ti(Me2CH-N-(CH2)3-N-CHMe2)(OiPr)2 之 TGA曲線圖。 【主要元件符號說明】

Claims (1)

  1. 201202465 七、申請專利範圍: 1.一種分子,其具有下式: Ti(Ri-N-C(R3)-N-R2)u(OR4)x(NR5R6)y(〇2CR7)z 式 I 或 Ti(Ri-N-(C(R3)2)m-N-R2)v(〇R4)x(NR5R6)y(〇2CR7)z 式 U 其中: R1、R2、R5、R6及R7獨立地選自由Η及C1-C6烷基 組成之群; R3 = Η、C1-C6 烧基或 NMe2 ; R4 為 Cl-C6:^ 基; m = 2-4 ; u = 0-2 ; v = 0-1 ; x = 1-3 ; y = 0-2 ; 2=0-1; 在式 I 中,u+x+y+z = 4 ; 在式 II 中,2v+x+y+z = 4 ;且 u、v 或 z > 1。 2·如申請專利範圍第1項之分子’該分子具有式I,其 中 u=l、X = 3、y = 〇 且 ζ = 〇。 3 如申請專利範圍第2項之分子,其中該分子係選自由 以下各物組成之群:Ti(iPr-N-C(Me)-N-iPr)丨(OiPr)3、 Ti(iPr-N-C(Me)-N-iPr),(〇Me)3 ' Ti(iPr-N-C(Me)-N-iPr),(OEt)3 ' 41 201202465 Ti(iPr-N-C(Me)-N-iPr)i(OnPr)3' Ti(iPr-N-C(Me)-N-iPr)!(OsBu)3 ' Ti(iPr-N-C(Me)-N-iPr),(OiBu)3 ' Ti(iPr-N-C(Me)-N-iPr)!(OtBu)3 ' Ti(Et-N-C(Me)-N-Et)!(OEt)3 、Ti(Et-N-C(Me)-N-Et),(OMe)3 ' Ti(Et-N-C(Me)-N-Et)!(OnPr)3 ' Ti(Et-N-C(Me)-N-Et),(OsBu)3 ' TKEt-N-CXMehN-EtMOiBuh、TKEt-N-C^MehN-EtMOtBuh 及 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3。 4. 如申請專利範圍第1項之分子,該分子具有式II,其 中 v=l 、 x=2 、 y=0 且 z=0 。 5. 如申請專利範圍第4項之分子,其中該分子係選自由 以下各物組成之群:TiCiPr-N-CCHzh-N-iPrMOiPrh、 Ti(iPr-N-(CH2)2-N-iPr),(OMe)2 ' Ti(iPr-N-(CH2)2-N-iPr),(OEt)2 ' Ti(iPr-N-(CH2)2-N-iPr),(OnPr)2 ' Ti(iPr-N-(CH2)2-N-iPr),(OsBu)2 ' Ti(iPr-N-(CH2)2-N-iPr)i(OiBu)2 ' Ti(iPr-N-(CH2)2-N-iPr),(OtBu)2 ' Ti(Et-N-(CH2)2-N-Et)1(OiPr)2、Ti(Et-N-(CH2)2-N-Et)1(OMe)2、 Ti(Et-N-(CH2)2-N-Et)i(OEt)2 、Ti(Et-N-(CH2)2-N-Et)i(OnPr)2 、 Ti(Et-N-(CH2)2-N-Et),(OsBu)2 、 ^(Et-N-CCHs^-N-EO^OiB^z 、 Ti(Et-N-(CH2)2-N-Et),(OtBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2 ' Ti(iPr-N-(CH2)3-N-iPr),(OMe)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OEt)2 ' Ti(iPr-N-(CH2)3-N-iPr),(OnPr)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OsBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)i(OiBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2 ' Ti(Et-N-(CH2)3-N-Et),(OiPr)2 、 Ti(Et-N-(CH2)3-N-Et)1(OMe)2 、 Ti(Et-N-(CH2)3-N-Et)1(OEt)2 、 Ti(Et-N-(CH2)3-N-Et),(OnPr)2 、 Ti(Et-N-(CH2)3-N-Et)1(OsBu)2 ' Ti(Et-N-(CH2)3-N-Et)i(OiBu)2 Bl 42 201202465 6. 如申請專利範圍第1項之分子,該分子具有式I,其 中 u=2 、 x=2 、 y=0 且 z=0 。 7. 如申請專利範圍第6項之分子,其中該分子係選自由 以下各物組成之群:Ti(iPr-N-C(H)-N-iPr)2(OiPr)2、 Ti(iPr-N-C(H)-N-iPr)2(OMe)2、Ti(iPr-N-C(H)-N-iPr)2(OEt)2、 Ti(iPr-N-C(H)-N-iPr)2(OnPr)2、Ti(iPr-N-C(H)-N-iPr)2(OsBu)2、 Ti(iPr-N-C(H)-N-iPr)2(OiBu)2、Ti(iPr-N-C(H)-N-iPr)2(OtBu)2、 Ti(Et-N-C(H)-N-Et)2(OiPr)2、Ti(Et-N-C(H)-N-Et)2(OMe)2、 Ti(Et-N-C(H)-N-Et)2(OEt)2、Ti(Et-N-C(H)-N-Et)2(OnPr)2、 Ti(Et-N-C(H)-N-Et)2(OsBu)2、Ti(Et-N-C(H)-N-Et)2(OiBu)2、 Ti(Et-N-C(H)-N-Et)2(OtBu)2 ' Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2 ' Ti(iPr-N-C(Me)-N-iPr)2(OMe)2、Ti(iPr-N-C(Me)-N-iPr)2(OEt)2、 Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2、Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2、 Ti(iPr-]g-C(Me)-N-iPr)2(OiBu)2、Ti(iPr_N-C(Me)-N-iPr)2(OtBu)2、 Ti(Et_N-C(Me)-N-Et)2(OiPr)2、Ti(Et-N-C(Me),N-Et)2(OMe)2、 Ti(Et-N-C(Me)-N-Et)2(OEt)2、Ti(Et-N-C(Me)_N-Et)2(〇nPr)2、 Ti(Et-N-C(Me)-N-Et)2(OsBu)2、Ti(Et-N-C(Me)-N-Et)2(OiBu)2 及 Ti(Et-N-C(Me)-N-Et)2(OtBu)2。 8. 如申請專利範圍第1項之分子,該分子具有式I,其 中 u=l 、 x=2 、 y=l 且 z=0 。 9. 如申請專利範圍第8項之分子,其中該分子係選自由 以下各物組成之群:Ti(iPr_N-C(Me)-N-iPr)(OiPr)2(NMe2)、 Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2) ' Ti(iPr-N-C(Me)-N-iPr)(OiPr)2 (NEtMe)'Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2)'Ti(Et-N-C(Me)-N-Et) c 43 201202465 (OiPr)2(NEt2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2) 、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2 (NEt2) 、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe) 、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMeiPr) 、 Ti(iPr-N-C(Me)-N-iPr)(OiPr)2 (NiPr2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NMetBu)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NneoPentyl2) 、 Ti(Et-N-C(Me)-N-Et)(OiPr)2 (NMeiPr)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NiPr2)、Ti(Et_N-C(Me)-N-Et) (OiPr)2(NneoPentyl2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr)、 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NiPr2) ' Ti(iPr-N-C(NMe2)-N-iPr) (OiPr)2(NneoPentyl2)及 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMeiPr); 10. 如申請專利範圍第1項之分子,該分子具有式I,其 中 u=l 、 x=2 、 y=0 且 z=l 〇 11. 如申請專利範圍第10項之分子,其中該分子係選自 由 Ti(iPr-N-C(Me)-N-iPr)(0iPr)2(02CMe)及 Ti(Et-N-C(Me)-N-Et)(0iPr)2(02CMe)組成之群。 12. 如申請專利範圍第1項之分子,該分子具有式II, 其中 v=l 、 x=l 、 y=0 且 z=l 。 13. 如申請專利範圍第12項之分子,其中該分子係選自 由以下各物組成之群:Ti(iPr-N-(CH2)2-N-iPr)(0iPr)(02CMe)、 Ti(iPr-N-(CH2)2-N-iPr)(0Me)(02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(0Et) (02CMe) 、 Ti(iPr-N-(CH2)2-N-iPr)(0nPr)(02CMe) 、 Ti(iPr-N- (CH2)2-N-iPr)(0sBu)(02CMe) 、 Ti(iPr-N-(CH2)2-N-iPr)(OiBu) (02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(0tBu)(02CMe)、Ti(Et_N-(CH2)2-N-Et)(0iPr)(02CMe)、Ti(Et_N-(CH2)2-N-Et)(0Me)(02CMe)、Ti 201202465 (Et-N-(CH2)2-N-Et)(0Et)(02CMe) 、 Ti(Et-N-(CH2)2-N-Et)(OnPr) (02CMe) ' Ti(Et-N-(CH2)2-N-Et)(0sBu)(02CMe) > Ti(Et-N-(CH2)2-N-Et)(OiBu)(02CMe)及 Ti(Et-N-(CH2)2-N-Et)(0tBu)(02CMe)。 14. 如申請專利範圍第丨項之分子,該分子具有式ϊ或 式 II,其中 u、V、y=〇、Χ=2 且 z=2。 15. 如申請專利範圍第14項之分子,該分子為 Ti(OiPr)2(〇2CMe)2。 1 6_如申請專利範圍第1項之分子,該分子具有式I或 式 II ’ 其中 u、v、y=〇、χ = 3 且 z=l。 17.如申請專利範圍第16項之分子,該分子為 Ti(OiPr)3(〇2CMe)。 18·—種在基板上形成含Ti層之方法,該方法包含: 提供反應腔室,該反應腔室具有安置於其中之至少 一基i板; 將包括具有下式之至少一前驅物的蒸汽引入至該反 應腔室中: Ti(R1-N-C(R3)-N-R2)u(0R4)x(NR5R6)y(02CR7)z 式 I 或 Ti(RrN-(C(R3)2)m-N-R2)v(0R4)x(NR5R6)y(02CR7)z 式 11 其中: Ri、R2、R5、R6及R7獨立地選自由Η及CM-C6烷基 組成之群; 及3 = Η、C1-C6 烷基或 NMe2 ; R4為C1-C6烷基; 45 201202465 m =2-4 ; u = =0-2 ; v = = 0-1 ; X = :1-3 ; y = 0-2 ; z = 0-1 ; 在式 I 中,u+x + y+z = 4 ; 在式 II 中,2v+x+y+z = 4 ;且 u、v 或 z 2 1 ; 使該蒸汽與該基板接觸以使用氣相沉積製程在該基 板之至少一表面上形成含Ti層。 1 9.如申請專利範圍第1 8項之方法,其中該至少一前驅 物係選自由以下各物組成之群:TiCiPr-N-CXMe^-N-iPrMOiPrh、 Ti(iPr-N-C(Me)-N-iPr)1(OMe)3 ' Ti(iPr-N-C(Me)-N-iPr)i(OEt)3 ' Ti(iPr-N-C(Me)-N-iPr)!(OnPr)3 ' Ti(iPr-N-C(Me)-N-iPr)i(OsBu)3 ' Ti(iPr-N-C(Me)-N-iPr)i(OiBu)3 ' Ti(iPr-N-C(Me)-N-iPr)!(OtBu)3 ' Ti(Et-N-C(Me)-N-Et)i(OEt)3 、 Ti(Et-N-C(Me)-N-Et)i(OMe)3 、 Ti(Et-N-C(Me)-N-Et)!(OnPr)3 、Ti(Et-N-C(Me)-N-Et)!(OsBu)3 、 Ti(Et-N-C(Me)-N-Et)i(OiBu)3 、Ti(Et-N-C(Me)-N-Et)!(OtBu)3 、 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3 ' Ti(iPr-N-(CH2)2-N-iPr)1(OiPr)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OMe)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OEt)2 ' Ti(iPr-N-(CH2)2-N-iPr)i(OnPr)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OsBu)2 ' TiCiPr-N-CCHjjz-N-iPO^OiB^z ' Ti(iPr-N-(CH2)2-N-iPr)1(OtBu)2 ' Ti(Et-N-(CH2)2-N-Et)i(OiPr)2、Ti(Et-N-(CH-2)2-N-Et)1(OMe)2、 46 201202465 Ti(Et-N-(CH2)2-N-Et)i(OEt)2 、 Ti(Et-N-(CH2)2-N-Et)1(OsBu)2、 Ti(Et-N-(CH2)2-N-Et)i(OtBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OMe)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OnPr)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OiBu)2 ' Ti(Et-N-(CH2)3-N-Et)1(OiPr)2 、 Ti(Et-N-(CH2)3-N-Et)1(OEt)2 、 Ti(Et-N-(CH2)3-N-Et)i(OsBu)2、 Ti(Et-N-(CH2)3-N-Et),(OtBu)2 、 Ti(iPr-N-C(H)-N-iPr)2(OMe)2 、 Ti(iPr-N-C(H)-N-iPr)2(OnPr)2、 Ti(iPr-N-C(H)-N-iPr)2(OiBu)2、 Ti(Et-NrC(H)-N-Et)2(OiPr)2 、 Ti(Et-N-C(H)-N-Et)2(OEt)2 、 Ti(Et-N-C(H)-N-Et)2(OsBu)2 、 Ti(Et-N-C(H)-N-Et)2(OtBu)2、 Ti(iPr-N-C(Me)-N-iPr)2(OMe)2 ' Ti(iPr-N-C(Me)-N-iPr)2(OnPr)2、 Ti(iPr-N-C(Me)-N-iPr)2(OiBu)2、 Ti(Et-N-C(Me)-N-Et)2(OiPr)2 、 Ti(Et-N-C(Me)-N-Et)2(〇Et)2 、 Ti(Et-N-C(Me)-N-Et)2(OsBu)2、 Ti(Et-N-C(Me)-N-Et)2(〇tBu)2 Ti(Et-N-(CH2)2-N-Et),(OnPr)2 、 Ti(Et-N-(CH2)2-N-Et)1(OiBu)2、 Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2 > Ti(iPr-N-(CH2)3-N-iPr),(OEt)2 ' Ti(iPr-N-(CH2)3-N-iPr),(OsBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2 ' Ti(Et-N-(CH2)3-N-Et)1(OMe)2 、 Ti(Et-N-(CH2)3-N-Et)1(OnPr)2 、 Ti(Et-N-(CH2)3-N-Et)1(OiBu)2 ^ Ti(iPr-N-C(H)-N-iPr)2(OiPr)2 、 Ti(iPr-N-C(H)-N-iPr)2(OEt)2 、 Ti(iPr-N-C(H)-N-iPr)2(OsBu)2、 Ti(iPr-N-C(H)-N-iPr)2(OtBu)2、 Ti(Et-N-C(H)-N-Et)2(〇Me)2 、 Ti(Et-N-C(H)-N-Et)2(OnPr)2 、 Ti(Et-N-C(H)-N-Et)2(〇iBu)2 、 Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2 、 Ti(iPr-N-C(Me)-N-iPr)2(OEt)2、 Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2、 Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2 ' Ti(Et-N-C(Me)-N-Et)2(OMe)2 、 Ti(Et-N-C(Me)-N-Et)2(〇nPr)2 、 Ti(Et-N-C(Me)-N-Et)2(OiBu)2、 、 Ti(iPr-N-C(Me)-N-iPr)(OiPr)2 47 201202465 (NMe2)' Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(Me)-N-iPr) (OiPr)2(NEtMe)、Ti(Et-N_C(Me)-N-Et)(OiPr)2(NMe2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe)、 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2) ' Ti(iPr-N-C(NMe2)-N-iPr) (OiPr)2(NEt2)、Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe)、Ti(iPr-N-C(Me)-N-iPr)(0iPr)2(02CMe) 、 Ti(Et-N-C(Me)-N-Et)(OiPr)2 (02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(0iPr)(02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(0Me)(02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OEt)(02CMe)、Ti (iPr-N-(CH2)2-N-iPr)(0nPr)(02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OsBu) (02CMe) > Ti(iPr-N-(CH2)2-N-iPr)(OiBu)(02CMe) > Ti(iPr-N-(CH2)2-N-iPr)(0tBu)(02CMe)、Ti(Et-N-(CH2)2-N-Et)(0iPr)(02CMe)、Ti (Et-N-(CH2)2-N-Et)(0Me)(02CMe) 、 Ti(Et-N-(CH2)2-N-Et)(OEt) (02CMe)、Ti(Et-N-(CH2)2-N-Et)(0nPr)(02CMe)、Ti(Et-N-(CH2)2-N-Et)(0sBu)(02CMe) 、Ti(Et-N-(CH2)2-N-Et)(0iBu)(02CMe)、 Ti(Et-N-(CH2)2-N-Et)(0tBu)(02CMe) 、 Ti(0iPr)2(02CMe)2 及 Ti(OiPr)3(02CMe)。 20.如申請專利範圍第19項之方法,其進一步包含: 將包括選自由M(L)2及M(L)2. A組成之群的至少一含 金屬前驅物之第二蒸汽引入至該反應腔室中,其中: Μ為Sr或Ba ; L係選自經取代之環戊二烯基配位基系統 R1R2R3R4R5Cp 或 jS-二 S同配位基系統- 0-CR6-CH-CR7-0-; R丨至R5中之每一者獨立地選自Η或C1-C6直鏈或分 支鏈烷基鏈; 48 201202465 Re及R7中之每一者獨立地選自C1_C6直鏈或分支鏈 烧基鏈;且 A為選自由四氫呋喃、二甲氧乙烷、二乙二醇二甲 醚、二乙二醇二甲醚及四乙二醇二甲醚組成之群的含中 性氧之分子;及 使該第二蒸汽與該基板接觸以使用該氣相沉積製程 在該基板之至少一表面上形成含金屬層。 21. 如申請專利範圍第20項之方法,其中該含金屬前驅 物係選自由以下各物組成之群:Sr(iPr3Cp)2、 Sr(iPr3Cp)2.thf 、 Sr(iPr3Cp)2.dme 、 Sr(tBu3Cp)2 、 Sr(tBu3Cp)2.thf、Sr(tBu3Cp)2.dme、Sr(thmd)2、Sr(thmd)2. 三乙二醇二甲醚、Sr(thmd)2_四乙二醇二甲醚、Sr(Me5Cp)2、 Sr(Me4Cp)2、Sr(Me4EtCp)2、Sr(Me4nBuCp)2、Ba(iPr3Cp)2、 Ba(iPrjCp)2.thf 、 Ba(iPr3Cp)2.dme 、 Ba(tBu3Cp)2 、 Ba(tBu3Cp)2.thf、Ba(tBu3C_p)2.dme、Ba(thmd)2、Ba(thmd)2. 三乙二醇二甲醚、Ba(thmd)2.四乙二醇二曱醚、Ba(Me5Cp)2、 Ba(Me4Cp)2、Ba(Me4EtCp)2 及 Ba(Me4nBuCp)2。 22. —種沉積STO或BST膜之方法,該方法包含: 提供ALD反應腔室,該ALD反應腔室具有安置於其 中之至少一基板; 將具有下式之前驅物用脈衝輸送至該反應腔室中·· Ti(R1-N-C(R3)-N-R2)u(〇R4)x(NR5R6)y(〇2CR7)z 式1 或 Ti(R1-N-(C(R3)2)m-N-R2)v(〇R4)x(NR5R6)y(〇2CR7)z 式 Η 49 4:: 201202465 其中: Η及C1-C6烷基 R1、R2、R5、R6及r7獨立地選自由 組成之群; R3 = Η、C1-C6 烧基或 NMe〗 R4為C1-C6烷基; m = 2-4 ; u = 0-2 v = 0-1 X = 1-3 y = 0-2 z = 0-1 在式 I 中,u+x+y+z = 4 ; 在式 II 中,2v+x+y+z = 4 ;且 u、v 或 z > 1 ;及 含金屬前驅物 將氧源用脈衝輸送至該反應腔室中. 將選自由M(L)2及M(Lh.A組成之群的 用脈衝輸送至該反應腔室中,其中: Μ為Sr或Ba ; L係選自經取代之環戊二稀基配位基系統 RiRARACp或心二酮配位基Ho cUd 〇 R丨至R5中之每一者獨立地選自(:1_(:6直鏈或分 支鏈烷基鏈; 尺6及尺7_之每一者獨立地選自C1-C6直鍵戒分支鍵 烷基鏈;且 50 201202465 A為選自由四氫呋喃、二曱氧乙烷、二乙二醇二曱 醚、三乙二醇二甲醚及四乙二醇二曱醚組成之群的含中 性氧之分子; 將第二氧源用脈衝輸送至該反應腔室中;及 藉由變化用於該前驅物及該含金屬前驅物的該等脈 衝輸送步驟之數目來控制在該STO或BST膜中的M:Ti 比之化學計量。 23.如申請專利範圍第22項之方法,其中該前驅物係選 自由以下各物組成之群:Ti(iPr-N-C(Me)-N-iPr)丨(OiPr)3、 Ti(iPr-N-C(Me)-N-iPr)1(OMe)3、Ti(iPr-N-C(Me)-N-iPr),(OEt)3、 Ti(iPr-N-C(Me)-N-iPr)1(OnPr)3 ' Ti(iPr-N-C(Me)-N-iPr)!(OsBu)3 ' Ti(iPr-N-C(Me)-N-iPr)1(OiBu)3 ' Ti(iPr-N-C(Me)-N-iPr),(OtBu)3 ' Ti(Et-N-C(Me)-N-Et)!(OEt)3 、 Ti(Et-N-C(Me)-N-Et)1(OMe)3 、 Ti(Et-N-C(Me)-N-Et)i(OnPr)3 、Ti(Et-N-C(Me)-N-Et),(OsBu)3 、 Ti(Et-N-C(Me)-N-Et),(OiBu)3 、Ti(Et-N-C(Me)-N-Et),(OtBu)3 、 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)3、TiCiPr-N-CCHA-N-iPrWOiPrh、 Ti(iPr-N-(CH2)2-N-iPr),(OMe)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OEt)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OnPr)2 > Ti(iPr-N-(CH2)2-N-iPr)1(OsBu)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OiBu)2 ' Ti(iPr-N-(CH2)2-N-iPr)1(OtBu)2 ' Ti(Et-N-(CH2)2-N-Et)1(OiPr)2 、 Ti(Et-N-(CH2)2-N-Et)i(OMe)2 、 Ti(Et-N-(CH2)2-N-Et)1(OEt)2 、Ti(Et-N-(CH2)2-N-Et)1(OnPr)2 、 Ti(Et-N-(GH2)2-N-Et)i(OsBu)2 > TREt-N-CCHA.N-EtMOiBuh、 Ti(Et-N-(CH2)2-N-Et)1(OtBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OiPr)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OMe)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OEt)2 ' 51 201202465 Ti(iPr-N-(CH2)3-N-iPr)i(〇nPr)2 ' Ti(iPr-N-(CH2)3-N-iPr)i(〇iBu)2 ' Ti(Et-N-(CH2)3-N-Et)i(〇iPr)2、 Ti(Et-N-(CH2)3-N-Et)i(〇Et)2 、 Ti(Et-N-(CH2)3-N-Et)i(〇sBu)2 ' Ti(Et-N-(CH2)3-N-Et)i(〇tBu)2、 Ti(iPr-N-C(H)-N-iPr)2(〇Me)2 、 Ti(iPr-N-C(H)-N-iPr)2(〇nPr)2、 Ti(iPr-N-C(H)-N-iPr)2(〇iBu)2、 Ti(Et-N-C(H)-N-Et)2(〇iPr)2 、 Ti(Et-N-C(H)-N-Et)2(〇Et)2 、 Ti(Et-N-C(H)-N-Et)2(〇sBu)2 、 Ti(Et-N-C(H)-N-Et)2(〇tBu)2 、 Ti(iPr-N-C(Me)-N-iPr)2(〇Me)2、 Ti(iPr-N-C(Me)-N-iPr)2(〇nPr)2、 Ti(iPr-N-C(Me)-N-iPr)2(〇iBU)2、 Ti(Et-N-C(Me)-N-Et)2(〇iPr)2 、 Ti(Et-N-C(Me)-N-Et)2(〇Et)2 、 Ti(Et-N-C(Me)-N-Et)2(〇sBu)2、 Ti(Et-N-C(Me)-N-Et)2(〇tBu)2 Ti(iPr-N-(CH2)3-N-iPr),(OsBu)2 ' Ti(iPr-N-(CH2)3-N-iPr)1(OtBu)2 ' Ti(Et-N-(CH2)3-N-Et)i(OMe)2 、 Ti(Et-N-(CH2)3-N-Et),(OnPr)2 、 Ti(Et-N-(CH2)3-N-Et),(OiBu)2 ' Ti(iPr-N-C(H)-N-iPr)2(OiPr)2、 Ti(iPr-N-C(H)-N-iPr)2(OEt)2 、 Ti(iPr-N-C(H)-N-iPr)2(〇sBu)2、 Ti(iPr-N-C(H)-N-iPr)2(OtBu)2、 Ti(Et-N-C(H)-N-Et)2(OMe)2 、 Ti(Et-N-C(H)-N-Et)2(OnPr)2 、 Ti(Et-N-C(H)-N-Et)2(OiBu)2 、 Ti(iPr-N-C(Me)-N-iPr)2(OiPr)2 ' Ti(iPr-N-C(Me)-N-iPr)2(OEt)2、 Ti(iPr-N-C(Me)-N-iPr)2(OsBu)2、 Ti(iPr-N-C(Me)-N-iPr)2(OtBu)2 ' Ti(Et-N-C(Me)-N-Et)2(OMe)2 、 Ti(Et-N-C(Me)-N-Et)2(OnPr)2 、 Ti(Et-N-C(Me)-N-Et)2(OiBu)2、 、 Ti(iPr-N-C(Me)-N-iPr)(OiPr)2 (NMe2)、Ti(iPr-N-C(Me)-N-iPr)(OiPr)2(NEt2)、Ti(iPr-N-C(Me)-N-iPr) (OiPr)2(NEtMe)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NMe2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEt2)、Ti(Et-N-C(Me)-N-Et)(OiPr)2(NEtMe)、 Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NMe2) 、 Ti(iPr-N-C(NMe2)- 52 201202465 N-iPr)(OiPr)2(NEt2) ' Ti(iPr-N-C(NMe2)-N-iPr)(OiPr)2(NEtMe) ' Ti(iPr-N-C(Me)-N-iPr)(0iPr)2(02CMe) 、 Ti(Et-N-C(Me)-N-Et) (0iPr)2(02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(0iPr)(02CMe)、Ti(iPr“N-(CH2)2-N-iPr)(0Me)(02CMe) 、 Ti(iPr-N-(CH2)2-N-iPr)(OEt) (02CMe) 、Ti(iPr-N-(CH2)2-N-iPr)(0nPr)(02CMe) 、Ti(iPr-N- (CH2)2-N-iPr)(0sBu)(02CMe) 、 Ti(iPr-N-(CH2)2-N-iPr)(OiBu) (02CMe)、Ti(iPr-N-(CH2)2-N-iPr)(OtBu)(02CMe)、Ti(Et-N-(CH2)2-N-Et)(0iPr)(02CMe)、Ti(Et-N-(CH2)2-N-Et)(0Me)(02CMe)、Ti (Et-N-(CH2)2-N-Et)(0Et)(02CMe) 、 Ti(Et-N-(CH2)2-N-Et)(OnPr) (02CMe)、Ti(Et-N-(CH2)2-N.Et)(0sBu)(02CMe)、Ti(Et-N-(CH2)2-N-Et)(0iBu)(02CMe) 、Ti(Et-N-(CH2)2-N-Et)(0tBu)(02CMe)、 Ti(0iPr)2(02CMe)2 及 Ti(0iPr)3(02CMe)。 24. 如申請專利範圍第22項之方法,其中該含金屬前驅 物係選自由以下各物組成之群:Sr(iPr3Cp)2、 Sr(iPr3Cp)2.thf 、 Sr(iPr3Cp)2.dme 、 Sr(tBu3Cp)2 、 Sr(tBu3Cp)2.thf、Sr(tBti3Cp)2.dme、Sr(thmd)2 ' Sr(thmd)2. 三乙二醇二甲醚、Sr(thmd)2.四乙二醇二曱 St、Sr(Me5Cp)2、 Sr(Me4Cp)2、Sr(Me4EtCp)2、Sr(Me4nBuCp)2、Ba(iPr3Cp)2、 Ba(iPr3Cp)2.thf 、 Ba(iPr3Cp)2.dme 、 Ba(tBu3Cp)2 、 Ba(tBu3Cp)2.thf、Ba(tBu3Cp)2.dme、Ba(thmd)2、Ba(thmd)2. 三乙二醇二甲醚、Ba(thmd)2.四乙二醇二甲驗、Ba(Me5Cp)2、 Ba(Me4Cp)2、Ba(Me4EtCp)2 及 Ba(Me4nBuCp)2。 25. 如申請專利範圍第22項之方法,其中該氧源及該第 二氧源為水。 53
TW100112132A 2010-04-07 2011-04-06 Titanium-containing precursors for vapor deposition TW201202465A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US32176310P 2010-04-07 2010-04-07
US12/981,872 US8404878B2 (en) 2010-04-07 2010-12-30 Titanium-containing precursors for vapor deposition

Publications (1)

Publication Number Publication Date
TW201202465A true TW201202465A (en) 2012-01-16

Family

ID=44761118

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100112132A TW201202465A (en) 2010-04-07 2011-04-06 Titanium-containing precursors for vapor deposition

Country Status (8)

Country Link
US (3) US8404878B2 (zh)
EP (1) EP2556181A4 (zh)
JP (1) JP2013527147A (zh)
KR (2) KR101749783B1 (zh)
CN (1) CN102482771B (zh)
SG (1) SG178831A1 (zh)
TW (1) TW201202465A (zh)
WO (1) WO2011127122A2 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9593133B2 (en) * 2012-07-20 2017-03-14 America Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
KR20210020175A (ko) * 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
CN104945444A (zh) * 2014-03-26 2015-09-30 广东丹邦科技有限公司 用于制备钡金属茂合物的原料及钡金属茂合物的制备方法
WO2016094711A2 (en) 2014-12-13 2016-06-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications and methods of using the same
CA2920646A1 (en) * 2016-02-12 2017-08-12 Seastar Chemicals Inc. Organometallic compound and method
US10465289B2 (en) * 2016-12-30 2019-11-05 L'Air Liquide, Société Anonyme pour l'Etude at l'Exploitation des Procédés Georges Claude Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
JP7235466B2 (ja) * 2018-01-26 2023-03-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ランタノイド化合物、ランタノイド含有薄膜、および該ランタノイド化合物を用いたランタノイド含有薄膜の成膜方法
CN114787168B (zh) * 2019-11-27 2023-06-06 株式会社Adeka 化合物、薄膜形成用原料和薄膜的制造方法
US11111578B1 (en) * 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
KR102428276B1 (ko) * 2020-09-08 2022-08-04 주식회사 한솔케미칼 4족 금속 원소-함유 화합물, 이를 포함하는 전구체 조성물, 및 이를 이용한 박막의 제조 방법
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA940650A (en) * 1969-05-13 1974-01-22 Maruzen Petrochemical Co. PROCESS FOR PREPARING ALTERNATING COPOLYMER OF BUTADIENE AND .alpha.-OLEFINE AND NOVEL ALTERNATING COPOLYMER OF BUTADIENE AND .alpha.-OLEFINE CONTAINING CIS-CONFIGURATION BUTADIENE UNIT
US3907754A (en) * 1974-06-19 1975-09-23 Eastman Kodak Co Process and catalyst-inhibitor system for preparing synthetic linear polyester
US6511936B1 (en) 1998-02-12 2003-01-28 University Of Delaware Catalyst compounds with β-diminate anionic ligands and processes for polymerizing olefins
US7048968B2 (en) * 2003-08-22 2006-05-23 Micron Technology, Inc. Methods of depositing materials over substrates, and methods of forming layers over substrates
KR100584200B1 (ko) 2004-02-13 2006-05-29 한국화학연구원 티타늄 산화물 선구 물질 및 그 제조 방법
KR100581993B1 (ko) 2004-06-09 2006-05-22 삼성전자주식회사 원자층 증착법을 이용한 물질 형성방법
WO2006012052A2 (en) 2004-06-25 2006-02-02 Arkema, Inc. Amidinate ligand containing chemical vapor deposition precursors
JP2006045083A (ja) 2004-08-02 2006-02-16 Asahi Denka Kogyo Kk 薄膜形成用原料、薄膜の製造方法及び金属化合物
KR100640654B1 (ko) 2005-07-16 2006-11-01 삼성전자주식회사 ZrO2 박막 형성 방법 및 이를 포함하는 반도체 메모리소자의 커패시터 제조 방법
US20070178526A1 (en) 2005-07-20 2007-08-02 Kountakis Stilianos E Use of protein profiles in disease diagnosis and treatment
JP2010531373A (ja) * 2007-06-28 2010-09-24 ビーエーエスエフ ソシエタス・ヨーロピア ポリエステルの固体状態重合法
EP2011898B1 (en) * 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US20100209610A1 (en) * 2007-07-16 2010-08-19 Advanced Technology Materials, Inc. Group iv complexes as cvd and ald precursors for forming metal-containing thin films

Also Published As

Publication number Publication date
CN102482771B (zh) 2014-07-30
EP2556181A4 (en) 2014-10-15
KR20120045031A (ko) 2012-05-08
CN102482771A (zh) 2012-05-30
KR101749783B1 (ko) 2017-06-21
US8404878B2 (en) 2013-03-26
KR101304760B1 (ko) 2013-09-05
US8633329B2 (en) 2014-01-21
JP2013527147A (ja) 2013-06-27
US20130164947A1 (en) 2013-06-27
KR20120125631A (ko) 2012-11-16
EP2556181A2 (en) 2013-02-13
WO2011127122A2 (en) 2011-10-13
WO2011127122A3 (en) 2011-12-08
US20140127913A1 (en) 2014-05-08
US20110250354A1 (en) 2011-10-13
SG178831A1 (en) 2012-04-27

Similar Documents

Publication Publication Date Title
TW201202465A (en) Titanium-containing precursors for vapor deposition
CN110573651B (zh) 用于沉积作为铁电材料的硅掺杂氧化铪的制剂
JP6670824B2 (ja) 第6族遷移金属含有フィルムの蒸着のための第6族フィルム形成組成物
JP6337116B2 (ja) 第5族遷移金属含有膜を蒸着させるための第5族遷移金属含有化合物
TWI390070B (zh) β-二亞胺配位子來源及其含金屬之化合物與包含彼等之系統與方法
TWI454589B (zh) 用於含金屬膜的第4族金屬前驅物
TWI444497B (zh) 用於沉積含金屬膜的金屬-烯醇化物前驅物
US20160040289A1 (en) Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US10174423B2 (en) Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
TWI693229B (zh) 用於含鋯膜氣相沈積的含鋯成膜組成物
TW201938567A (zh) 含第四主族金屬元素的化合物、其製備方法、包括其的膜形成用前體組合物以及利用其的膜形成方法
WO2016106086A1 (en) Zirconium-containing film forming compositions for vapor deposition of zirconium-containing films
TW201335417A (zh) 用於ald沈積金屬矽酸鹽膜之含矽化合物
TWI756959B (zh) 膜或塗層之方法
US9087690B2 (en) Hafnium-containing and zirconium-containing precursors for vapor deposition
US20150072085A1 (en) Titanium bis diazadienyl precursor for vapor deposition of titanium oxide films
WO2022146668A1 (en) Group iv element containing precursors and deposition of group iv element containing films
TWI518199B (zh) 用於氣相沉積之含鉿或含鋯前驅物
TW202402771A (zh) 鈮、釩、鉭成膜組成物及利用其沉積含第v(五)族之膜
JP2022548037A (ja) ケイ素ドープ酸化ハフニウムの堆積のための配合物