JP6670824B2 - 第6族遷移金属含有フィルムの蒸着のための第6族フィルム形成組成物 - Google Patents

第6族遷移金属含有フィルムの蒸着のための第6族フィルム形成組成物 Download PDF

Info

Publication number
JP6670824B2
JP6670824B2 JP2017506427A JP2017506427A JP6670824B2 JP 6670824 B2 JP6670824 B2 JP 6670824B2 JP 2017506427 A JP2017506427 A JP 2017506427A JP 2017506427 A JP2017506427 A JP 2017506427A JP 6670824 B2 JP6670824 B2 JP 6670824B2
Authority
JP
Japan
Prior art keywords
precursor
group
ntbu
film
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017506427A
Other languages
English (en)
Other versions
JP2017525156A (ja
Inventor
クレメント・ランサロット−マトラス
ジュリアン・リーフリグ
クリスチャン・デュサラ
アントワーヌ・コラス
ジョン・ミン・キム
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2017525156A publication Critical patent/JP2017525156A/ja
Application granted granted Critical
Publication of JP6670824B2 publication Critical patent/JP6670824B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Description

第6族遷移金属含有前駆体を含む第6族フィルム形成組成物が開示される。また、開示された前駆体を合成及び使用して、蒸着プロセスを介して基板に第6族遷移金属含有フィルムを蒸着する方法が開示される。
関連出願の相互参照
本出願は、2014年8月14日付けで出願された米国仮特許出願第62/037,469号明細書の利益を主張するものであり、その全体があらゆる目的において参照により本明細書に組み込まれる。
タングステンは、ナノデバイスの組み立てに有用である多くの様々な用途を見出している。純正なタングステンの蒸着を使用して、トランジスタソースとドレイン(「コンタクトホール」)とに接触する孔を充填し、更に、金属の連続した層の間にバイアを充填することができる。この方法は、「タングステンプラグ」プロセスとして知られている。タングステンの使用は、WFを使用して蒸着されたフィルムの良好な特性のために開発され得る。しかしながら、Ti/TiNなどの接着/バリア層を提供して、フッ素による攻撃から下側にあるSiを保護し、二酸化ケイ素へのタングステンの接着を確実にすることが必要である。
タングステン−シリサイドを、ポリシリコンゲートの上部で使用して、ゲートラインの導電性を増加させて、このようにトランジスタ速度を上げることができる。この方法は、DRAMの組み立において普及しており、この場合、ゲートは回路のためのワード線でもある。WF及びSiHが使用され得るが、より高い蒸着温度を可能にし、従って、蒸着されたフィルムにおいてより低いフッ素濃度をもたらすことから、ジクロロシラン(SiCl)は、シリコン源としてより一般的に使用される。
窒化タングステン(WN)は、マイクロエレクトロニクス回路における銅の拡散に対する良好なバリアであると考えられる。また、WNが、薄膜キャパシタ及び電界効果トランジスタのための電極において使用され得る。
モリブデン酸化物が、DRAMキャパシタのための薄層として使用され得る。例えば、Elpidaへの米国特許出願公開第2012/309162号明細書、又は米国特許出願公開第2014/187015号明細書を参照されたい。モリブデン酸化物層は、ZrO層の蒸着前にTiN層に蒸着され得る。次いで、モリブデン酸化物層は、ZrO層の蒸着速度を増加させることを補助することができる。モリブデン酸化物層は、モリブデン酸化物層に蒸着されるZrO層及びTiN層に蒸着されて、TiN/MoO/ZrO/MoO/TiNスタックを作製することができる。スタックにおけるモリブデン酸化物層は、漏れ電流を減少させることができる。
エレクトロクロミック素子は、電圧が印加される場合、それらの光学特性、基本的にはそれらの透過率を変える光電気化学的システムである。その結果、光電気化学的システムは、これらに限定されるものではないが、スマートウィンドウ、サンルーフ、シェード、バイザー又はバックミラー、自動車用フラットパネルディスプレイ、建造物、ディスプレー、及びスカイライトなどの光電気用途、パネルディスプレイ、水族館、ライトパイプのための光フィルター及びスクリーン、並びにその他の光電気装置などの多くの用途において使用され得る。遷移金属酸化物が、無機エレクトロクロミック材料として使用されてきた。それらの遷移金属酸化物のなかでも、タングステン三酸化物、WO、n型半導体は、可視及び赤外領域におけるそのエレクトロクロミック特性、高着色効率、及び比較的安い価格のため、最も広く検討される材料の1つである。陰分極の下で減少する場合、WOの色は、透明又は黄色から濃い青に変化する。
有機発光ダイオード(OLED)素子は、電圧が印加される場合、特定の波長帯で光の放射を伴う。また、OLEDにおけるアノード及びカソードでの電極インターフェース変更層としての遷移金属酸化物の使用は、装置信頼性を改善する主要パラメーターの1つである操作上の電圧を減少させることにおいて報告されてきた。それらの遷移金属酸化物のなかでも、アノードバッファー層としてのタングステン酸化物又はモリブデン酸化物は、有機分子に適合する可視領域及びエネルギー準位において非常に高い透明性などの有利性をもたらす。(Applied Physics Letters,2007,91,113506)。
特開平07−292079号公報では、式M(Y)(OR(R(X)(式中、Mは、Mo又はWであり、Yは、=O又は=NRであり、R、R、及びRは、アルキル、シクロアルキル、シクロアルケニル、ポリシクロアルキル、ポリシクロアルケニル、ハロアルキル、ハロアラルキル、(非)置換アラルキル、Siを含む芳香族基であり、X=ハロゲンであり、L=ルイス塩基であり、s=0又は1であり、x+y+z=4であり、且つy≧1である)を有する複分解触媒前駆体を開示している。この触媒前駆体は、W(=O)(OCHtBu)などのM(Y)(ORから合成される。
Chisholm等は、モリブデンのオキソアルコキシドの調製及び特徴を開示している。Inorganic Chemistry(1984)23(8)1021−37。
Kinestral Technologies Inc.への国際公開第2014/143410号パンフレットでは、リチウム、ニッケル、並びにMo、W、及びこれらの組合せから選択される第6族金属を含むアノードエレクトロクロミック層を含む多層エレクトロクロミック構造を開示している。要約書のパラグラフ0107では、第6族金属のための原料(出発)材料が(RO)MOであり得ることを開示している。
David Baxter et al.Chemical Communications(1996),(10),1129−1130では、タングステン酸化物エレクトロクロミックフィルムの低圧CVDにおける揮発性前駆体である、様々なタングステン(VI)オキソアルコキシド及びタングステン(VI)オキソアルコキシドβ−ジケトナート錯体の使用を記載している。しかしながら、分子は、固体である場合があり、効果的に精製することが困難である場合があり、又は比較的多くの数の合成工程のために調製に費用がかかる場合がある。
Sustainable Technologies Australia Ltd.への国際公開第99/23865号パンフレットでは、WOCl、アルコール、及びアンモニアからのタングステン(VI)オキソ−テトラ−アルコキシド[WO(OR)]の合成が、不溶性タングステン含有化合物を生成することを開示している。国際公開第99/23865号パンフレットでは、過剰なアンモニアを加えて、沈殿したタングステン化合物を溶解することができるが、得られた最終のタングステン酸化物がエレクトロクロミック用途におけるフィルムとして適切でないことを開示している。
また、M.Basato et al.Chemical Vapor Deposition(2001),7(5),219−224では、100〜150℃でWO材料を形成するための、HOと組み合わせた自己蒸発によるW(=O)(OtBu)の使用を記載している。
J.M.Bell等は、W(=O)(OnBu)を用いたエレクトロクロミック素子におけるタングステン酸化物フィルムの調製を記載している(Solar Energy Materials and Solar Cells,2001,68,239)。
Dmitry V.Peryshkov及びRichard R.Schrockは、W(=O)Cl及びLi(OtBu)からのW(=O)(OtBu)の調製を記載している。Organometallics 2012,31,7278−7286。
Parkin等は、Chemical Vapour Deposition:Precursors,Processes and Applicationsの第10章で、ガラス上における機能性コーティングのCVDを開示している。セクション10.4.3では、WO(OR)(式中、R=Me、Et、iPr、及びBu)などのいくつかのタングステンアルコキシド、オキソアルコキシド、及びアリール酸化物が調査されたことを開示している。Parkin等は、これらの前駆体が第2の酸素前駆体の必要性なく単一源の前駆体をもたらすことを注記している。Parkin等は、これらの前駆体が低い揮発性を被ることを注記している。
米国特許第7,560,581B2号明細書では、銅バリア拡散用途におけるプラズマを用いた又は用いないALDモードでの窒化タングステンの生成のためのビス−アルキルイミドビス−ジアルキルアミノタングステン前駆体の使用を開示している。
Miikkulainen等は、Mo(NR)(NR’前駆体を使用したALD蒸着を開示している。Chem Mater.(2007),19,263−269;Chem.Vap.Deposition(2008)14,71−77。Chiu等は、Mo(NtBu)(NHtBu)を使用したMoNのCVD蒸着を開示している。J.Mat.Res.9(7),1994,1622−1624。
液体の又は低融点(<50℃)の、非常に熱的に安定な、高温での制御された厚さ及び組成を有する、気相薄膜蒸着に適する新規の第6族前駆体分子を開発する必要性が依然としてある。
表記法及び命名法
特定の省略形、記号、及び用語が、以下の記載及び特許請求の範囲にわたって使用され、以下を含む:
本明細書において使用される場合、「第6族」は、Cr、Mo、及びWを含む、周期表の6列を意味する。
本明細書において使用される場合、不定冠詞「1つの(a)」又は「1つの(an)」は、1つ以上を意味する。
本明細書において使用される場合、「ほぼ」又は「約」という用語は、記載された値の±10%を意味する。
本明細書において使用される場合、R基の記載に関連して使用される場合、「独立して」という用語は、同一又は異なる下付き文字又は上付き文字を有するその他のR基に対して、主題となるR基は、独立して選択されるだけでなく、その同一のR基の任意の更なる種に対しても独立して選択されることを示すことを理解されたい。例えば、式MR (NR(4−x)(式中、xは2又は3である)において、2つ又は3つのR基は、互いに同一であるか、又はR若しくはRと同一であり得るが、その必要はない。更に、特に記載のない限り、異なる式において使用される場合、R基の値は、互いに独立していることを理解されたい。
本明細書において使用される場合、「アルキル基」という用語は、炭素及び水素原子のみを含む飽和官能基を意味する。更に、「アルキル基」という用語は、直鎖型、分岐型、又は環式のアルキル基を意味する。直鎖型アルキル基の例としては、これらに限定されるものではないが、メチル基、エチル基、プロピル基、ブチル基等が挙げられる。分岐型アルキル基の例としては、これらに限定されるものではないが、t−ブチルが挙げられる。環式のアルキル基の例としては、これらに限定されるものではないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基等が挙げられる。
本明細書において使用される場合、省略形「Me」は、メチル基を意味し、省略形「Et」は、エチル基を意味し、省略形「Pr」は、プロピル基を意味し、省略形「nPr」は、「ノルマル」又は直鎖型のプロピル基を意味し、省略形「iPr」は、イソプロピル基を意味し、省略形「Bu」は、ブチル基を意味し、省略形「nBu」は、「ノルマル」又は直鎖型のブチル基を意味し、省略形「tBu」は、1,1−ジメチルエチルとしても知られるtert−ブチル基を意味し、省略形「sBu」は、1−メチルプロピルとして知られるsec−ブチル基を意味し、省略形「iBu」は、2−メチルプロピルとしても知られるiso−ブチル基を意味し、省略形「アミル」は、アミル又はペンチル基を意味し、省略形「tAmyl」は、1,1−ジメチルプロピルとしても知られるtert−アミル基を意味する。
元素の周期表からの元素の標準的な省略形が、本明細書において使用される。元素は、これらの省略形(例えば、Mnはマンガンを意味し、Siはシリコンを意味し、Cは炭素を意味する等)によって表され得ることを理解されたい。
M(=O)(NR 式I、
M(=O)(NR 式II、
M(=NR)(OR) 式III、
M(=O)(OR) 式IV、及び
M(=O)(OR) 式V
(式中、Mは、Mo又はWであり、且つRはそれぞれ独立して、H、C1〜C6アルキル基、又はSiR’であり、R’は、H又はC1〜C6アルキル基である)からなる群から選択される第6族遷移金属含有前駆体を含む、第6族フィルム形成組成物が開示される。開示された前駆体は、1つ以上の以下の態様を含むことができる:
・MoであるM、
・WであるM、
・式M(=O)(NRを有する前駆体、
・H、Me、Et、nPr、iPr、tBu、sBu、iBu、nBu、tAmyl、SiMe、SiMeH、又はSiHMeからそれぞれ独立して選択されるR、
・H、Me、Et、iPr、又はtBuからそれぞれ独立して選択されるR、
・Mo(=O)(NMeである前駆体、
・Mo(=O)(NMeEt)である前駆体、
・Mo(=O)(NEtである前駆体、
・Mo(=O)(NiPrである前駆体、
・Mo(=O)(NnPrである前駆体、
・Mo(=O)(NiBuである前駆体、
・Mo(=O)(NnBuである前駆体、
・Mo(=O)(NtBuである前駆体、
・Mo(=O)(NsBuである前駆体、
・Mo(=O)(NtAmである前駆体、
・Mo(=O)(NMe(NtBuである前駆体、
・Mo(=O)(NiPr(NtBuである前駆体、
・Mo(=O)(N(SiMeである前駆体、
・Mo(=O)(N(SiHMeである前駆体、
・Mo(=O)(N(SiMeHである前駆体、
・Mo(=O)(NHMe)である前駆体、
・Mo(=O)(NHEt)である前駆体、
・Mo(=O)(NHiPr)である前駆体、
・Mo(=O)(NHnPr)である前駆体、
・Mo(=O)(NHiBu)である前駆体、
・Mo(=O)(NHnBu)である前駆体、
・Mo(=O)(NHtBu)である前駆体、
・Mo(=O)(NHsBu)である前駆体、
・Mo(=O)(NHtAm)である前駆体、
・Mo(=O)(NHMe)(NtBuである前駆体、
・Mo(=O)(NiPr(NHtBu)である前駆体、
・Mo(=O)(NHSiMeである前駆体、
・Mo(=O)(NH(SiHMe))である前駆体、
・Mo(=O)(NH(SiMeH))である前駆体、
・Mo(=O)(NHiPr)(N(SiMe)である前駆体、
・Mo(=O)(NiPr(N(SiMe)である前駆体、
・W(=O)(NMeである前駆体、
・W(=O)(NMeEt)である前駆体、
・W(=O)(NEtである前駆体、
・W(=O)(NiPrである前駆体、
・W(=O)(NnPrである前駆体、
・W(=O)(NiBuである前駆体、
・W(=O)(NnBuである前駆体、
・W(=O)(NtBuである前駆体、
・W(=O)(NsBuである前駆体、
・W(=O)(NtAmである前駆体、
・W(=O)(NMe(NtBuである前駆体、
・W(=O)(NiPr(NtBuである前駆体、
・W(=O)(N(SiMeである前駆体、
・W(=O)(N(SiHMeである前駆体、
・W(=O)(N(SiMeHである前駆体、
・W(=O)(NHMe)である前駆体、
・W(=O)(NHEt)である前駆体、
・W(=O)(NHiPr)である前駆体、
・W(=O)(NHnPr)である前駆体、
・W(=O)(NHiBu)である前駆体、
・W(=O)(NHnBu)である前駆体、
・W(=O)(NHtBu)である前駆体、
・W(=O)(NHsBu)である前駆体、
・W(=O)(NHtAm)である前駆体、
・W(=O)(NHMe)(NtBuである前駆体、
・W(=O)(NiPr(NHtBu)である前駆体、
・W(=O)(NHSiMeである前駆体、
・W(=O)(NH(SiHMe))である前駆体、
・W(=O)(NH(SiMeH))である前駆体、
・W(=O)(NHiPr)(N(SiMeである前駆体、
・W(=O)(NiPr(N(SiMeである前駆体、
・式M(=O)(NRを有する前駆体、
・Mo(=O)(NMeである前駆体、
・Mo(=O)(NMeEt)である前駆体、
・Mo(=O)(NEtである前駆体、
・Mo(=O)(NiPrである前駆体、
・Mo(=O)(NnPrである前駆体、
・Mo(=O)(NiBuである前駆体、
・Mo(=O)(NnBuである前駆体、
・Mo(=O)(NtBuである前駆体、
・Mo(=O)(NsBuである前駆体、
・Mo(=O)(NtAmである前駆体、
・Mo(=O)(NMe)(NtBu)である前駆体、
・Mo(=O)(NiPr)(NtBu)である前駆体、
・Mo(=O)(N(SiMeである前駆体、
・Mo(=O)(N(SiHMeである前駆体、
・Mo(=O)(N(SiMeHである前駆体、
・Mo(=O)(NHMe)である前駆体、
・Mo(=O)(NHEt)である前駆体、
・Mo(=O)(NHiPr)である前駆体、
・Mo(=O)(NHnPr)である前駆体、
・Mo(=O)(NHiBu)である前駆体、
・Mo(=O)(NHnBu)である前駆体、
・Mo(=O)(NHtBu)である前駆体、
・Mo(=O)(NHsBu)である前駆体、
・Mo(=O)(NHtAm)である前駆体、
・Mo(=O)(NHMe)(NtBu)である前駆体、
・Mo(=O)(NiPr)(NHtBu)である前駆体、
・Mo(=O)(NHSiMeである前駆体、
・Mo(=O)(NH(SiHMe))である前駆体、
・Mo(=O)(NH(SiMeH))である前駆体、
・Mo(=O)(NHiPr)(N(SiMe)である前駆体、
・Mo(=O)(NiPr)(N(SiMe)である前駆体、
・W(=O)(NMeである前駆体、
・W(=O)(NMeEt)である前駆体、
・W(=O)(NEtである前駆体、
・W(=O)(NiPrである前駆体、
・W(=O)(NnPrである前駆体、
・W(=O)(NiBuである前駆体、
・W(=O)(NnBuである前駆体、
・W(=O)(NtBuである前駆体、
・W(=O)(NsBuである前駆体、
・W(=O)(NtAmである前駆体、
・W(=O)(NMe)(NtBu)である前駆体、
・W(=O)(NiPr)(NtBu)である前駆体、
・W(=O)(N(SiMeである前駆体、
・W(=O)(N(SiHMeである前駆体、
・W(=O)(N(SiMeHである前駆体、
・W(=O)(NHMe)である前駆体、
・W(=O)(NHEt)である前駆体、
・W(=O)(NHiPr)である前駆体、
・W(=O)(NHnPr)である前駆体、
・W(=O)(NHiBu)である前駆体、
・W(=O)(NHnBu)である前駆体、
・W(=O)(NHtBu)である前駆体、
・W(=O)(NHsBu)である前駆体、
・W(=O)(NHtAm)である前駆体、
・W(=O)(NHMe)(NtBu)である前駆体、
・W(=O)(NiPr)(NHtBu)である前駆体、
・W(=O)(NHSiMeである前駆体、
・W(=O)(NH(SiHMe))である前駆体、
・W(=O)(NH(SiMeH))である前駆体、
・W(=O)(NHiPr)(N(SiMe)である前駆体、
・W(=O)(NiPr)(N(SiMe)である前駆体、
・式M(=NR)(OR)を有する前駆体、
・Mo(=NMe)(OMe)である前駆体、
・Mo(=NEt)(OEt)である前駆体、
・Mo(=NiPr)(OiPr)である前駆体、
・Mo(=NnPr)(OnPr)である前駆体、
・Mo(=NiBu)(OiBu)である前駆体、
・Mo(=NsBu)(OsBu)である前駆体、
・Mo(=NtBu)(OtBu)である前駆体、
・Mo(=NnBu)(OnBu)である前駆体、
・Mo(=NtAm)(OtAm)である前駆体、
・Mo(=NSiMe(OSiMeである前駆体、
・Mo(=NSiHMe(OSiHMeである前駆体、
・Mo(=NSiHMe)(OSiHMe)である前駆体、
・Mo(=NMe)(OtBu)である前駆体、
・Mo(=NEt)(OiPr)である前駆体、
・Mo(=NiPr)(OMe)である前駆体、
・Mo(=NiPr)(OEt)である前駆体、
・Mo(=NiPr)(OtBu)である前駆体、
・Mo(=NiPr)(OsBu)である前駆体、
・Mo(=NiPr)(OiBu)である前駆体、
・Mo(=NiPr)(OnBu)である前駆体、
・Mo(=NiPr)(OtAmyl)である前駆体、
・Mo(=NiPr)(OSiMeである前駆体、
・Mo(=NtBu)(OMe)である前駆体、
・Mo(=NtBu)(OEt)である前駆体、
・Mo(=NtBu)(OiPr)である前駆体、
・Mo(=NtBu)(OsBu)である前駆体、
・Mo(=NtBu)(OiBu)である前駆体、
・Mo(=NtBu)(OnBu)である前駆体、
・Mo(=NtBu)(OtAmyl)である前駆体、
・Mo(=NtAm)(OMe)である前駆体、
・Mo(=NtAm)(OEt)である前駆体、
・Mo(=NtAm)(OiPr)である前駆体、
・Mo(=NtAm)(OtBu)である前駆体、
・Mo(=NtAm)(OsBu)である前駆体、
・Mo(=NtAm)(OiBu)である前駆体、
・Mo(=NtAm)(OnBu)である前駆体、
・Mo(=NSiMe(OMe)(OEt)である前駆体、
・Mo(=NSiHMe(OMe)(OEt)である前駆体、
・Mo(=NSiHMe)(OMe)(OEt)である前駆体、
・Mo(=NSiMe)(=NtBu)(OMe)である前駆体、
・Mo(=NSiMe)(=NtBu)(OEt)である前駆体、
・Mo(=NSiMe)(=NiPr)(OMe)である前駆体、
・Mo(=NSiMe)(=NiPr)(OEt)である前駆体、
・W(=NMe)(OMe)である前駆体、
・W(=NEt)(OEt)である前駆体、
・W(=NiPr)(OiPr)である前駆体、
・W(=NnPr)(OnPr)である前駆体、
・W(=NiBu)(OiBu)である前駆体、
・W(=NsBu)(OsBu)である前駆体、
・W(=NtBu)(OtBu)である前駆体、
・W(=NnBu)(OnBu)である前駆体、
・W(=NtAm)(OtAm)である前駆体、
・W(=NSiMe(OSiMeである前駆体、
・W(=NSiHMe(OSiHMeである前駆体、
・W(=NSiHMe)(OSiHMe)である前駆体、
・W(=NMe)(OtBu)である前駆体、
・W(=NEt)(OiPr)である前駆体、
・W(=NiPr)(OMe)である前駆体、
・W(=NiPr)(OEt)である前駆体、
・W(=NtBu)(OMe)である前駆体、
・W(=NtBu)(OEt)である前駆体、
・W(=NtAm)(OMe)である前駆体、
・W(=NtAm)(OEt)である前駆体、
・W(=NSiMe(OMe)(OEt)である前駆体、
・W(=NSiHMe(OMe)(OEt)である前駆体、
・W(=NSiHMe)(OMe)(OEt)である前駆体、
・W(=NSiMe)(=NtBu)(OMe)である前駆体、
・W(=NSiMe)(=NtBu)(OEt)である前駆体、
・W(=NSiMe)(=NiPr)(OMe)である前駆体、
・W(=NSiMe)(=NiPr)(OEt)である前駆体、
・式M(=O)(OR)を有する前駆体、
・H、Me、Et、nPr、iPr、tBu、sBu、iBu、nBu、tAmyl、SiMe、SiMeH、又はSiHMeからそれぞれ独立して選択されるR、
・それぞれ独立してiPr又はtBuであるR、
・Mo(=O)(OMe)である前駆体、
・Mo(=O)(OEt)である前駆体、
・Mo(=O)(OiPr)である前駆体、
・Mo(=O)(OnPr)である前駆体、
・Mo(=O)(OiBu)である前駆体、
・Mo(=O)(OnBu)である前駆体、
・Mo(=O)(OtBu)である前駆体、
・Mo(=O)(OsBu)である前駆体、
・Mo(=O)(OtAm)である前駆体、
・Mo(=O)(OMe)(OtBu)である前駆体、
・Mo(=O)(OiPr)(OtBu)である前駆体、
・Mo(=O)(OSiMeである前駆体、
・Mo(=O)(OSiHMeである前駆体、
・Mo(=O)(OSiMeHである前駆体、
・Mo(=O)(OiPr)(OSiMeである前駆体、
・W(=O)(OMe)である前駆体、
・W(=O)(OnPr)である前駆体、
・W(=O)(OiBu)である前駆体、
・W(=O)(OnBu)である前駆体、
・W(=O)(OsBu)である前駆体、
・W(=O)(OtAm)である前駆体、
・W(=O)(OMe)(OtBu)である前駆体、
・W(=O)(OiPr)(OtBu)である前駆体、
・W(=O)(OSiMeである前駆体、
・W(=O)(OSiHMeである前駆体、
・W(=O)(OSiMeHである前駆体、
・W(=O)(OiPr)(OSiMeである前駆体、
・式M(=O)(OR)を有する前駆体、
・H、Me、Et、nPr、iPr、tBu、sBu、iBu、nBu、tAmyl、SiMe、SiMeH、又はSiHMeからそれぞれ独立して選択されるR、
・それぞれ独立してiPr又はtBuであるR、
・Mo(=O)(OMe)である前駆体、
・Mo(=O)(OEt)である前駆体、
・Mo(=O)(OiPr)である前駆体、
・Mo(=O)(OnPr)である前駆体、
・Mo(=O)(OiBu)である前駆体、
・Mo(=O)(OnBu)である前駆体、
・Mo(=O)(OtBu)である前駆体、
・Mo(=O)(OsBu)である前駆体、
・Mo(=O)(OtAm)である前駆体、
・Mo(=O)(OMe)(OtBu)である前駆体、
・Mo(=O)(OiPr)(OtBu)である前駆体、
・Mo(=O)(OSiMeである前駆体、
・Mo(=O)(OSiHMeである前駆体、
・Mo(=O)(OSiMeHである前駆体、
・Mo(=O)(OiPr)(OSiMe)である前駆体、
・W(=O)(OMe)である前駆体、
・W(=O)(OEt)である前駆体、
・W(=O)(OnPr)である前駆体、
・W(=O)(OiPr)である前駆体、
・W(=O)(OiBu)である前駆体、
・W(=O)(OnBu)である前駆体、
・W(=O)(OsBu)である前駆体、
・W(=O)(OtBu)である前駆体、
・W(=O)(OtAm)である前駆体、
・W(=O)(OMe)(OtBu)である前駆体、
・W(=O)(OiPr)(OtBu)である前駆体、
・W(=O)(OSiMeである前駆体、
・W(=O)(OSiHMeである前駆体、
・W(=O)(OSiMeHである前駆体、
・W(=O)(OiPr)(OSiMe)である前駆体、
・ほぼ95%w/w〜ほぼ100%w/wの前駆体を含む組成物、
・ほぼ98%w/w〜ほぼ100%w/wの前駆体を含む組成物、
・ほぼ99%w/w〜ほぼ100%w/wの前駆体を含む組成物、
・ほぼ0.1%w/w〜ほぼ50%w/wの前駆体を含む組成物、
・ほぼ0原子%〜5原子%のM(OR)を含む組成物、
・ほぼ0原子%〜5原子%のM(=NR)Cl(OR)を含む組成物、
・ほぼ0ppmw〜200ppmのClを含む組成物、
・溶媒を更に含むこと、
・C1−C16炭化水素、THF、DMO、エーテル、ピリジン、及びこれらの組合せからなる群から選択される溶媒、
・C1−C16炭化水素である溶媒、
・テトラヒドロフラン(THF)である溶媒、
・シュウ酸ジメチル(DMO)である溶媒、
・エーテルである溶媒、
・ピリジンである溶媒、
・エタノールである溶媒、並びに
・イソプロパノールである溶媒。
また、基板における第6族遷移金属含有フィルムの蒸着のためのプロセスが開示される。前述で開示された第6族フィルム形成組成物が、反応器であって、その中に配置される基板を有する反応器に導入される。第6族遷移金属含有前駆体の少なくとも一部が、基板に対して蒸着されて第6族遷移金属含有フィルムを形成する。開示されたプロセスは、1つ以上の以下の態様を更に含むことができる:
・少なくとも1つの反応物を反応器に導入する工程、
・プラズマ処理されている反応物、
・遠隔プラズマ処理されている反応物、
・プラズマ処理されていない反応物、
・H、HCO、N、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、それらの水素ラジカル、及びそれらの混合物からなる群から選択される反応物、
・Hである反応物、
・NHである反応物、
・O、O、HO、H、NO、NO、NO、それらの酸素ラジカル、及びそれらの混合物からなる群から選択される反応物、
・HOである反応物、
・プラズマ処理されたOである反応物、
・Oである反応物、
・同時に反応器に導入される第6族フィルム形成組成物及び反応物、
・化学気相蒸着のために構成されている反応器、
・プラズマ化学気相蒸着のために構成されている反応器、
・チャンバーに順次導入される第6族フィルム形成組成物及び反応器、
・原子層蒸着のために構成されている反応器、
・プラズマ原子層蒸着のために構成されている反応器、
・空間原子層蒸着(spatial atomic layer deposition)のために構成されている反応器、
・純正な第6族遷移金属薄膜である第6族遷移金属含有フィルム、
・第6族遷移金属シリサイドである第6族遷移金属含有フィルム(MSi、式中、Mは第6族遷移金属あり、且つk及びlはそれぞれ、包括的に1〜6の範囲である整数である)、
・第6族遷移金属酸化物である第6族遷移金属含有フィルム(M、式中、Mは第6族遷移金属あり、且つn及びmはそれぞれ、包括的に1〜6の範囲である整数である)、
・MoO、MoO、W、WO、WO、又はWである第6族遷移金属含有フィルム、
・第6族遷移金属ニトリドである第6族遷移金属含有フィルム(M、式中、Mは第6族遷移金属あり、且つo及びpはそれぞれ、包括的に1〜6の範囲である整数である)、並びに
・MoN、MoN、MoN、WN、WN、又はWNである第6族遷移金属含有フィルム。
本発明の特性及び目的の更なる理解のために、添付の図面と合わせて以下の詳細な説明が参照されるべきであり、この場合、同類の要素が同一又は類似した参照番号で与えられる。
例示的なALD装置を概略的に示すブロック図である。 Mo(=NtBu)(OtBu)、Mo(=NtBu)(OiPr)、Mo(=NtBu)(OEt)、Mo(=NtBu)(OiPr)(NMe)、及びMo(=NtBu)(NMeの温度上昇における重量損失のパーセンテージを示す熱重量分析(TGA)グラフである。 100℃安定性試験を受ける前後での、Mo(=NtBu)(OtBu)の温度上昇における重量損失のパーセンテージを示すTGAグラフである。
M(=O)(NR 式I、
M(=O)(NR 式II、
M(=NR)(OR) 式III、
M(=O)(OR) 式IV、及び
M(=O)(OR) 式V
(式中、Mは、Mo又はWであり、且つRはそれぞれ独立して、H、C1〜C6アルキル基、又はSiR’であり、R’は、H又はC1〜C6アルキル基である)からなる群から選択される第6族遷移金属含有前駆体を含む、第6族フィルム形成組成物が開示される。
第6族遷移金属含有前駆体は、式I、M(=O)(NR(式中、Mは、Mo又はWであり、且つRはそれぞれ独立して、H、C1〜C6アルキル基、又はSiR’であり、R’は、H又はC1〜C6アルキル基である)を有することができる。好ましくは、Rはそれぞれ独立して、H、Me、Et、iPr、又はtBuである。
式Iの例示的なモリブデン前駆体としては、Mo(=O)(NMe、Mo(=O)(NMeEt)、Mo(=O)(NEt、Mo(=O)(NiPr、Mo(=O)(NnPr、Mo(=O)(NiBu、Mo(=O)(NnBu、Mo(=O)(NtBu、Mo(=O)(NsBu、Mo(=O)(NtAm、Mo(=O)(NMe(NtBu、Mo(=O)(NiPr(NtBu、Mo(=O)(N(SiMe、Mo(=O)(N(SiHMe、Mo(=O)(N(SiMeH、Mo(=O)(NHMe)、Mo(=O)(NHEt)、Mo(=O)(NHiPr)、Mo(=O)(NHnPr)、Mo(=O)(NHiBu)、Mo(=O)(NHnBu)、Mo(=O)(NHtBu)、Mo(=O)(NHsBu)、Mo(=O)(NHtAm)、Mo(=O)(NHMe)(NtBu、Mo(=O)(NiPr(NHtBu)、Mo(=O)(NHSiMe、Mo(=O)(NH(SiHMe))、Mo(=O)(NH(SiMeH))、Mo(=O)(NHiPr)(N(SiMe、及びMo(=O)(NiPr(N(SiMeが挙げられる。
式Iの例示的なタングステン前駆体としては、W(=O)(NMe、W(=O)(NMeEt)、W(=O)(NEt、W(=O)(NiPr、W(=O)(NnPr、W(=O)(NiBu、W(=O)(NnBu、W(=O)(NtBu、W(=O)(NsBu、W(=O)(NtAm、W(=O)(NMe(NtBu、W(=O)(NiPr(NtBu、W(=O)(N(SiMe、W(=O)(N(SiHMe、W(=O)(N(SiMeH、W(=O)(NHMe)、W(=O)(NHEt)、W(=O)(NHiPr)、W(=O)(NHnPr)、W(=O)(NHiBu)、W(=O)(NHnBu)、W(=O)(NHtBu)、W(=O)(NHsBu)、W(=O)(NHtAm)、W(=O)(NHMe)(NtBu、W(=O)(NiPr(NHtBu)、W(=O)(NHSiMe、W(=O)(NH(SiHMe))、W(=O)(NH(SiMeH))、W(=O)(NHiPr)(N(SiMe、及びW(=O)(NiPr(N(SiMeが挙げられる。
式Iの前駆体は、Inorganic Chemistry,Vol.26,No.18,1987に記載の通り合成され得る。より具体的には、M(=O)Clは、1当量のMeOHと反応することができ、その後、対応するアミン(LiNR又はNaNR)の4当量のLi又はNa塩と反応して、M(=O)(NRを生成することができる。
第6族遷移金属含有前駆体は、式II、M(=O)(NR(式中、Mは、Mo又はWであり、且つRはそれぞれ独立して、H、C1〜C6アルキル基、又はSiR’であり、R’は、H又はC1〜C6アルキル基である)を有することができる。好ましくは、Rはそれぞれ独立して、H、Me、Et、iPr、又はtBuである。
式IIの例示的なモリブデン前駆体としては、Mo(=O)(NMe、Mo(=O)(NMeEt)、Mo(=O)(NEt、Mo(=O)(NiPr、Mo(=O)(NnPr、Mo(=O)(NiBu、Mo(=O)(NnBu、Mo(=O)(NtBu、Mo(=O)(NsBu、Mo(=O)(NtAm、Mo(=O)(NMe)(NtBu)、Mo(=O)(NiPr)(NtBu)、Mo(=O)(N(SiMe、Mo(=O)(N(SiHMe、Mo(=O)(N(SiMeH、Mo(=O)(NHMe)、Mo(=O)(NHEt)、Mo(=O)(NHiPr)、Mo(=O)(NHnPr)、Mo(=O)(NHiBu)、Mo(=O)(NHnBu)、Mo(=O)(NHtBu)、Mo(=O)(NHsBu)、Mo(=O)(NHtAm)、Mo(=O)(NHMe)(NtBu)、Mo(=O)(NiPr)(NHtBu)、Mo(=O)(NHSiMe、Mo(=O)(NH(SiHMe))、Mo(=O)(NH(SiMeH))、Mo(=O)(NHiPr)(N(SiMe)、及びMo(=O)(NiPr)(N(SiMe)が挙げられる。
式IIの例示的なタングステン前駆体としては、W(=O)(NMe、W(=O)(NMeEt)、W(=O)(NEt、W(=O)(NiPr、W(=O)(NnPr、W(=O)(NiBu、W(=O)(NnBu、W(=O)(NtBu、W(=O)(NsBu、W(=O)(NtAm、W(=O)(NMe)(NtBu)、W(=O)(NiPr)(NtBu)、W(=O)(N(SiMe、W(=O)(N(SiHMe、W(=O)(N(SiMeH、W(=O)(NHMe)、W(=O)(NHEt)、W(=O)(NHiPr)、W(=O)(NHnPr)、W(=O)(NHiBu)、W(=O)(NHnBu)、W(=O)(NHtBu)、W(=O)(NHsBu)、W(=O)(NHtAm)、W(=O)(NHMe)(NtBu)、W(=O)(NiPr)(NHtBu)、W(=O)(NHSiMe、W(=O)(NH(SiHMe))、W(=O)(NH(SiMeH))、W(=O)(NHiPr)(N(SiMe)、及びW(=O)(NiPr)(N(SiMe)が挙げられる。
式IIの前駆体を、1当量のメタノールとM(=O)Clを反応させて、その後、対応するアミン(LiNR又はNaNR)の2当量のLi又はNa塩を反応させることによって合成して、M(=O)(NRを生成することができる。
第6族遷移金属含有前駆体は、式III、M(=NR)(OR)(式中、Mは、Mo又はWであり、且つRはそれぞれ独立して、H、C1〜C6アルキル基、又はSiR’であり、R’は、H又はC1〜C6アルキル基である)を有することができる。好ましくは、Rはそれぞれ独立して、H、Me、Et、iPr、tBu、又はtAmylである。
式IIIの例示的なモリブデン前駆体としては、Mo(=NMe)(OMe)、Mo(=NEt)(OEt)、Mo(=NiPr)(OiPr)、Mo(=NnPr)(OnPr)、Mo(=NiBu)(OiBu)、Mo(=NsBu)(OsBu)、Mo(=NtBu)(OtBu)、Mo(=NnBu)(OnBu)、Mo(=NtAm)(OtAm)、Mo(=NSiMe(OSiMe、Mo(=NSiHMe(OSiHMe、Mo(=NSiHMe)(OSiHMe)、Mo(=NMe)(OtBu)、Mo(=NEt)(OiPr)、Mo(=NiPr)(OMe)、Mo(=NiPr)(OEt)、Mo(=NiPr)(OsBu)、Mo(=NiPr)(OnBu)、Mo(=NiPr)(OiBu)、Mo(=NiPr)(OtBu)、Mo(=NiPr)(OtAmyl)、Mo(=NtBu)(OMe)、Mo(=NtBu)(OEt)、Mo(=NtBu)(OiPr)、Mo(=NtBu)(OnBu)、Mo(=NtBu)(OiBu)、Mo(=NtBu)(OsBu)、Mo(=NtBu)(OtAmyl)、Mo(=NtAm)(OMe)、Mo(=NtAm)(OEt)、Mo(=NtAm)(OiPr)、Mo(=NtAm)(OnBu)、Mo(=NtAm)(OtBu)、Mo(=NtAm)(OiBu)、Mo(=NtAm)(OsBu)、Mo(=NSiMe(OMe)(OEt)、Mo(=NSiHMe(OMe)(OEt)、Mo(=NSiHMe)(OMe)(OEt)、Mo(=NSiMe)(=NtBu)(OMe)、Mo(=NSiMe)(=NtBu)(OEt)、Mo(=NSiMe)(=NiPr)(OMe)、及びMo(=NSiMe)(=NiPr)(OEt)が挙げられる。
式IIIの例示的なタングステン前駆体としては、W(=NMe)(OMe)、W(=NEt)(OEt)、W(=NiPr)(OiPr)、W(=NnPr)(OnPr)、W(=NiBu)(OiBu)、W(=NsBu)(OsBu)、W(=NtBu)(OtBu)、W(=NnBu)(OnBu)、W(=NtAm)(OtAm)、W(=NSiMe(OSiMe、W(=NSiHMe(OSiHMe、W(=NSiHMe)(OSiHMe)、W(=NMe)(OtBu)、W(=NEt)(OiPr)、W(=NiPr)(OMe)、W(=NiPr)(OEt)、W(=NiPr)(OtBu)、W(=NiPr)(OnBu)、W(=NiPr)(OiBu)、W(=NiPr)(OsBu)、W(=NiPr)(OtAmyl)、W(=NtBu)(OMe)、W(=NtBu)(OEt)、W(=NtBu)(OiPr)、W(=NtBu)(OnBu)、W(=NtBu)(OiBu)、W(=NtBu)(OsBu)、W(=NtBu)(OtAmyl)、W(=NtAm)(OMe)、W(=NtAm)(OEt)、W(=NtAm)(OiPr)、W(=NtAm)(OnBu)、W(=NtAm)(OtBu)、W(=NtAm)(OiBu)、W(=NtAm)(OsBu)、W(=NSiMe(OMe)(OEt)、W(=NSiHMe(OMe)(OEt)、W(=NSiHMe)(OMe)(OEt)、W(=NSiMe)(=NtBu)(OMe)、W(=NSiMe)(=NtBu)(OEt)、W(=NSiMe)(=NiPr)(OMe)、及びW(=NSiMe)(=NiPr)(OEt)が挙げられる。
式IIIの前駆体は、Dalton Transactions(2003)(23)4457−4465に開示された方法に従って合成され得る。より具体的には、M(=NR)のエチレングリコールジエチルエーテル付加物は、LiOR’又はNaOR’と反応して、Mo(=NR)(OR’)を生成することができ、この場合、Xはハライドであり、且つR及びR’はともに独立して、前述で定義されたRであるが、区別されて、RとR’とのそれぞれが最終生成物に置かれることを示す。
第6族遷移金属含有前駆体は、式IV、M(=O)(OR)(式中、Mは、Mo又はWであり、且つRはそれぞれ独立して、H、C1〜C6アルキル基、又はSiR’であり、R’は、H又はC1〜C6アルキル基である)を有することができる。好ましくは、Rはそれぞれ独立して、iPr、tBu、sBu、又はtAmylである。
式IVの例示的なモリブデン前駆体としては、Mo(=O)(OMe)、Mo(=O)(OEt)、Mo(=O)(OiPr)、Mo(=O)(OnPr)、Mo(=O)(OiBu)、Mo(=O)(OnBu)、Mo(=O)(OtBu)、Mo(=O)(OsBu)、Mo(=O)(OtAm)、Mo(=O)(OMe)(OtBu)、Mo(=O)(OiPr)(OtBu)、Mo(=O)(OSiMe、Mo(=O)(OSiHMe、Mo(=O)(OSiMeH、及びMo(=O)(OiPr)(OSiMeが挙げられる。
式IVの例示的なタングステン前駆体としては、W(=O)(OMe)、W(=O)(OnPr)、W(=O)(OiBu)、W(=O)(OnBu)、W(=O)(OsBu)、W(=O)(OtAm)、W(=O)(OMe)(OtBu)、W(=O)(OiPr)(OtBu)、W(=O)(OSiMe、W(=O)(OSiHMe、W(=O)(OSiMeH、及びW(=O)(OiPr)(OSiMeが挙げられる。
式IVの前駆体は、Journal of the American Chemical Society(1981)103(5)1305−6で開示される方法に従って合成され得る。より具体的には、M(OR)は2当量のOと反応して、Mo(=O)(OR)を生成することができる。或いは、式IVの前駆体は、Organometallics 1982,1,148−155で開示された方法に従って合成され得る。より具体的には、M(=O)Clは、対応するアルコールの4当量のLi又はNa塩(LiOR又はNaOR(式中、Rは前述で定義される))と反応してM(=O)(OR)を生成することができる。
第6族遷移金属含有前駆体は、式V、M(=O)(OR)(式中、Mは、Mo又はWであり、且つRはそれぞれ独立して、H、C1〜C6アルキル基、又はSiR’であり、R’は、H又はC1〜C6アルキル基である)を有することができる。好ましくは、Rはそれぞれ独立して、tBu、sBu、iBu、又はtAmylである。
式Vの例示的なモリブデン前駆体としては、Mo(=O)(OMe)、Mo(=O)(OEt)、Mo(=O)(OiPr)、Mo(=O)(OnPr)、Mo(=O)(OiBu)、Mo(=O)(OnBu)、Mo(=O)(OtBu)、Mo(=O)(OsBu)、Mo(=O)(OtAm)、Mo(=O)(OMe)(OtBu)、Mo(=O)(OiPr)(OtBu)、Mo(=O)(OSiMe、Mo(=O)(OSiHMe、Mo(=O)(OSiMeH、及びMo(=O)(OtBu)(OSiMe)が挙げられる。
式Vの例示的なタングステン前駆体としては、W(=O)(OMe)、W(=O)(OEt)、W(=O)(OiPr)、W(=O)(OnPr)、W(=O)(OiBu)、W(=O)(OnBu)、W(=O)(OtBu)、W(=O)(OsBu)、W(=O)(OtAm)、W(=O)(OMe)(OtBu)、W(=O)(OiPr)(OtBu)、W(=O)(OSiMe、W(=O)(OSiHMe、W(=O)(OSiMeH、及びW(=O)(OtBu)(OSiMe)が挙げられる。
式Vの前駆体は、Inorganic Chemistry(1984),23(8),1021−37で開示される方法に従って合成され得る。より具体的には、M(OR)は、分子Oと反応して、M(=O)(OR)を生成することができる。或いは、式IIの前駆体は、Organometallics 1982,1,148−155で開示される方法に従って合成されることもできる。より具体的には、M(=O)Clは、対応するアルコール(Li−OR又はNa−OR)の2当量のリチウム又はナトリウム塩と反応して、Mo(=O)(OR)を生成することができる。別の代替形態において、式Vの前駆体は、Inorg.Chem.1989,28,1279−1283で開示される方法に従って合成されることもできる。より具体的には、M(=O)は、対応するテトラアルコキシシラン(Si(OR))と反応して、Mo(=O)(OR)を生成することができる。
開示された第6族フィルム形成組成物の純度は、好ましくは99.9%w/wを超える。開示された第6族遷移フィルム形成組成物は、以下の不純物:Mo(=NR)Cl(OR)(式中、Rは、前述で定義される)、アルキルアミン、ジアルキルアミン、アルキルイミン、アルコキシ、THF、エーテル、トルエン、塩素化金属化合物、リチウム若しくはナトリウムアルコキシ、又はリチウム若しくはナトリウムアミドのいずれかを含むことができる。好ましくは、これらの不純物の総量は、0.1%w/w未満である。昇華、蒸留、及び/又はガス又は液体を4Aモレキュラーシーブなどの適切な吸着剤に通すことにより、精製された生成物が生成することができる。
また、開示された第6族フィルム形成組成物は、ppbw(パーツパービリオンウェイト)レベルで金属不純物を含むことができる。これらの金属不純物としては、これらに限定されるものではないが、アルミニウム(Al)、ヒ素(As)、バリウム(Ba)、ベリリウム(Be)、ビスマス(Bi)、カドミウム(Cd)、カルシウム(Ca)、クロミウム(Cr)、コバルト(Co)、銅(Cu)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、ジルコニウム(Zr)、インジウム(In)、鉄(Fe)、鉛(Pb)、リチウム(Li)、マグネシウム(Mg)、マンガン(Mn)、タングステン(W)、ニッケル(Ni)、カリウム(K)、ナトリウム(Na)、ストロンチウム(Sr)、トリウム(Th)、スズ(Sn)、チタン(Ti)、ウラン(U)、バナジウム(V)、及び亜鉛(Zn)が挙げられる。
また、蒸着プロセスを用いて基板に第6族遷移金属含有層を形成する方法が開示される。この方法は、半導体、光電池、LCD−TFT、又はフラットパネル型デバイスの製造に有用であり得る。開示された第6族フィルム形成組成物を使用して、原子層蒸着又は化学気相蒸着などの当業者に周知の任意の蒸着法を用いて、第6族遷移金属含有薄膜フィルムを蒸着することができる。例示的なCVD法としては、熱CVD、プラズマCVD(PECVD)、パルスCVD(PCVD)、低圧CVD法(LPCVD)、低大気圧CVD(SACVD)、又は常圧CVD(APCVD)、熱線CVD(熱線が蒸着プロセスのためのエネルギー源として作用する、cat−CVDとしても知られるHWCVD)、ラジカル組み込みCVD(radicals incorporated CVD)、及びこれらの組合せが挙げられる。例示的なALD法としては、熱ALD、プラズマALD(PEALD)、空間隔離ALD(spatial isolation ALD)、熱線ALD(HWALD)、ラジカル組み込みALD、及びこれらの組合せが挙げられる。また、超臨界流体蒸着が使用され得る。適切なステップカバレッジ(step coverage)及びフィルム厚の制御をもたらすために、蒸着法は、好ましくはALD、PE−ALD、又は空間ALDである。
図1は、第6族遷移金属含有層を形成するために使用され得る蒸着装置の例を概略的に示すブロック図である。図1に示された装置は、反応器11、開示された第6族フィルム形成組成物のための供給源12、反応物のための供給源13(典型的には、酸素又はオゾンなどの酸化剤)、並びにキャリヤーガス及び/又は希釈ガスとして使用可能な不活性ガスのための供給源14を含む。基板装填及び非装填機構(図示せず)は、反応器11における蒸着基板の挿入及び除去を可能にする。開示された組成物の反応のために必要とされる反応温度に到達するために、加熱装置(図示せず)が設けられる。
第6族フィルム形成組成物供給源12は、バブラー法(bubbler method)を使用して、組成物を反応器11に導入することができ、且つラインL1によって不活性ガス供給源14に接続している。ラインL1は、遮断バルブV1、及びこのバルブの下流に、例えば、質量流量制御装置(mass flow controller)MFC1などの流速制御装置(flow rate controller)を備えている。組成物は、反応器11にラインL2を通してその供給源12から導入される。以下は、上流側に設けられている:圧力計PG1、遮断バルブV2、及び遮断バルブV3。
反応物供給源13は、ガス、液体、又は固体の形態における反応物を保持する容器を含む。反応物の蒸気は、反応器11にラインL3を通してその供給源13から導入される。遮断バルブV4は、ラインL3に設けられている。このラインL3は、ラインL2に接続している。
不活性ガス供給源14は、ガスの形態における不活性ガスを保持する容器を含む。不活性ガスは、反応器11にラインL4を通してその供給源から導入され得る。ラインL4は、上流側に以下を備えている:遮断バルブV6、質量流量制御装置MFC3、及び圧力計PG2。ラインL4は、遮断バルブV4から上流のラインL3と接続している。
ラインL5は、ラインL1における遮断バルブV1から上流で分岐しており、このラインL5は、遮断バルブV2と遮断バルブV3との間のラインL2に接続している。ラインL5は、遮断バルブV7、及び上流側から見なされる質量流量制御装置MFC4を備えている。
ラインL6は、反応チャンバー11に遮断バルブV3及びV4間で分岐している。このラインL6は、遮断バルブV8を備えている。
ポンプPMPに達するラインL7は、反応器11の底に設けられている。このラインL7は、上流側に以下を含む:圧力計PG3、背圧を制御するためのバタフライバルブBV、及び冷却トラップ15。この冷却トラップ15は、その円周にわたり冷却器(図示せず)を備える管(図示せず)を含み、且つタングステン前駆体及び関連した副生成物を収集することを目的とする。
反応器は、装置内の任意のエンクロージャー又はチャンバーであり得、この場合、これらに限定されるものではないが、化合物を反応させ層を形成させるための適切な条件の下で、平行板型反応器(parallel−plate type reactor)、冷壁型反応器(cold−wall type reactor)、熱壁型反応器(hot−wall type reactor)、単一ウェハー反応器(single−wafer reactor)、複数ウェハー反応器(multi−wafer reactor)、又はその他の型の蒸着システムなどの蒸着方法をとる。
反応器は、フィルムが蒸着される1つ以上の基板を含む。一般的には、基板は、プロセスが実行される材料として定義される。基板は、半導体、光電池、フラットパネル、又はLCD−TFTデバイス製造において使用される任意の適切な基板であり得る。適切な基板の例としては、シリコン、シリカ、ガラス、又はGaAsウェハーなどのウェハーが挙げられる。ウェハーは、前述の製造工程からそれに蒸着される異なる材料の1つ以上の層を有することができる。例えば、ウェハーとしては、シリコン層(結晶質、非晶質、多孔質等)、シリコン酸化物層、シリコン窒化物層、シリコンオキシナイトライド層、炭素ドープシリコン酸化物(SiCOH)層、又はこれらの組合せを挙げることができる。更に、ウェハーとしては、銅層又は貴金属層(例えば、プラチナ、パラジウム、ロジウム、又は金)を挙げることができる。ウェハーとしては、マンガン、マンガン酸化物等などのバリア層を挙げることができる。また、ポリ(3,4−エチレンジオキシチオフェン)ポリ(スチレンスルホナート)[PEDOT:PSS]などのプラスチック層を使用することができる。この層は、平面又はパターンであり得る。開示されたプロセスは、ウェハーに直接、又はウェハーの上部の1つ若しくは複数(パターン層が基板を形成する場合)の層に直接、第6族含有層を蒸着させることができる。更に、当業者は、本明細書において使用される「フィルム」又は「層」という用語は、表面にわたり敷設又は流延される特定の材料の厚さを意味し、且つ表面は、トレンチ又はラインであり得ることを認識するであろう。本明細書と特許請求の範囲とにわたり、ウェハー及びその上にある任意の関連した層が基板と称される。例えば、モリブデン酸化物フィルムは、TiN層に対して蒸着され得る。その後の処理において、ジルコニウム酸化物層は、モリブデン層に蒸着され得る、第2のモリブデン層は、ジルコニウム酸化物層に蒸着され得、且つTiN層は、第2のモリブデン層に蒸着され得、xは包括的に2〜3の範囲であるTiN/MoO/ZrO/MoO/TiNスタックを形成し、DRAMキャパシタにおいて使用される。
反応器内の温度及び圧力は、蒸着に適した条件で維持される。換言すれば、チャンバーへの蒸発した組成物の導入後、チャンバー内の条件は、蒸発した前駆体の少なくとも一部が基板に対して蒸着されて、第6族遷移金属含有フィルムを形成するものである。例えば、反応器の圧力は、必要に応じて、蒸着パラメーター当たり約1Pa〜約10Pa、より好ましくは約25Pa〜約10Paに維持され得る。同様に、反応器の温度は、約100℃〜約500℃、好ましくは約150℃〜約400℃に維持され得る。当業者は、「蒸発した前駆体の少なくとも一部が蒸着する」は、前駆体の一部又はすべてが基板と反応するか、又は基板に付着することを意味することを認識するであろう。
反応器の温度は、基板ホルダーの温度を制御することによって、又は反応器壁の温度を制御することによって制御され得る。基板を加熱するために使用される装置は、従来技術において知られている。反応器壁は、十分な成長速度で、且つ所望の物理的状態及び組成で、十分な温度まで加熱されて所望のフィルムを得る。反応器壁が加熱され得る非限定的な例示的温度範囲は、ほぼ100℃〜ほぼ500℃を含む。プラズマ蒸着プロセスが利用される場合、蒸着温度は、ほぼ150℃〜ほぼ400℃の範囲であり得る。或いは、熱プロセスが実施される場合、蒸着温度はほぼ200℃〜ほぼ500℃の範囲であり得る。
開示された第6族フィルム形成組成物は、ニートの形態で、又はエチルベンゼン、キシレン、メシチレン、デカン、ドデカンなどの適切な溶媒とのブレンドにおいて供給され得る。開示された組成物は、溶媒において濃度を変動させて存在することができる。
ニートの又はブレンドされた第6族フィルム形成組成物は、チュービング(tubing)又は流量メーターなどの従来の手段によって蒸気の形態で反応器に導入される。蒸気の形態の化合物は、直接蒸発、蒸留などの従来の蒸発工程を通して、ニートの若しくはブレンドされた化合物溶液を蒸発させることによって、又はバブリングによって、又はXu等へのPCT国際公開第2009/087609号パンフレットに開示されているものなどの昇華器を用いることによって生成され得る。ニートの又はブレンドされた組成物は、反応器に導入される前にそれが蒸発される蒸発器に、液体の形態において供給され得る。或いは、ニートの又はブレンドされた組成物は、組成物を含む容器にキャリヤーガスを通すことによって、又は組成物にキャリヤーガスをバブリングさせることによって蒸発され得る。キャリヤーガスとしては、これらに限定されるものではないが、Ar、He、N,及びこれらの混合物を挙げることができる。また、キャリヤーガスでのバブリングは、ニートの又はブレンドされた組成物に存在するいかなる溶解酸素も除去することができる。次いで、キャリヤーガス及び組成物は、蒸気として反応器に導入される。
必要に応じて、開示された組成物の容器は、組成物がその液相において存在し、且つ十分な蒸気圧を有することを可能にする温度まで加熱され得る。容器は、例えば、ほぼ0℃〜ほぼ150℃の範囲における温度で維持され得る。当業者は、容器の温度は、蒸発した組成物の量を制御する周知の方法において調整され得ることを認識する。
開示された組成物に加えて、反応物は反応器に導入されることもできる。反応物は、O、O、HO、H、NO、NO、NOの1つなどの酸化性気体、O・又はOH・などの酸素含有ラジカル、NO、NO、カルボン酸、ギ酸、酢酸、プロピオン酸、及びこれらの混合物であり得る。好ましくは、酸化性気体は、O、O、HO、H、O・又はOH・などのこれらの酸素含有ラジカル、及びこれらの混合物からなる群から選択される。
或いは、反応物は、H、HCO、NH、SiH、Si、Si、(CHSiH、(CSiH、(CH)SiH、(C)SiH、フェニルシラン、N、N(SiH、N(CH)H、N(C)H、N(CHH、N(CH、N(CH、N(C、(SiMeNH、(CH)HNNH、(CHNNH、フェニルヒドラジン、N含有分子、B、9−ボラビシクロ[3,3,1]ノナン、ジヒドロベンゼンフラン、ピラゾリン、トリメチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛、これらのラジカル種、及びこれらの混合物の1つなどの還元ガスであり得る。好ましくは、還元ガスとしては、H、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、これらの水素ラジカル、又はこれらの混合物である。
反応物をそのラジカル形態に分解するために、反応物は、プラズマによって処理され得る。また、プラズマで処理される場合、Nは還元ガスとして利用され得る。例えば、プラズマは、約50W〜約500W、好ましくは約100W〜約400Wの範囲の電力で発生され得る。プラズマは、反応器自体の中で発生され得るか、又は存在し得る。或いは、一般的には、例えば、遠隔に配置されたプラズマ系において、プラズマは、反応器から除去される位置にあり得る。当業者は、こうしたプラズマ処理に適する方法と装置とを認識するであろう。
例えば、反応物は、直接プラズマ反応器(direct plasma reactor)に導入され得、これは、反応チャンバーにおいてプラズマを発生して、反応チャンバーにおけるプラズマ処理反応物を生成する。例示的な直接プラズマ反応器は、Trion Technologiesによって作製されたTitan(商標)PECVD Systemを含む。反応物は、プラズマ処理前に反応チャンバーに導入されて保持され得る。或いは、プラズマ処理は、反応物の導入と同時になされ得る。典型的には、インサイチュプラズマは、シャワーヘッドと基板ホルダーとの間に発生する13.56MHzのRF誘導結合プラズマである。基板又はシャワーヘッドは、陽性イオン衝撃が発生するかに依存する電力供給電極であり得る。インサイチュプラズマ発生器における典型的な印加電力は、ほぼ30W〜ほぼ1000Wである。好ましくは、ほぼ30W〜ほぼ600Wの電力が、開示された方法において使用される。より好ましくは、電力はほぼ100W〜ほぼ500Wの範囲である。典型的には、インサイチュプラズマを使用する反応物の解離は、同一の電力インプットのための遠隔プラズマ源を使用して実現されるものより少なく、従って、反応物解離において、遠隔プラズマシステムほど効率的ではなく、これは、プラズマによって容易に損傷される基板における第6族遷移金属含有フィルムの蒸着にとって有益であり得る。
或いは、プラズマ処理反応物は、反応チャンバーの外で生成され得る。MKS InstrumentsのASTRONi(登録商標)反応性ガス発生器を使用して、反応チャンバーへの通過前に反応物を処理することができる。2.45GHz、7kWのプラズマ電力、及びほぼ0.5トール〜ほぼ10トールの範囲の圧力で操作され、反応物Oは、2つのO・ラジカルに分解され得る。好ましくは、遠隔プラズマは、約1kW〜約10kW、より好ましくは約2.5kW〜約7.5kWの範囲の電力で発生され得る。
チャンバー内の蒸着条件は、開示された組成物及び反応物を反応させ、基板における第6族遷移金属含有フィルムを形成することを可能にする。いくつかの実施形態において、本出願人らは、反応物をプラズマ処理することが、開示された前駆体と反応するために必要なエネルギーを反応物にもたらすことができると考えている。
いずれのタイプのフィルムが蒸着されるのが望ましいかに依って、更なる前駆体が、反応器に導入され得る。前駆体を使用して、第6族遷移金属含有フィルムに更なる元素をもたらすことができる。更なる元素としては、ランタニド(イッテルビウム、エルビウム、ジスプロシウム、ガドリニウム、プラセオジム、セリウム、ランタン、イットリウム)、ジルコニウム、ゲルマニウム、シリコン、チタン、マンガン、ルテニウム、ビスマス、鉛、マグネシウム、アルミニウム、又はこれらの混合物を挙げることができる。更なる前駆体化合物が利用される場合、基板に蒸着された得られたフィルムは、少なくとも1つの更なる元素と組み合わされた第6族遷移金属を含む。
第6族薄膜形成組成物と反応物とが同時に(化学気相蒸着)、順次に(原子層蒸着)、又はこれらの異なる組合せで反応器に導入され得る。反応器は、組成物の導入と反応物の導入との間の不活性ガスによって置換され得る。或いは、反応物及び組成物は、ともに混合されて反応物/組成物混合物を形成し、次いで混合物の形態で反応器に導入され得る。別の例は、反応物を連続して導入し、パルス(パルス化学気相蒸着)によって第6族フィルム形成組成物を導入することである。
蒸発した組成物及び反応物は、反応器に順次又は同時に(例えば、パルスCVD)パルス化され得る。パルスはそれぞれ、約0.01秒〜約10秒、又は約0.3秒〜約3秒、又は約0.5秒〜約2秒の範囲の期間の間、継続され得る。別の実施形態において、反応物は反応器にパルス化されることもできる。このような実施形態において、それぞれのガスのパルスは、約0.01秒〜約10秒、又は約0.3秒〜約3秒、又は約0.5秒〜約2秒の範囲の期間の間、継続され得る。別の代替形態において、蒸発した組成物及び反応物は、いくつかのウェハーを保持するサセプタが回転する(空間ALD)シャワーヘッドから同時に吹き付けられることができる。
特定のプロセスパラメーターに応じて、蒸着は、様々な長さの時間にわたってなされ得る。一般的には、必要な特性を有するフィルムを生成するのに望ましい又は必要である限り、蒸着は継続され得る。特定の蒸着プロセスに応じて、典型的なフィルムの厚さは、数オングストロームから数百ミクロンまで変動することができる。所望のフィルムを得ることが必要である回数だけ蒸着プロセスが実施され得る。
1つの非限定的な例示的CVD型プロセスにおいて、開示された第6族フィルム形成組成物及び反応物の蒸気相は、反応器に同時に導入される。この2つは反応して、得られた第6族遷移金属含有薄膜を形成する。この例示的CVDプロセスにおける反応物がプラズマで処理される場合、例示的CVDプロセスは、例示的PECVDプロセスになる。反応物は、チャンバーへの導入の前後にプラズマで処理され得る。
1つの非限定的な例示的ALD型プロセスにおいて、開示された第6族フィルム形成組成物の蒸気相は、反応器に導入され、この場合、適切な基板と接触する。次いで、過剰な組成物は、反応器を置換及び/又は真空にすることによって反応器から除去され得る。所望のガス(例えば、H)が反応器に導入され、この場合、自己制御式方法で吸着された組成物と反応する。任意の過剰な還元ガスは、反応器を置換及び/又は真空にすることによって反応器から除去される。所望のフィルムが第6族遷移金属フィルムである場合、この2工程プロセスは、所望のフィルム厚を提供することができるか、又は必要な厚さを有するフィルムが得られるまで繰り返され得る。
或いは、所望のフィルムが第6族遷移金属及び第2の元素を含む場合、前述の2工程プロセス後に、反応器への更なる前駆体の蒸気の導入が行われることができる。更なる前駆体は、蒸着されている第6族遷移金属フィルムの性質に基づいて選択されることになる。反応器への導入後、更なる前駆体は、基板と接触する。任意の過剰な前駆体は、反応器を置換及び/又は真空にすることによって反応器から除去される。再度、所望のガスは、反応器に導入されて、吸着された前駆体と反応することができる。過剰なガスは、反応器を置換及び/又は真空にすることによって反応器から除去される。所望のフィルム厚が実現された場合、プロセスは終了することができる。しかしながら、より厚いフィルムが要求される場合、すべての4工程プロセスが繰り返され得る。第6族フィルム形成組成物の条件を代えることにより、更なる前駆体、及び反応物、所望の組成物及び厚さのフィルムが蒸着され得る。
この例示的ALDプロセスにおける反応物が、プラズマで処理される場合、例示的ALDプロセスは、例示的PEALDプロセスになる。反応物は、チャンバーへの導入の前後にプラズマで処理され得る。
第2の非限定的な例示的ALD型プロセスにおいて、例えば、モリブデンジ−テルトブチルイミドジ−テルトブトキシド[Mo(=NtBu)(OtBu)]など、開示された第6族フィルム形成組成物の1つの蒸気相は、反応器に導入され、この場合、TiN基板と接触する。次いで、過剰な組成物は、反応器を置換及び/又は真空にすることによって反応器から除去され得る。自己制御式方法において吸収された前駆体と反応してモリブデン酸化物フィルムを形成する反応器に、所望のガス(例えば、O)が導入される。任意の過剰な酸化性ガスは、反応器を置換及び/又は真空にすることによって反応器から除去される。モリブデン酸化物フィルムが、所望の厚さ、典型的には約10オングストロームを得るまで、これらの2つの工程は繰り返され得る。次いで、ZrOは、MoOフィルム(式中、xは包括的に2〜3である)に蒸着され得る。例えば、ZrCp(NMeは、Zr前駆体として作用することができる。次いで、Mo(=NtBu)(OtBu)及びオゾンを使用した前述の第2の非限定的な例示的ALDプロセスは、ZrO層において繰り返され得、その後、MoO層におけるTiNの蒸着が続く。得られたTiN/MoO/ZrO/MoO/TiNスタックは、DRAMキャパシタにおいて使用され得る。
前述のプロセスから得られた第6族遷移金属含有フィルムとしては、純正な第6族遷移金属(M=Mn又はW)、第6族遷移金属シリサイド(MSi)、第6族遷移金属酸化物(M)、第6族遷移金属ニトリド(M)フィルム、第6族遷移金属カーバイド(M)フィルム、又は第6族遷移金属カルボニトリド(MC)(式中、k、l、m、n、o、p、q、及びrは、包括的に1〜6の範囲の整数である)を挙げることができる。適切な開示された第6族フィルム形成組成物、任意の前駆体、及び反応物の公正な選択により、所望のフィルム組成物を得ることができることを当業者は認識するであろう。
所望のフィルム厚を得る際に、フィルムは、熱アニーリング、炉アニーリング、迅速な熱アニーリング、UV又は電子ビーム硬化、及び/又はプラズマガス暴露などの更なる処理を受けることができる。当業者は、これらの更なる処理工程を実施するために利用されるシステム及び方法を認識する。例えば、第6族遷移金属含有フィルムは、不活性雰囲気、水素含有雰囲気、窒素含有雰囲気、酸素含有雰囲気、又はこれらの組合せの下で、ほぼ0.1秒〜ほぼ7200秒の範囲の時間、ほぼ200℃〜ほぼ1000℃の範囲の温度に曝露され得る。最も好ましくは、温度は、水素含有雰囲気又は酸素含有雰囲気の下で3600秒間、400℃である。得られたフィルムは、より少ない不純物を含むことができ、従って、密度が向上することができ、漏れ電流の向上をもたらす。アニーリング工程は、蒸着プロセスが実施される同一の反応チャンバーにおいて実施され得る。或いは、基板は、離れた装置において実施されるアニーリング/フラッシュアニーリングプロセスを用いて、反応チャンバーから取り除かれることができる。前述の後処理方法のいずれかであるが、特に熱アニーリングが、第6族遷移金属含有フィルムの炭素及び窒素の混濁を減少させるのに効果的であることが判明している。その結果、このことは、フィルムの抵抗性を向上させるのに役立つ。
アニーリング後、開示されたプロセスのいずれかによって蒸着されたタングステン含有フィルムは、ほぼ5.5μohm.cm〜ほぼ70μohm.cm、好ましくはほぼ5.5μohm.cm〜ほぼ20μohm.cm、及びより好ましくはほぼ5.5μohm.cm〜ほぼ12μohm.cmの室温でのバルク抵抗性を有することができる。アニーリング後、開示されたプロセスのいずれかによって蒸着されたモリブデン含有フィルムは、ほぼ50μohm.cm〜ほぼ1,000μohm.cmの室温でのバルク抵抗性を有することができる。室温は、季節に応じて、ほぼ20℃〜ほぼ28℃である。バルク抵抗性は、体積抵抗性としても知られている。当業者は、バルク抵抗性は、典型的にはほぼ50nmの厚さであるW又はMoフィルムにおいて室温で測定されることを認識するであろう。典型的には、バルク抵抗性は、電子輸送機構の変化のため、より薄いフィルムにおいて増加する。バルク抵抗性は、より高い温度でも増加する。
別の代替形態において、開示された第6族フィルム形成組成物は、ドーピング剤又は注入剤として使用され得る。開示された組成物の一部は、インジウム酸化物(In)フィルム、バナジウム二酸化物(VO)フィルム、チタニウム酸化物フィルム、銅酸化物フィルム、又はスズ二酸化物(SnO)フィルムなど、ドープされるフィルムの上部において蒸着され得る。次いで、モリブデン又はタングステンは、アニーリング工程の間、フィルムに拡散されて、モリブデンドープフィルム{(Mo)In、(Mo)VO、(Mo)TiO、(Mo)CuO、又は(Mo)SnO}、又はタングステンドープフィルム{(W)In、(W)VO、(W)TiO、(W)CuO、若しくは(W)SnO}を形成する。例えば、Lavoie等への米国特許出願公開第2008/0241575号明細書を参照されたく、これのドーピング方法は、本明細書にその全体が参照により援用される。或いは、エネルギー可変型の高周波四重極インプランター(variable energy radio frequency quadrupole implanter)を用いた高エネルギーイオン注入を使用して、開示された組成物のモリブデン又はタングステンをフィルムにドープすることができる。例えば、Kensuke et al.,JVSTA 16(2)Mar/Apr 1998を参照されたく、これの注入方法は、本明細書にその全体が参照により援用される。別の代替形態において、プラズマドーピング、パルスプラズマドーピング、又はプラズマ浸積イオン注入が、開示された組成物を使用して実施され得る。例えば、Felch et al.,Plasma doping for the fabrication of ultra−shallow junctions Surface Coatings Technology,156(1−3)2002,pp.229−236を参照されたく、これのドーピング方法は、本明細書にその全体が参照により援用される。
以下の非限定的な実施例は、本発明の実施形態を更に例示するために提供される。しかしながら、実施例は、完全に包括的であることを意図せず、本明細書に記載の本発明の範囲を限定することを意図しない。
合成例1:Mo(=NtBu)(OiPr)
MoCl(=NtBu)を機械的攪拌下で0℃において、1モル当量のNaMoOを700mLのジメチルエーテルと混合することによって合成した。4モル当量のNEtを10分間にわたり混合物に滴下した。滴下漏斗は、100mLのジメチルエーテルで濯ぎ、これを混合物に加えた。9モル当量のSiMeClを1時間にわたり混合物に滴下した。滴下漏斗を100mLのジメチルエーテルで濯ぎ、これを混合物に加えた。2モル当量のtBuNHを30分間にわたり混合物に滴下した。室温(ほぼ23℃)で一晩の後、得られた黄色の懸濁物を10時間で70℃まで加熱した。懸濁物を、室温に冷却して濾過した。溶媒を真空下で取り除き、得られた金色の粉末をペンタンで洗浄した。
1モル当量のMoCl(=NtBu)を機械的攪拌下で−78℃においてテトラヒドロフラン(THF)と混合した。THFに溶解した2モル当量のLi(OiPr)を混合物に滴下した。室温で一晩の後、溶媒を真空下で取り除いた。得られた生成物をペンタンで濯ぎ濾過した。溶媒を減圧蒸留下で取り除き、粗生成物を減圧蒸留によって精製した。Mo(=NtBu)(OiPr)は、金色の液体であった。開放式熱重量分析(TGA)グラフを図2に示す。1トールでの蒸気圧は91℃である。
合成例2:Mo(=NtBu)(OtBu)
1モル当量のMoCl(=NtBu)を機械的攪拌下で−78℃においてテトラヒドロフラン(THF)と混合した。THFに溶解した2.8モル当量のLi(OtBu)を混合物に滴下した。室温で一晩の後、溶媒を真空下で取り除いた。得られた生成物を500mLのペンタンで濯ぎ濾過した。溶媒を減圧蒸留下で取り除き、粗生成物を減圧蒸留によって精製した。生成したMo(=NtBu)(OiPr)は、黄色の油であった。開放式TGAグラフを図2に示す。1トールでの蒸気圧は93℃である。
H−NMRδ:9.00ppm(s、9H、N−C−(CH)、9.28ppm(s、9H、O−C−(CH)。
Mo(=NtBu)(OtBu)の安定性を、7週間にわたり乾燥100℃ヒーターに試料を置いて試験した。生成物は、ごく僅かに濃くなったが、図3に示すようにTGAを介して残留物の増加はなかった。
合成例3:Mo(=NtBu)(OEt)
1モル当量のMo(=NtBu)(NMeを機械的攪拌下で−78℃においてテトラヒドロフラン(THF)と混合した。2モル当量のEtOHを混合物に滴下した。室温で一晩の後、溶媒を真空下で取り除き、得られたオレンジ色の油を減圧蒸留によって精製した。生成した精製されたMo(=NtBu)(OEt)は、茶色のワックスであった。開放式TGAグラフを図2に示す。1トールでの蒸気圧は129℃である。
合成例4:Mo(=O)(N(SiMe
1モル当量のMoCl(=O)を機械的攪拌下で−78℃においてエーテルと混合した。エーテルに溶解した2モル当量のNa(N(SiMeを混合物に滴下した。室温で一晩の後、得られた生成物を濾過し、減圧蒸留によって精製した。生成したMo(=O)(N(SiMeは、黄色に着色した液体であった。開放式TGAグラフを図2に示す。
比較合成例:Mo(=NtBu)(NMe
1モル当量のMoCl(=NtBu)を機械的攪拌下で−78℃においてテトラヒドロフラン(THF)と混合した。THFに溶解した2モル当量のLi(NMeを混合物に滴下した。室温で一晩の後、溶媒を真空下で取り除いた。得られた生成物を300mLのペンタンで濯ぎ濾過した。溶媒を減圧蒸留下で取り除き、粗生成物を減圧蒸留によって精製した。生成したMo(=NtBu)(OiPr)は、オレンジ色の液体であった。開放式TGAグラフを図2に示す。1トールでの蒸気圧は77℃である。
実施例1
図1に示される典型的なALDシステムを使用して、モリブデン酸化物フィルムのALD蒸着を実施した。反応器の圧力及び温度は、それぞれ0.356トール及び250℃に維持した。Mo(=NtBu)(OtBu)源を、75℃に維持した容器に保存した。前駆体を1、5、又は7秒間にわたり85sccmのアルゴンキャリヤーガスを用いて反応器に送達し、次いで30秒アルゴン置換した。次いで500sccmのO反応物を1秒間にわたり反応器に送達し、次いで30秒アルゴン置換した。得られたMoOフィルムをほぼ0.4Å/サイクルの速度で蒸着した。得られたMoOフィルムは、X線光電子分光法(XPS)によって決定される、ほぼ24%のMo、73%のO、2%のN、及び1%未満のCを含んだ。得られたフィルムのX線回折は、Mo(+4)を示し、これは、前駆体がMo(+6)であることから驚くべきことである。Mo(+6)は、強力なO酸化剤の存在下でMo(+4)に還元してはならない。本出願人は、いくつかの金属Mo(0)が、場合により無給電(parasitic)CVDモードで蒸着され得るとも考えており、これは、Mo(+6)と反応し、Mo(+4)に還元する。MoOフィルムは、場合によりルチル相により、フィルムの仕事関数を減少させることができ、DRAMスタックにおいてより低い漏れ電流をもたらすことができる。
本発明の性質を説明するために本明細書において記載且つ示される材料、工程、及び部品の配置などの詳細にわたる多くの更なる変更形態は、添付の特許請求の範囲において表される本発明の原理及び範囲内において当業者によってなされ得ることを理解されよう。このように、本発明が、前述の実施例及び/又は添付の図における特定の実施形態に限定されることは意図されない。

Claims (10)

  1. M(=O)(NR 式II、及び
    M(=NR)(OR) 式III
    (式中、Mは、Moであり、且つ前記式III中のRはそれぞれ独立して、H又はC1〜C6アルキル基であり前記式II中のRはそれぞれ独立してSiR’であり、R’は、H又はC1〜C6アルキル基である)からなる群から選択される第6族遷移金属含有前駆体を含む、気相薄膜蒸着によるフィルム形成に用いるための第6族フィルム形成組成物。
  2. 前記前駆体は、式M(=NR)(OR)を有する、請求項に記載の第6族フィルム形成組成物。
  3. Rはそれぞれ独立して、Me、Et、nPr、iPr、nBu、iBu、sBu、及びtBuからなる群から選択される、請求項に記載の第6族フィルム形成組成物。
  4. 前記前駆体は、Mo(=NtBu)(OtBu) 、Mo(=NtBu) (OiPr) 、Mo(=NtBu) (OEt) 、Mo(=O) (N(SiMe 、及びそれらの組合せからなる群から選択される、請求項に記載の第6族フィルム形成組成物。
  5. 請求項1〜のいずれか一項に記載の第6族フィルム形成組成物の蒸気を、反応器であって、その中に配置される基板を有する反応器に導入する工程と、前記基板に対して前記第6族遷移金属含有前駆体の少なくとも一部を蒸着させる工程とを含む、基板に第6族遷移金属含有フィルムを蒸着させる方法。
  6. 少なくとも1つの反応物を前記反応器に導入する工程を更に含む、請求項に記載の方法。
  7. 前記反応物は、H、HCO、N、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、それらの水素ラジカル、及びそれらの混合物からなる群から選択される、請求項に記載の方法。
  8. 前記反応物は、O、O、HO、H、NO、NO、NO、それらの酸素ラジカル、及びそれらの混合物からなる群から選択される、請求項に記載の方法。
  9. 前記第6族フィルム形成組成物及び前記反応物は、同時に前記反応器に導入され、且つ前記反応器は、化学気相蒸着のために構成される、請求項に記載の方法。
  10. 前記第6族フィルム形成組成物及び前記反応物は、前記反応器に順次導入され、且つ前記反応器は、原子層蒸着のために構成される、請求項に記載の方法。
JP2017506427A 2014-08-14 2015-08-11 第6族遷移金属含有フィルムの蒸着のための第6族フィルム形成組成物 Active JP6670824B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462037469P 2014-08-14 2014-08-14
US62/037,469 2014-08-14
PCT/JP2015/004031 WO2016024407A1 (en) 2014-08-14 2015-08-11 Group 6 film forming compositions for vapor deposition of group 6 transition metal-containing films

Publications (2)

Publication Number Publication Date
JP2017525156A JP2017525156A (ja) 2017-08-31
JP6670824B2 true JP6670824B2 (ja) 2020-03-25

Family

ID=55304042

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017506427A Active JP6670824B2 (ja) 2014-08-14 2015-08-11 第6族遷移金属含有フィルムの蒸着のための第6族フィルム形成組成物

Country Status (5)

Country Link
US (4) US10094021B2 (ja)
JP (1) JP6670824B2 (ja)
KR (2) KR102443752B1 (ja)
TW (1) TWI656232B (ja)
WO (1) WO2016024407A1 (ja)

Families Citing this family (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
WO2019213604A1 (en) 2018-05-03 2019-11-07 Lam Research Corporation Method of depositing tungsten and other metals in 3d nand structures
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11021793B2 (en) 2018-05-31 2021-06-01 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Group 6 transition metal-containing compositions for vapor deposition of group 6 transition metal-containing films
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN113169056A (zh) 2018-11-19 2021-07-23 朗姆研究公司 用于钨的钼模板
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202108217UA (en) 2019-01-28 2021-08-30 Lam Res Corp Deposition of metal films
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
EP3931367A4 (en) * 2019-02-27 2022-11-09 Entegris, Inc. GROUP VI PRECURSOR COMPOUNDS
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
WO2020185618A1 (en) 2019-03-11 2020-09-17 Lam Research Corporation Precursors for deposition of molybdenum-containing films
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
WO2020247237A1 (en) * 2019-06-05 2020-12-10 Versum Materials Us, Llc New group v and vi transition metal precursors for thin film deposition
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
JP2022544674A (ja) * 2019-08-22 2022-10-20 ラム リサーチ コーポレーション 半導体装置の製造における実質的に炭素を含まないモリブデン含有膜およびタングステン含有膜
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
WO2021146623A1 (en) * 2020-01-16 2021-07-22 Entegris, Inc. Method for etching or deposition
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210119809A (ko) * 2020-03-25 2021-10-06 삼성전자주식회사 몰리브덴 화합물과 이를 이용한 집적회로 소자의 제조 방법
EP3889319A1 (en) 2020-04-01 2021-10-06 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk Onderzoek TNO Controlled deposition of a functional material onto a target surface
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
EP3893611A1 (en) 2020-04-07 2021-10-13 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk Onderzoek TNO Controlled deposition method of a donor material onto a target surface and plate therefor
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20230212746A1 (en) * 2020-06-10 2023-07-06 3M Innovative Properties Company Roll-to-roll vapor deposition apparatus and method
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4178967A4 (en) * 2020-07-09 2024-09-25 Entegris Inc GROUP VI PRECURSOR COMPOUNDS
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
CN112125931B (zh) * 2020-10-12 2023-08-04 安徽敦茂新材料科技有限公司 双(叔丁基胺)双(二甲基胺)钨(vi)的合成方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11854813B2 (en) 2021-02-24 2023-12-26 Applied Materials, Inc. Low temperature deposition of pure molybenum films
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240301548A1 (en) * 2023-03-02 2024-09-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Molybdenum imido alkyl/allyl complexes for deposition of molybdenum-containing films

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3920751A (en) 1967-11-28 1975-11-18 Rhone Poulenc Sa Process for the preparation of ethylenic carbonyl compounds
JPS6136292A (ja) 1984-07-30 1986-02-20 Nippon Soda Co Ltd タングステンオキシアルコキシド化合物およびその製造方法ならびにエレクトロクロミツク表示素子の製造方法
US5639900A (en) 1993-12-29 1997-06-17 Metton America, Inc. Thermally activated olefin metathesis catalyst precursor
AUPP027497A0 (en) 1997-11-07 1997-12-04 Sustainable Technologies Australia Limited Preparation of metal alkoxides
US7560581B2 (en) 2002-07-12 2009-07-14 President And Fellows Of Harvard College Vapor deposition of tungsten nitride
DE102006000823A1 (de) 2006-01-05 2007-07-12 H. C. Starck Gmbh & Co. Kg Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)
US20080119098A1 (en) * 2006-11-21 2008-05-22 Igor Palley Atomic layer deposition on fibrous materials
KR101629965B1 (ko) * 2007-04-09 2016-06-13 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 구리 배선용 코발트 질화물층 및 이의 제조방법
JP5730670B2 (ja) * 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
US8574997B2 (en) 2011-06-06 2013-11-05 Intermolecular, Inc. Method of using a catalytic layer to enhance formation of a capacitor stack
WO2013046155A1 (en) * 2011-09-27 2013-04-04 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Tungsten diazabutadiene precursors, their synthesis, and their use for tungsten containing film depositions
KR20140075024A (ko) * 2012-11-20 2014-06-19 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알칼리 금속 디아자부타디엔 화합물 및 알칼리 금속-함유 필름 침착을 위한 그의 용도
US8815695B2 (en) 2012-12-27 2014-08-26 Intermolecular, Inc. Methods to improve leakage for ZrO2 based high K MIM capacitor
US9395593B2 (en) 2013-03-15 2016-07-19 Kinestral Technologies, Inc. Electrochromic lithium nickel group 6 mixed metal oxides

Also Published As

Publication number Publication date
KR20220124283A (ko) 2022-09-13
US20200199749A1 (en) 2020-06-25
US20220018026A1 (en) 2022-01-20
US10094021B2 (en) 2018-10-09
KR20170042297A (ko) 2017-04-18
WO2016024407A1 (en) 2016-02-18
US20170268107A1 (en) 2017-09-21
US10731251B2 (en) 2020-08-04
TWI656232B (zh) 2019-04-11
KR102443752B1 (ko) 2022-09-15
US11162175B2 (en) 2021-11-02
US20180355484A1 (en) 2018-12-13
JP2017525156A (ja) 2017-08-31
US11549182B2 (en) 2023-01-10
KR102536435B1 (ko) 2023-05-26
TW201612354A (en) 2016-04-01

Similar Documents

Publication Publication Date Title
JP6670824B2 (ja) 第6族遷移金属含有フィルムの蒸着のための第6族フィルム形成組成物
US9938303B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications
US20160002786A1 (en) Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US20170044664A1 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10106887B2 (en) Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US10174423B2 (en) Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
US9663547B2 (en) Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US10309010B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US9868753B2 (en) Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
US10106568B2 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
WO2014118751A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US9790247B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
WO2014118750A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20170214

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170214

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190716

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190917

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200302

R150 Certificate of patent or registration of utility model

Ref document number: 6670824

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250