KR102443752B1 - 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물 - Google Patents

6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물 Download PDF

Info

Publication number
KR102443752B1
KR102443752B1 KR1020177005001A KR20177005001A KR102443752B1 KR 102443752 B1 KR102443752 B1 KR 102443752B1 KR 1020177005001 A KR1020177005001 A KR 1020177005001A KR 20177005001 A KR20177005001 A KR 20177005001A KR 102443752 B1 KR102443752 B1 KR 102443752B1
Authority
KR
South Korea
Prior art keywords
precursor
group
film
ntbu
reactor
Prior art date
Application number
KR1020177005001A
Other languages
English (en)
Other versions
KR20170042297A (ko
Inventor
클레멘트 랜슬렛-마트라스
줄리앙 리프라지
크리스틴 더스사렛
안토니 콜라스
김종민
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority to KR1020227029719A priority Critical patent/KR102536435B1/ko
Publication of KR20170042297A publication Critical patent/KR20170042297A/ko
Application granted granted Critical
Publication of KR102443752B1 publication Critical patent/KR102443752B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

6족 막 형성 조성물로서,
[화학식 I] M(=O)(NR2)4
[화학식 II] M(=O)2(NR2)2, 및
[화학식 III] M(=NR)2(OR)2
(식 중, M은 Mo 또는 W이고, 각각의 R은 독립적으로 H, C1 내지 C6 알킬기, 또는 SiR'3이다(R'은 H 또는 C1 내지 C6 알킬기이다))로 구성된 군으로부터 선택되는 6족 전이 금속-함유 전구체를 포함하는 조성물이 개시된다. 또한, 증착 공정을 통해 기재 상에 6족 전이 금속-함유 막을 침착하기 위한 개시된 조성물의 합성 및 이용 방법이 개시된다.

Description

6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물{GROUP 6 FILM FORMING COMPOSITIONS FOR VAPOR DEPOSITION OF GROUP 6 TRANSITION METAL-CONTAINING FILMS}
6족 전이 금속-함유 전구체를 포함하는 6족 막 형성 조성물이 개시된다. 또한, 증착 공정을 통해 기재 상에 6족 전이 금속-함유 막을 침착하기 위한 개시된 전구체의 합성 및 이용 방법이 개시된다.
<관련 출원에 대한 상호 참조>
본 출원은 본원에 그 전문이 모든 목적에 대해 참조로 포함되는, 2014년 8월 14일에 출원된 미국 가출원 일련 번호 62/037,469의 이익을 청구한다.
텅스텐은 나노-소자의 제작을 위해 유용한 여러 상이한 적용을 갖는다. 순수 텅스텐의 침착은 트랜지스터 소스 및 드레인을 접촉시키는 정공("접촉 정공")을 충진하고 연속 금속층 간 비아를 충진하기 위해 이용될 수 있다. 상기 접근은 "텅스텐 플러그" 공정으로 알려져 있다. 텅스텐의 이용은 WF6을 이용하여 침착된 막의 우수한 특성으로 인해 개발될 수 있다. 그러나, Ti/TiN과 같은 접착/배리어층을 제공하여 불소에 의한 공격으로부터 하부 Si를 보호하고 이산화 규소에 대한 텅스텐의 접착을 확보하는 것이 필요하다.
규화 텅스텐은 폴리실리콘 게이트 상부에서 이용되어 게이트 라인의 전도성을 증가시키고 이에 따라 트랜지스터 속도를 증가시킬 수 있다. 상기 접근은 DRAM 제작에서 널리 알려져 있고, 여기서 게이트는 또한 회로에 대한 워드 라인이다. WF6 및 SiH4가 이용될 수 있지만, 디클로로실란(SiCl2H2)이 더 높은 침착 온도를 허용하고 이에 따라 침착된 막에서 더 낮은 불소 농도를 생성하므로, 실리콘원으로서 더 일반적으로 채용된다.
질화 텅스텐(WNx)은 미세전자 회로에서 구리의 확산에 대한 우수한 배리어로 간주된다. WNx는 박막 커패시터 및 전계-효과 트랜지스터를 위한 전극에서도 이용될 수 있다.
산화 몰리브덴은 DRAM 커패시터를 위해 박층으로 이용될 수 있다. 예로, Elpida의 US2012/309162 또는 US2014/187015를 참고하라. 산화 몰리브덴층은 ZrO2층의 침착 전에 TiN층 상에 침착될 수 있다. 이어서 산화 몰리브덴층은 ZrO2층의 침착 속도를 증가시키는 것을 도울 수 있다. 산화 몰리브덴층은 산화 몰리브덴층 상에 침착된 ZrO2층 및 TiN층 상에 침착되어 TiN/MoOx/ZrO2/MoOx/TiN 적층물을 생성할 수 있다. 적층물 내 산화 몰리브덴층은 누설 전류를 감소시킬 수 있다.
통전 소자는 전압이 적용되는 경우, 이들의 광학적 특성, 본질적으로 이들의 투과도가 변하는 광전화학 시스템이다. 결과적으로, 광전화학 시스템은 비제한적으로 스마트 윈도우, 선루프, 차양, 햇빛 가리개 또는 후방 시야 미러, 자동차용 평판 패널 디스플레이, 건축용, 디스플레이, 및 광전 적용, 예컨대 천창, 패널 디스플레이, 수족관, 광 파이프 및 다른 광전 소자용 광 필터 및 스크린을 포함하는 여러 적용에서 이용될 수 있다. 전이 금속 옥사이드는 무기 통전 물질로 이용되어 왔다. 전이 금속 옥사이드 중에서, n-형 반도체인 삼산화 텅스텐, WO3은 가시광선 및 적외선 영역에서의 그 통전 특성, 높은 색상 효율, 및 상대적으로 낮은 가격으로 인해 가장 널리 연구된 물질 중 하나이다. WO3의 색상은 이것이 음극성 분극 하에서 환원되는 경우 투명 또는 노란색에서 진청색으로 변한다.
유기 발광 다이오드(OLED) 소자에는 전압이 적용되는 경우 특정한 파장 범위에서의 광 방출이 관여된다. 소자 신뢰도를 개선하기 위한 주요 파라미터 중 하나인 작동 전압을 감소시키기 위해 OLED 내 양극 및 음극에서 전극 계면 개질층으로서의 전이 금속 옥사이드의 이용도 보고되었다. 이러한 전이 금속 옥사이드 중에서, 양극 완충층으로서의 산화 텅스텐 또는 산화 몰리브덴은 가시광선 영역에서의 매우 높은 투명성 및 유기 분자와의 에너지 수준 매칭과 같은 장점을 제공한다[Applied Physics Letters, 2007, 91, 113506].
JP07-292079는 화학식 M(Y)(OR2)x(R3)y(X)zLs(식 중 M은 Mo 또는 W이며; Y는 =O 또는 =NR1이고; R1, R2, 및 R3은 알킬, 사이클로알킬, 사이클로알케닐, 폴리사이클로알킬, 폴리사이클로알케닐, 할로알킬, 할로아랄킬, (비)치환 아랄킬, Si를 함유하는 방향족기이고; X=할로겐; L=루이스 염기; s=0 또는 1; x+y+z=4; 및 y>1이다)를 갖는 복분해 촉매 전구체를 개시한다. 촉매 전구체는 M(Y)(OR2)4, 예컨대 W(=O)(OCH2tBu)4로부터 합성된다.
Chisholm 등은 몰리브덴의 옥소 알콕사이드의 제조 및 특성규명을 개시한다[Inorganic Chemistry (1984) 23(8) 1021-37].
Kinestral Technologies Inc.의 WO2014/143410은 리튬, 니켈, 및 Mo, W로부터 선택되는 6족 금속 및 이들의 조합을 포함하는 양극성 통전층을 포함하는 다층 통전 구조를 개시한다. 문헌[Abstract. Para 0107]은 6족 금속에 대한 원료(시작) 물질이 (RO)4MO일 수 있음을 개시한다.
문헌[David Baxter et al. Chemical Communications (1996), (10), 1129-1130]은 산화 텅스텐 통전막의 저압 CVD를 위한 휘발성 전구체인 상이한 텅스텐(VI) 옥소 알콕사이드 및 텅스텐(VI) 옥소 알콕사이드 β-디케토네이트 복합체의 이용을 기재한다. 그러나, 분자는 고체이거나, 효과적으로 정제하기 어렵거나, 상대적으로 많은 수의 합성 단계로 인해 제조하기에 고가일 수 있다.
Sustainable Technologies Australia Ltd.의 WO99/23865는 WOCl4, 알코올 및 암모니아로부터 텅스텐(VI) 옥소-테트라-알콕사이드[WO(OR)4]의 합성이 불용성 텅스텐-함유 화합물을 생성함을 개시한다. WO99/23865는 과량의 암모니아가 침전된 텅스텐 화합물을 용해시키기 위해 첨가될 수 있지만, 수득되는 최종 산화 텅스텐이 통전 적용을 위한 막으로서 적합하지 않음을 개시한다.
문헌[M Baxter et al. Chemical Vapor Deposition (2001), 7(5), 219-224]도 H2O와 100-150C에서 WO3 물질을 형성하기 위해 조합된 자가-증발에 의한 W(=O)(OtBu)4의 이용을 기재한다.
문헌[J.M. Bell et al.]은 W(=O)(OnBu)4를 이용하는 통전 소자로부터의 산화 텅스텐막의 제조를 기재한다[Solar Energy Materials and Solar Cells, 2001, 68, 239].
Dmitry V. Peryshkov 및 Richard R. Schrock은 W(=O)Cl4 및 Li(OtBu)로부터 W(=O)(OtBu)4의 제조를 기재한다[Organometallics 2012, 31, 72787286].
Parkin 등은 유리 상 기능적 코팅의 CVD를 문헌[Chemical Vapour Deposition: Precursors, Processes and Applications]의 제10장에 개시한다. 섹션 10.4.3은 WO(OR)4(식 중, R=Me, Et, iPr, 및 Bu이다)와 같은 몇몇 텅스텐 알콕사이드, 옥소 알콕사이드, 및 아릴 옥사이드가 연구되었음을 개시한다. Parkin 등은 이들 전구체가 제2 산화 전구체가 필요 없이, 단일원 전구체를 제공함을 주지한다. Parkin 등은 전구체가 낮은 휘발성의 어려움을 겪음을 주지한다.
US 7,560,581B2는 구리 배리어 확산 적용을 위해 플라즈마를 이용하거나 이용하지 않고 ALD 방식으로 질화 텅스텐을 생성하기 위한 비스-알킬이미도 비스-디알킬아미노 텅스텐 전구체의 이용을 개시한다.
Miikkulainen 등은 Mo(NR)2(NR'2)2 전구체를 이용하는 ALD 침착을 개시한다[Chem Mater. (2007), 19, 263-269; Chem. Vap. Deposition (2008) 14, 71-77]. Chiu 등은 Mo(NtBu)2(NHtBu)2를 이용하는 MoN의 CVD 침착을 개시한다[J. Mat. Res. 9 (7), 1994, 1622-1624].
고온에서 두께 및 조성이 제어되는 증기상 박막 침착에 적합한 신규한 액체 또는 저용융점(<50℃), 고도 열안정성, 6족 전구체 분자에 대한 개발 필요성이 존재한다.
표기 및 명명법
특정 약어, 기호, 및 용어가 하기 기재 및 청구범위에 걸쳐 이용되며, 다음이 포함된다.
본원에서 이용되는 "6족"은 Cr, Mo, 및 W를 함유하는, 주기율표 6족을 나타낸다.
본원에서 이용되는 부정 관사는 하나 이상을 의미한다.
본원에서 이용되는 "대략" 또는 "약"이라는 용어는 언급된 값의 ±10%를 의미한다.
본원에서 이용되는 "독립적으로"라는 용어는 R기를 설명하는 맥락에서 이용되는 경우 대상 R기가 동일하거나 상이한 아래첨자 또는 위첨자를 보유하는 다른 R기에 대해 독립적으로 선택될 뿐만 아니라 또한 동일한 R기의 임의의 추가 종에 대해서도 독립적으로 선택됨을 표시하는 것으로 이해되어야 한다. 예를 들어 화학식 MR1 x(NR2R3)(4-x)(식 중, x는 2 또는 3이다)에서, 2개 또는 3개의 R1기는 서로 또는 R2에 대해 또는 R3에 대해 동일할 수 있지만 그래야 하는 것은 아니다. 또한, 구체적으로 달리 언급되지 않는 한, R기의 값은 상이한 화학식에서 이용되는 경우 서로 독립적인 것으로 이해되어야 한다.
본원에서 이용되는 "알킬기"라는 용어는 배타적으로 탄소 및 수소 원자를 함유하는 포화 작용기를 나타낸다. 또한, "알킬기"라는 용어는 선형, 분기형, 또는 고리형 알킬기를 나타낸다. 선형 알킬기의 예에는 비제한적으로 메틸기, 에틸기, 프로필기, 부틸기 등이 포함된다. 분기형 알킬기의 예에는 비제한적으로 t-부틸이 포함된다. 고리형 알킬기의 예에는 비제한적으로 사이클로프로필기, 사이클로펜틸기, 사이클로헥실기 등이 포함된다.
본원에서 이용되는 약어 "Me"는 메틸기를 나타내며; 약어 "Et"은 에틸기를 나타내고; 약어 "Pr"은 프로필기를 나타내고; 약어 "nPr"은 "노르말" 또는 선형 프로필기를 나타내고; 약어 "iPr"은 이소프로필기를 나타내고; 약어 "Bu"은 부틸기를 나타내고; 약어 "nBu"은 "노르말" 또는 선형 부틸기를 나타내고; 약어 "tBu"은 1,1-디메틸에틸로도 알려져 있는 tert-부틸기를 나타내고; 약어 "sBu"은 1-메틸프로필로도 알려져 있는 sec-부틸기를 나타내고; 약어 "iBu"은 2-메틸프로필로도 알려져 있는 이소-부틸기를 나타내고; 약어 "아밀"은 아밀 또는 펜틸기를 나타내고; 약어 "tAmyl"은 1,1-디메틸프로필로도 알려져 있는 tert-아밀기를 나타낸다.
원소 주기율표로부터의 원소의 표준 약어가 본원에서 이용된다. 원소를 이들 약어로 나타낼 수 있음이 이해되어야 한다(예로, Mn은 망간을 나타내고, Si는 규소를 나타내고, C는 탄소를 나타내는 등이다).
[과제의 해결 수단]
6족 막 형성 조성물로서,
[화학식 I] M(=O)(NR2)4
[화학식 II] M(=O)2(NR2)2
[화학식 III] M(=NR)2(OR)2
[화학식 IV] M(=O)(OR)4, 및
[화학식 V] M(=O)2(OR)2
(식 중, M은 Mo 또는 W이고, 각각의 R은 독립적으로 H, C1 내지 C6 알킬기, 또는 SiR'3이다(R'은 H 또는 C1 내지 C6 알킬기이다))로 구성된 군으로부터 선택되는 6족 전이 금속-함유 전구체를 포함하는 조성물이 개시된다. 개시된 전구체에는 하나 이상의 하기 양태가 포함될 수 있다:
* M은 Mo이며;
* M은 W이고;
* 전구체는 화학식 M(=O)(NR2)4를 가지고;
* 각각의 R은 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, 또는 SiH2Me로부터 선택되고;
* 각각의 R은 독립적으로 H, Me, Et, iPr, 또는 tBu로부터 선택되고;
* 전구체는 Mo(=O)(NMe2)4이고;
* 전구체는 Mo(=O)(NMeEt)4이고;
* 전구체는 Mo(=O)(NEt2)4이고;
* 전구체는 Mo(=O)(NiPr2)4이고;
* 전구체는 Mo(=O)(NnPr2)4이고;
* 전구체는 Mo(=O)(NiBu2)4이고;
* 전구체는 Mo(=O)(NnBu2)4이고;
* 전구체는 Mo(=O)(NtBu2)4이고;
* 전구체는 Mo(=O)(NsBu2)4이고;
* 전구체는 Mo(=O)(NtAm2)4이고;
* 전구체는 Mo(=O)(NMe2)2(NtBu2)2이고;
* 전구체는 Mo(=O)(NiPr2)2(NtBu2)2이고;
* 전구체는 Mo(=O)(N(SiMe3)2)4이고;
* 전구체는 Mo(=O)(N(SiHMe2)2)4이고;
* 전구체는 Mo(=O)(N(SiMeH2)2)4이고;
* 전구체는 Mo(=O)(NHMe)4이고;
* 전구체는 Mo(=O)(NHEt)4이고;
* 전구체는 Mo(=O)(NHiPr)4이고;
* 전구체는 Mo(=O)(NHnPr)4이고;
* 전구체는 Mo(=O)(NHiBu)4이고;
* 전구체는 Mo(=O)(NHnBu)4이고;
* 전구체는 Mo(=O)(NHtBu)4이고;
* 전구체는 Mo(=O)(NHsBu)4이고;
* 전구체는 Mo(=O)(NHtAm)4이고;
* 전구체는 Mo(=O)(NHMe)2(NtBu2)2이고;
* 전구체는 Mo(=O)(NiPr2)2(NHtBu)2이고;
* 전구체는 Mo(=O)(NHSiMe3)4이고;
* 전구체는 Mo(=O)(NH(SiHMe2))4이고;
* 전구체는 Mo(=O)(NH(SiMeH2))4이고;
* 전구체는 Mo(=O)(NHiPr)2(N(SiMe3)2)2이고;
* 전구체는 Mo(=O)(NiPr2)2(N(SiMe3)2)2이고;
* 전구체는 W(=O)(NMe2)4이고;
* 전구체는 W(=O)(NMeEt)4이고;
* 전구체는 W(=O)(NEt2)4이고;
* 전구체는 W(=O)(NiPr2)4이고;
* 전구체는 W(=O)(NnPr2)4이고;
* 전구체는 W(=O)(NiBu2)4이고;
* 전구체는 W(=O)(NnBu2)4이고;
* 전구체는 W(=O)(NtBu2)4이고;
* 전구체는 W(=O)(NsBu2)4이고;
* 전구체는 W(=O)(NtAm2)4이고;
* 전구체는 W(=O)(NMe2)2(NtBu2)2이고;
* 전구체는 W(=O)(NiPr2)2(NtBu2)2이고;
* 전구체는 W(=O)(N(SiMe3)2)4이고;
* 전구체는 W(=O)(N(SiHMe2)2)4이고;
* 전구체는 W(=O)(N(SiMeH2)2)4이고;
* 전구체는 W(=O)(NHMe)4이고;
* 전구체는 W(=O)(NHEt)4이고;
* 전구체는 W(=O)(NHiPr)4이고;
* 전구체는 W(=O)(NHnPr)4이고;
* 전구체는 W(=O)(NHiBu)4이고;
* 전구체는 W(=O)(NHnBu)4이고;
* 전구체는 W(=O)(NHtBu)4이고;
* 전구체는 W(=O)(NHsBu)4이고;
* 전구체는 W(=O)(NHtAm)4이고;
* 전구체는 W(=O)(NHMe)2(NtBu2)2이고;
* 전구체는 W(=O)(NiPr2)2(NHtBu)2이고;
* 전구체는 W(=O)(NHSiMe3)4이고;
* 전구체는 W(=O)(NH(SiHMe2))4이고;
* 전구체는 W(=O)(NH(SiMeH2))4이고;
* 전구체는 W(=O)(NHiPr)2(N(SiMe3)2)2이고;
* 전구체는 W(=O)(NiPr2)2(N(SiMe3)2)2이고;
* 전구체는 화학식 M(=O)2(NR2)2를 가지고;
* 전구체는 Mo(=O)2(NMe2)2이고;
* 전구체는 Mo(=O)2(NMeEt)2이고;
* 전구체는 Mo(=O)2(NEt2)2이고;
* 전구체는 Mo(=O)2(NiPr2)2이고;
* 전구체는 Mo(=O)2(NnPr2)2이고;
* 전구체는 Mo(=O)2(NiBu2)2이고;
* 전구체는 Mo(=O)2(NnBu2)2이고;
* 전구체는 Mo(=O)2(NtBu2)2이고;
* 전구체는 Mo(=O)2(NsBu2)2이고;
* 전구체는 Mo(=O)2(NtAm2)2이고;
* 전구체는 Mo(=O)2(NMe2)(NtBu2)이고;
* 전구체는 Mo(=O)2(NiPr2)(NtBu2)이고;
* 전구체는 Mo(=O)2(N(SiMe3)2)2이고;
* 전구체는 Mo(=O)2(N(SiHMe2)2)2이고;
* 전구체는 Mo(=O)2(N(SiMeH2)2)2이고;
* 전구체는 Mo(=O)2(NHMe)2이고;
* 전구체는 Mo(=O)2(NHEt)2이고;
* 전구체는 Mo(=O)2(NHiPr)2이고;
* 전구체는 Mo(=O)2(NHnPr)2이고;
* 전구체는 Mo(=O)2(NHiBu)2이고;
* 전구체는 Mo(=O)2(NHnBu)2이고;
* 전구체는 Mo(=O)2(NHtBu)2이고;
* 전구체는 Mo(=O)2(NHsBu)2이고;
* 전구체는 Mo(=O)2(NHtAm)2이고;
* 전구체는 Mo(=O)2(NHMe)(NtBu2)이고;
* 전구체는 Mo(=O)2(NiPr2)(NHtBu)이고;
* 전구체는 Mo(=O)2(NHSiMe3)2이고;
* 전구체는 Mo(=O)2(NH(SiHMe2))2이고;
* 전구체는 Mo(=O)2(NH(SiMeH2))2이고;
* 전구체는 Mo(=O)2(NHiPr)(N(SiMe3)2)이고;
* 전구체는 Mo(=O)2(NiPr2)(N(SiMe3)2)이고;
* 전구체는 W(=O)2(NMe2)2이고;
* 전구체는 W(=O)2(NMeEt)2이고;
* 전구체는 W(=O)2(NEt2)2이고;
* 전구체는 W(=O)2(NiPr2)2이고;
* 전구체는 W(=O)2(NnPr2)2이고;
* 전구체는 W(=O)2(NiBu2)2이고;
* 전구체는 W(=O)2(NnBu2)2이고;
* 전구체는 W(=O)2(NtBu2)2이고;
* 전구체는 W(=O)2(NsBu2)2이고;
* 전구체는 W(=O)2(NtAm2)2이고;
* 전구체는 W(=O)2(NMe2)(NtBu2)이고;
* 전구체는 W(=O)2(NiPr2)(NtBu2)이고;
* 전구체는 W(=O)2(N(SiMe3)2)2이고;
* 전구체는 W(=O)2(N(SiHMe2)2)2이고;
* 전구체는 W(=O)2(N(SiMeH2)2)2이고;
* 전구체는 W(=O)2(NHMe)2이고;
* 전구체는 W(=O)2(NHEt)2이고;
* 전구체는 W(=O)2(NHiPr)2이고;
* 전구체는 W(=O)2(NHnPr)2이고;
* 전구체는 W(=O)2(NHiBu)2이고;
* 전구체는 W(=O)2(NHnBu)2이고;
* 전구체는 W(=O)2(NHtBu)2이고;
* 전구체는 W(=O)2(NHsBu)2이고;
* 전구체는 W(=O)2(NHtAm)2이고;
* 전구체는 W(=O)2(NHMe)(NtBu2)이고;
* 전구체는 W(=O)2(NiPr2)(NHtBu)이고;
* 전구체는 W(=O)2(NHSiMe3)2이고;
* 전구체는 W(=O)2(NH(SiHMe2))2이고;
* 전구체는 W(=O)2(NH(SiMeH2))2이고;
* 전구체는 W(=O)2(NHiPr)(N(SiMe3)2)이고;
* 전구체는 W(=O)2(NiPr2)(N(SiMe3)2)이고;
* 전구체는 화학식 M(=NR)2(OR)2를 가지고;
* 전구체는 Mo(=NMe)2(OMe)2이고;
* 전구체는 Mo(=NEt)2(OEt)2이고;
* 전구체는 Mo(=NiPr)2(OiPr)2이고;
* 전구체는 Mo(=NnPr)2(OnPr)2이고;
* 전구체는 Mo(=NiBu)2(OiBu)2이고;
* 전구체는 Mo(=NsBu)2(OsBu)2이고;
* 전구체는 Mo(=NtBu)2(OtBu)2이고;
* 전구체는 Mo(=NnBu)2(OnBu)2이고;
* 전구체는 Mo(=NtAm)2(OtAm)2이고;
* 전구체는 Mo(=NSiMe3)2(OSiMe3)2이고;
* 전구체는 Mo(=NSiHMe2)2(OSiHMe2)2이고;
* 전구체는 Mo(=NSiH2Me)2(OSiH2Me)2이고;
* 전구체는 Mo(=NMe)2(OtBu)2이고;
* 전구체는 Mo(=NEt)2(OiPr)2이고;
* 전구체는 Mo(=NiPr)2(OMe)2이고;
* 전구체는 Mo(=NiPr)2(OEt)2이고;
* 전구체는 Mo(=NiPr)2(OtBu)2이고;
* 전구체는 Mo(=NiPr)2(OsBu)2이고;
* 전구체는 Mo(=NiPr)2(OiBu)2이고;
* 전구체는 Mo(=NiPr)2(OnBu)2이고;
* 전구체는 Mo(=NiPr)2(OtAmyl)2이고;
* 전구체는 Mo(=NiPr)2(OSiMe3)2이고;
* 전구체는 Mo(=NtBu)2(OMe)2이고;
* 전구체는 Mo(=NtBu)2(OEt)2이고;
* 전구체는 Mo(=NtBu)2(OiPr)2이고;
* 전구체는 Mo(=NtBu)2(OsBu)2이고;
* 전구체는 Mo(=NtBu)2(OiBu)2이고;
* 전구체는 Mo(=NtBu)2(OnBu)2이고;
* 전구체는 Mo(=NtBu)2(OtAmyl)2이고;
* 전구체는 Mo(=NtAm)2(OMe)2이고;
* 전구체는 Mo(=NtAm)2(OEt)2이고;
* 전구체는 Mo(=NtAm)2(OiPr)2이고;
* 전구체는 Mo(=NtAm)2(OtBu)2이고;
* 전구체는 Mo(=NtAm)2(OsBu)2이고;
* 전구체는 Mo(=NtAm)2(OiBu)2이고;
* 전구체는 Mo(=NtAm)2(OnBu)2이고;
* 전구체는 Mo(=NSiMe3)2(OMe)(OEt)이고;
* 전구체는 Mo(=NSiHMe2)2(OMe)(OEt)이고;
* 전구체는 Mo(=NSiH2Me)2(OMe)(OEt)이고;
* 전구체는 Mo(=NSiMe3)(=NtBu)(OMe)2이고;
* 전구체는 Mo(=NSiMe3)(=NtBu)(OEt)2이고;
* 전구체는 Mo(=NSiMe3)(=NiPr)(OMe)2이고;
* 전구체는 Mo(=NSiMe3)(=NiPr)(OEt)2이고;
* 전구체는 W(=NMe)2(OMe)2이고;
* 전구체는 W(=NEt)2(OEt)2이고;
* 전구체는 W(=NiPr)2(OiPr)2이고;
* 전구체는 W(=NnPr)2(OnPr)2이고;
* 전구체는 W(=NiBu)2(OiBu)2이고;
* 전구체는 W(=NsBu)2(OsBu)2이고;
* 전구체는 W(=NtBu)2(OtBu)2이고;
* 전구체는 W(=NnBu)2(OnBu)2이고;
* 전구체는 W(=NtAm)2(OtAm)2이고;
* 전구체는 W(=NSiMe3)2(OSiMe3)2이고;
* 전구체는 W(=NSiHMe2)2(OSiHMe2)2이고;
* 전구체는 W(=NSiH2Me)2(OSiH2Me)2이고;
* 전구체는 W(=NMe)2(OtBu)2이고;
* 전구체는 W(=NEt)2(OiPr)2이고;
* 전구체는 W(=NiPr)2(OMe)2이고;
* 전구체는 W(=NiPr)2(OEt)2이고;
* 전구체는 W(=NtBu)2(OMe)2이고;
* 전구체는 W(=NtBu)2(OEt)2이고;
* 전구체는 W(=NtAm)2(OMe)2이고;
* 전구체는 W(=NtAm)2(OEt)2이고;
* 전구체는 W(=NSiMe3)2(OMe)(OEt)이고;
* 전구체는 W(=NSiHMe2)2(OMe)(OEt)이고;
* 전구체는 W(=NSiH2Me)2(OMe)(OEt)이고;
* 전구체는 W(=NSiMe3)(=NtBu)(OMe)2이고;
* 전구체는 W(=NSiMe3)(=NtBu)(OEt)2이고;
* 전구체는 W(=NSiMe3)(=NiPr)(OMe)2이고;
* 전구체는 W(=NSiMe3)(=NiPr)(OEt)2이고;
* 전구체는 화학식 M(=O)(OR)4를 가지고;
* 각각의 R은 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, 또는 SiH2Me로부터 선택되고;
* 각각의 R은 독립적으로 iPr 또는 tBu이고;
* 전구체는 Mo(=O)(OMe)4이고;
* 전구체는 Mo(=O)(OEt)4이고;
* 전구체는 Mo(=O)(OiPr)4이고;
* 전구체는 Mo(=O)(OnPr)4이고;
* 전구체는 Mo(=O)(OiBu)4이고;
* 전구체는 Mo(=O)(OnBu)4이고;
* 전구체는 Mo(=O)(OtBu)4이고;
* 전구체는 Mo(=O)(OsBu)4이고;
* 전구체는 Mo(=O)(OtAm)4이고;
* 전구체는 Mo(=O)(OMe)2(OtBu)2이고;
* 전구체는 Mo(=O)(OiPr)2(OtBu)2이고;
* 전구체는 Mo(=O)(OSiMe3)4이고;
* 전구체는 Mo(=O)(OSiHMe2)4이고;
* 전구체는 Mo(=O)(OSiMeH2)4이고;
* 전구체는 Mo(=O)(OiPr)2(OSiMe3)2이고;
* 전구체는 W(=O)(OMe)4이고;
* 전구체는 W(=O)(OnPr)4이고;
* 전구체는 W(=O)(OiBu)4이고;
* 전구체는 W(=O)(OnBu)4이고;
* 전구체는 W(=O)(OsBu)4이고;
* 전구체는 W(=O)(OtAm)4이고;
* 전구체는 W(=O)(OMe)2(OtBu)2이고;
* 전구체는 W(=O)(OiPr)2(OtBu)2이고;
* 전구체는 W(=O)(OSiMe3)4이고;
* 전구체는 W(=O)(OSiHMe2)4이고;
* 전구체는 W(=O)(OSiMeH2)4이고;
* 전구체는 W(=O)(OiPr)2(OSiMe3)2이고;
* 전구체는 화학식 M(=O)2(OR)2를 가지고;
* 각각의 R은 독립적으로 H, Me, Et, nPr, iPr, tBu, sBu, iBu, nBu, tAmyl, SiMe3, SiMe2H, 또는 SiH2Me로부터 선택되고;
* 각각의 R은 독립적으로 iPr 또는 tBu이고;
* 전구체는 Mo(=O)2(OMe)2이고;
* 전구체는 Mo(=O)2(OEt)2이고;
* 전구체는 Mo(=O)2(OiPr)2이고;
* 전구체는 Mo(=O)2(OnPr)2이고;
* 전구체는 Mo(=O)2(OiBu)2이고;
* 전구체는 Mo(=O)2(OnBu)2이고;
* 전구체는 Mo(=O)2(OtBu)2이고;
* 전구체는 Mo(=O)2(OsBu)2이고;
* 전구체는 Mo(=O)2(OtAm)2이고;
* 전구체는 Mo(=O)2(OMe)(OtBu)이고;
* 전구체는 Mo(=O)2(OiPr)(OtBu)이고;
* 전구체는 Mo(=O)2(OSiMe3)2이고;
* 전구체는 Mo(=O)2(OSiHMe2)2이고;
* 전구체는 Mo(=O)2(OSiMeH2)2이고;
* 전구체는 Mo(=O)2(OiPr)(OSiMe3)이고;
* 전구체는 W(=O)2(OMe)2이고;
* 전구체는 W(=O)2(OEt)2이고;
* 전구체는 W(=O)2(OnPr)2이고;
* 전구체는 W(=O)2(OiPr)2이고;
* 전구체는 W(=O)2(OiBu)2이고;
* 전구체는 W(=O)2(OnBu)2이고;
* 전구체는 W(=O)2(OsBu)2이고;
* 전구체는 W(=O)2(OtBu)2이고;
* 전구체는 W(=O)2(OtAm)2이고;
* 전구체는 W(=O)2(OMe)(OtBu)이고;
* 전구체는 W(=O)2(OiPr)(OtBu)이고;
* 전구체는 W(=O)2(OSiMe3)2이고;
* 전구체는 W(=O)2(OSiHMe2)2이고;
* 전구체는 W(=O)2(OSiMeH2)2이고;
* 전구체는 W(=O)2(OiPr)(OSiMe3)이고;
* 조성물은 대략 95% w/w 내지 대략 100% w/w의 전구체를 포함하며;
* 조성물은 대략 98% w/w 내지 대략 100% w/w의 전구체를 포함하며;
* 조성물은 대략 99% w/w 내지 대략 100% w/w의 전구체를 포함하며;
* 조성물은 대략 0.1% w/w 내지 대략 50% w/w의 전구체를 포함하며;
* 조성물은 대략 0원자% 내지 5원자%의 M(OR)6을 포함하며;
* 조성물은 대략 0원자% 내지 5원자%의 M(=NR)2Cl(OR)을 포함하며;
* 조성물은 대략 0 ppmw 내지 200 ppm의 Cl을 포함하며;
* 추가로 용매를 포함한다.
* 용매는 C1-C16 탄화수소, THF, DMO, 에테르, 피리딘, 및 이들의 조합으로 구성된 군으로부터 선택되며;
* 용매는 C1-C16 탄화수소이고;
* 용매는 테트라하이드로푸란(THF)이고;
* 용매는 디메틸 옥살레이트(DMO)이고;
* 용매는 에테르이고;
* 용매는 피리딘이고;
* 용매는 에탄올이고;
* 용매는 이소프로판올이다.
또한, 기재 상 6족 전이 금속-함유 막의 침착 공정이 개시된다. 상기 개시된 6족 막 형성 조성물은 내부에 배치된 기재를 갖는 반응기 내로 도입된다. 적어도 일부 6족 전이 금속-함유 전구체가 기재 상에 침착되어 6족 전이 금속-함유 막을 형성한다. 개시된 공정에는 하나 이상의 하기 양태가 추가로 포함될 수 있다:
* 반응기 내로 적어도 하나의 반응물을 도입하며;
* 반응물은 플라즈마-처리되고;
* 반응물은 원격 플라즈마-처리되고;
* 반응물은 플라즈마-처리되지 않고;
* 반응물은 H2, H2CO, N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이들의 수소 라디칼, 및 이들의 혼합물로 구성된 군으로부터 선택되고;
* 반응물은 H2이고;
* 반응물은 NH3이고;
* 반응물은 O2, O3, H2O, H2O2 , NO, N2O, NO2, 이들의 산소 라디칼, 및 이들의 혼합물로 구성된 군으로부터 선택되고;
* 반응물은 H2O이고;
* 반응물은 플라즈마-처리된 O2이고;
* 반응물은 O3이고;
* 6족 막 형성 조성물 및 반응물은 반응기에 동시에 도입되고;
* 반응기는 화학적 증착을 위해 구성되고;
* 반응기는 플라즈마 강화 화학적 증착을 위해 구성되고;
* 6족 막 형성 조성물 및 반응물은 챔버 내로 순차적으로 도입되고;
* 반응기는 원자층 침착을 위해 구성되고;
* 반응기는 플라즈마 강화 원자층 침착을 위해 구성되고;
* 반응기는 공간적 원자층 침착을 위해 구성되고;
* 6족 전이 금속-함유 막은 순수한 6족 전이 금속 박막이고;
* 6족 전이 금속-함유 막은 6족 전이 금속 실리사이드(MkSil, 여기서 M은 6족 전이 금속이고 각각의 k 및 l은 1 내지 6 범위의 정수(경계 포함)이다)이고;
* 6족 전이 금속-함유 막은 6족 전이 금속 옥사이드(MnOm, 여기서 M은 6족 전이 금속이고 각각의 n 및 m은 1 내지 6 범위의 정수(경계 포함)이다)이고;
* 6족 전이 금속-함유 막은 MoO2, MoO3, W2O3, WO2, WO3, 또는 W2O5이고;
* 6족 전이 금속-함유 막은 6족 전이 금속 니트라이드(MoNp, 여기서 M은 6족 전이 금속이고 각각의 o 및 p는 1 내지 6 범위의 정수(경계 포함)이다)이고;
* 6족 전이 금속-함유 막은 Mo2N, MoN, MoN2, W2N, WN, 또는 WN2이다.
본 발명의 성질 및 목적의 추가 이해를 위해, 첨부되는 도면과 함께 취해지는 하기 상세한 설명을 참조해야 하며, 여기서 유사 요소에는 동일하거나 유사한 참조 번호가 주어진다.
도 1은 예시적인 ALD 장치를 도식적으로 예시하는 구성도이다.
도 2는 Mo(=NtBu)2(OtBu)2, Mo(=NtBu)2(OiPr)2 , Mo(=NtBu)2(OEt)2 , Mo(=NtBu)2(OiPr)(NMe2), Mo(=NtBu)2(NMe2)2의 온도 증가에 따른 중량 손실 백분율을 나타내는 열중량측정 분석(TGA) 그래프이다.
도 3은 100℃ 안정성 평가를 거치기 전후 Mo(=NtBu)2(OtBu)2의 온도 증가에 따른 중량 손실 백분율을 나타내는 TGA 그래프이다.
6족 막 형성 조성물로서,
[화학식 I] M(=O)(NR2)4
[화학식 II] M(=O)2(NR2)2
[화학식 III] M(=NR)2(OR)2
[화학식 IV] M(=O)(OR)4, 및
[화학식 V] M(=O)2(OR)2
(식 중, M은 Mo 또는 W이고, 각각의 R은 독립적으로 H, C1 내지 C6 알킬기, 또는 SiR'3이다(R'은 H 또는 C1 내지 C6 알킬기이다))로 구성된 군으로부터 선택된 6족 전이 금속-함유 전구체를 포함하는 조성물이 개시된다.
6족 전이 금속-함유 전구체는 화학식 I, M(=O)(NR2)4(식 중, M은 Mo 또는 W이고, 각각의 R은 독립적으로 H, C1 내지 C6 알킬기, 또는 SiR'3이다(R'은 H 또는 C1 내지 C6 알킬기이다))를 가질 수 있다. 바람직하게는, 각각의 R은 독립적으로 H, Me, Et, iPr, 또는 tBu이다.
예시적인 화학식 I의 몰리브덴 전구체에는 Mo(=O)(NMe2)4, Mo(=O)(NMeEt)4, Mo(=O)(NEt2)4, Mo(=O)(NiPr2)4, Mo(=O)(NnPr2)4, Mo(=O)(NiBu2)4, Mo(=O)(NnBu2)4, Mo(=O)(NtBu2)4, Mo(=O)(NsBu2)4, Mo(=O)(NtAm2)4, Mo(=O)(NMe2)2(NtBu2)2, Mo(=O)(NiPr2)2(NtBu2)2, Mo(=O)(N(SiMe3)2)4, Mo(=O)(N(SiHMe2)2)4, Mo(=O)(N(SiMeH2)2)4, Mo(=O)(NHMe)4, Mo(=O)(NHEt)4, Mo(=O)(NHiPr)4, Mo(=O)(NHnPr)4, Mo(=O)(NHiBu)4, Mo(=O)(NHnBu)4, Mo(=O)(NHtBu)4, Mo(=O)(NHsBu)4, Mo(=O)(NHtAm)4, Mo(=O)(NHMe)2(NtBu2)2, Mo(=O)(NiPr2)2(NHtBu)2, Mo(=O)(NHSiMe3)4, Mo(=O)(NH(SiHMe2))4, Mo(=O)(NH(SiMeH2))4, Mo(=O)(NHiPr)2(N(SiMe3)2)2, 및 Mo(=O)(NiPr2)2(N(SiMe3)2)2가 포함된다.
예시적인 화학식 I의 텅스텐 전구체에는 W(=O)(NMe2)4, W(=O)(NMeEt)4, W(=O)(NEt2)4, W(=O)(NiPr2)4, W(=O)(NnPr2)4, W(=O)(NiBu2)4, W(=O)(NnBu2)4, W(=O)(NtBu2)4, W(=O)(NsBu2)4, W(=O)(NtAm2)4, W(=O)(NMe2)2(NtBu2)2, W(=O)(NiPr2)2(NtBu2)2, W(=O)(N(SiMe3)2)4, W(=O)(N(SiHMe2)2)4, W(=O)(N(SiMeH2)2)4, W(=O)(NHMe)4, W(=O)(NHEt)4, W(=O)(NHiPr)4, W(=O)(NHnPr)4, W(=O)(NHiBu)4, W(=O)(NHnBu)4, W(=O)(NHtBu)4, W(=O)(NHsBu)4, W(=O)(NHtAm)4, W(=O)(NHMe)2(NtBu2)2, W(=O)(NiPr2)2(NHtBu)2, W(=O)(NHSiMe3)4, W(=O)(NH(SiHMe2))4, W(=O)(NH(SiMeH2))4, W(=O)(NHiPr)2(N(SiMe3)2)2, 및 W(=O)(NiPr2)2(N(SiMe3)2)2가 포함된다.
화학식 I의 전구체는 문헌[Inorganic Chemistry, Vol. 26, No. 18, 1987]에 기재된 바와 같이 합성할 수 있다. 보다 구체적으로, M(=O)Cl4를 1당량의 MeOH에 이어 4당량의 해당 아민의 Li 또는 Na염(LiNR2 또는 NaNR2)과 반응시켜 M(=O)(NR2)4를 생성할 수 있다.
6족 전이 금속-함유 전구체는 화학식 II, M(=O)2(NR2)2(식 중, M은 Mo 또는 W이고, 각각의 R은 독립적으로 H, C1 내지 C6 알킬기, 또는 SiR'3이다(R'은 H 또는 C1 내지 C6 알킬기이다))를 가질 수 있다. 바람직하게는, 각각의 R은 독립적으로 H, Me, Et, iPr, 또는 tBu이다.
예시적인 화학식 II의 몰리브덴 전구체에는 Mo(=O)2(NMe2)2, Mo(=O)2(NMeEt)2, Mo(=O)2(NEt2)2, Mo(=O)2(NiPr2)2, Mo(=O)2(NnPr2)2, Mo(=O)2(NiBu2)2, Mo(=O)2(NnBu2)2, Mo(=O)2(NtBu2)2, Mo(=O)2(NsBu2)2, Mo(=O)2(NtAm2)2, Mo(=O)2(NMe2)(NtBu2), Mo(=O)2(NiPr2)(NtBu2), Mo(=O)2(N(SiMe3)2)2, Mo(=O)2(N(SiHMe2)2)2, Mo(=O)2(N(SiMeH2)2)2, Mo(=O)2(NHMe)2, Mo(=O)2(NHEt)2, Mo(=O)2(NHiPr)2, Mo(=O)2(NHnPr)2, Mo(=O)2(NHiBu)2, Mo(=O)2(NHnBu)2, Mo(=O)2(NHtBu)2, Mo(=O)2(NHsBu)2, Mo(=O)2(NHtAm)2, Mo(=O)2(NHMe)(NtBu2), Mo(=O)2(NiPr2)(NHtBu), Mo(=O)2(NHSiMe3)2, Mo(=O)2(NH(SiHMe2))2, Mo(=O)2(NH(SiMeH2))2, Mo(=O)2(NHiPr)(N(SiMe3)2), 및 Mo(=O)2(NiPr2)(N(SiMe3)2)가 포함된다.
예시적인 화학식 II의 텅스텐 전구체에는 W(=O)2(NMe2)2, W(=O)2(NMeEt)2, W(=O)2(NEt2)2, W(=O)2(NiPr2)2, W(=O)2(NnPr2)2, W(=O)2(NiBu2)2, W(=O)2(NnBu2)2, W(=O)2(NtBu2)2, W(=O)2(NsBu2)2, W(=O)2(NtAm2)2, W(=O)2(NMe2)(NtBu2), W(=O)2(NiPr2)(NtBu2), W(=O)2(N(SiMe3)2)2, W(=O)2(N(SiHMe2)2)2, W(=O)2(N(SiMeH2)2)2, W(=O)2(NHMe)2, W(=O)2(NHEt)2, W(=O)2(NHiPr)2, W(=O)2(NHnPr)2, W(=O)2(NHiBu)2, W(=O)2(NHnBu)2, W(=O)2(NHtBu)2, W(=O)2(NHsBu)2, W(=O)2(NHtAm)2, W(=O)2(NHMe)(NtBu2), W(=O)2(NiPr2)(NHtBu), W(=O)2(NHSiMe3)2, W(=O)2(NH(SiHMe2))2, W(=O)2(NH(SiMeH2))2, W(=O)2(NHiPr)(N(SiMe3)2), 및 W(=O)2(NiPr2)(N(SiMe3)2)가 포함된다.
화학식 II의 전구체는 M(=O)Cl2를 1 당량의 메탄올에 이어 2당량의 해당 아민의 Li 또는 Na 염(LiNR2 또는 NaNR2)과 반응시켜 M(=O)2(NR2)2를 생성함으로써 합성할 수 있다.
6족 전이 금속-함유 전구체는 화학식 III, M(=NR)2(OR)2(식 중, M은 Mo 또는 W이고, 각각의 R은 독립적으로 H, C1 내지 C6 알킬기, 또는 SiR'3이다(R'은 H 또는 C1 내지 C6 알킬기이다))를 가질 수 있다. 바람직하게는, 각각의 R은 독립적으로 H, Me, Et, iPr, tBu 또는 tAmyl이다.
예시적인 화학식 III의 몰리브덴 전구체에는 Mo(=NMe)2(OMe)2 , Mo(=NEt)2(OEt)2, Mo(=NiPr)2(OiPr)2 , Mo(=NnPr)2(OnPr)2 , Mo(=NiBu)2(OiBu)2 , Mo(=NsBu)2(OsBu)2, Mo(=NtBu)2(OtBu)2 , Mo(=NnBu)2(OnBu)2 , Mo(=NtAm)2(OtAm)2 , Mo(=NSiMe3)2(OSiMe3)2, Mo(=NSiHMe2)2(OSiHMe2)2 , Mo(=NSiH2Me)2(OSiH2Me)2, Mo(=NMe)2(OtBu)2, Mo(=NEt)2(OiPr)2 , Mo(=NiPr)2(OMe)2 , Mo(=NiPr)2(OEt)2 , Mo(=NiPr)2(OsBu)2, Mo(=NiPr)2(OnBu)2 , Mo(=NiPr)2(OiBu)2 , Mo(=NiPr)2(OtBu)2 , Mo(=NiPr)2(OtAmyl)2, Mo(=NtBu)2(OMe)2 , Mo(=NtBu)2(OEt)2 , Mo(=NtBu)2(OiPr)2 , Mo(=NtBu)2(OnBu)2, Mo(=NtBu)2(OiBu)2 , Mo(=NtBu)2(OsBu)2 , Mo(=NtBu)2(OtAmyl)2 , Mo(=NtAm)2(OMe)2, Mo(=NtAm)2(OEt)2 , Mo(=NtAm)2(OiPr)2 , Mo(=NtAm)2(OnBu)2 , Mo(=NtAm)2(OtBu)2, Mo(=NtAm)2(OiBu)2 , Mo(=NtAm)2(OsBu)2 , Mo(=NSiMe3)2(OMe)(OEt), Mo(=NSiHMe2)2(OMe)(OEt), Mo(=NSiH2Me)2(OMe)(OEt), Mo(=NSiMe3)(=NtBu)(OMe)2, Mo(=NSiMe3)(=NtBu)(OEt)2 , Mo(=NSiMe3)(=NiPr)(OMe)2, 및 Mo(=NSiMe3)(=NiPr)(OEt)2가 포함된다.
예시적인 화학식 III의 텅스텐 전구체에는 W(=NMe)2(OMe)2, W(=NEt)2(OEt)2, W(=NiPr)2(OiPr)2, W(=NnPr)2(OnPr)2, W(=NiBu)2(OiBu)2, W(=NsBu)2(OsBu)2, W(=NtBu)2(OtBu)2, W(=NnBu)2(OnBu)2, W(=NtAm)2(OtAm)2, W(=NSiMe3)2(OSiMe3)2, W(=NSiHMe2)2(OSiHMe2)2, W(=NSiH2Me)2(OSiH2Me)2, W(=NMe)2(OtBu)2, W(=NEt)2(OiPr)2, W(=NiPr)2(OMe)2, W(=NiPr)2(OEt)2, W(=NiPr)2(OtBu)2, W(=NiPr)2(OnBu)2, W(=NiPr)2(OiBu)2, W(=NiPr)2(OsBu)2, W(=NiPr)2(OtAmyl)2, W(=NtBu)2(OMe)2, W(=NtBu)2(OEt)2, W(=NtBu)2(OiPr)2, W(=NtBu)2(OnBu)2, W(=NtBu)2(OiBu)2, W(=NtBu)2(OsBu)2, W(=NtBu)2(OtAmyl)2, W(=NtAm)2(OMe)2, W(=NtAm)2(OEt)2, W(=NtAm)2(OiPr)2, W(=NtAm)2(OnBu)2, W(=NtAm)2(OtBu)2, W(=NtAm)2(OiBu)2, W(=NtAm)2(OsBu)2, W(=NSiMe3)2(OMe)(OEt), W(=NSiHMe2)2(OMe)(OEt), W(=NSiH2Me)2(OMe)(OEt), W(=NSiMe3)(=NtBu)(OMe)2, W(=NSiMe3)(=NtBu)(OEt)2, W(=NSiMe3)(=NiPr)(OMe)2, 및 W(=NSiMe3)(=NiPr)(OEt)2가 포함된다.
화학식 III의 전구체는 문헌[Dalton Transactions (2003) (23) 4457-4465]에 개시된 방법에 따라 합성할 수 있다. 보다 구체적으로, M(=NR)2X2의 에틸렌 글리콜 디에틸 에테르 부가물을 LiOR' 또는 NaOR'과 반응시켜 Mo(=NR)2(OR')2를 생성할 수 있다(식 중, X는 할라이드이고 R 및 R'은 둘 다 독립적으로 상기 정의된 바와 같은 R이지만, 각각의 R 및 R'이 최종 산물 상에 존재하는 경우 다르게 나타낸다).
6족 전이 금속-함유 전구체는 화학식 IV, M(=O)(OR)4(식 중, M은 Mo 또는 W이고, 각각의 R은 독립적으로 H, C1 내지 C6 알킬기, 또는 SiR'3이다(R'은 H 또는 C1 내지 C6 알킬기이다))를 가질 수 있다. 바람직하게는, 각각의 R은 독립적으로 iPr, tBu, sBu, 또는 tAmyl이다.
예시적인 화학식 IV의 몰리브덴 전구체에는 Mo(=O)(OMe)4, Mo(=O)(OEt)4, Mo(=O)(OiPr)4, Mo(=O)(OnPr)4, Mo(=O)(OiBu)4, Mo(=O)(OnBu)4, Mo(=O)(OtBu)4, Mo(=O)(OsBu)4, Mo(=O)(OtAm)4, Mo(=O)(OMe)2(OtBu)2, Mo(=O)(OiPr)2(OtBu)2, Mo(=O)(OSiMe3)4, Mo(=O)(OSiHMe2)4, Mo(=O)(OSiMeH2)4, 및 Mo(=O)(OiPr)2(OSiMe3)2가 포함된다.
예시적인 화학식 IV의 텅스텐 전구체에는 W(=O)(OMe)4, W(=O)(OnPr)4, W(=O)(OiBu)4, W(=O)(OnBu)4, W(=O)(OsBu)4, W(=O)(OtAm)4, W(=O)(OMe)2(OtBu)2, W(=O)(OiPr)2(OtBu)2, W(=O)(OSiMe3)4, W(=O)(OSiHMe2)4, W(=O)(OSiMeH2)4, 및 W(=O)(OiPr)2(OSiMe3)2가 포함된다.
화학식 IV의 전구체는 문헌[Journal of the American Chemical Society (1981) 103(5) 1305-6]에 개시된 방법에 따라 합성할 수 있다. 보다 구체적으로, M2(OR)6을 2당량의 O2와 반응시켜 Mo(=O)(OR)4를 생성할 수 있다. 대안적으로, 화학식 IV의 전구체는 문헌[Organometallics 1982, 1, 148-155]에 개시된 방법에 따라 합성할 수 있다. 보다 구체적으로, M(=O)Cl4를 4당량의 해당 알코올의 Li 또는 Na염(LiOR 또는 NaOR, 식 중 R은 상기 정의된 바와 같다)과 반응시켜 M(=O)(OR)4를 생성할 수 있다.
6족 전이 금속-함유 전구체는 화학식 V, M(=O)2(OR)2(식 중, M은 Mo 또는 W이고, 각각의 R은 독립적으로 H, C1 내지 C6 알킬기, 또는 SiR'3이다(R'은 H 또는 C1 내지 C6 알킬기이다))를 가질 수 있다. 바람직하게는, 각각의 R은 독립적으로 tBu, sBu, iBu 또는 tAmyl이다.
예시적인 화학식 V의 몰리브덴 전구체에는 Mo(=O)2(OMe)2, Mo(=O)2(OEt)2, Mo(=O)2(OiPr)2, Mo(=O)2(OnPr)2, Mo(=O)2(OiBu)2, Mo(=O)2(OnBu)2, Mo(=O)2(OtBu)2, Mo(=O)2(OsBu)2, Mo(=O)2(OtAm)2, Mo(=O)2(OMe)(OtBu), Mo(=O)2(OiPr)(OtBu), Mo(=O)2(OSiMe3)2, Mo(=O)2(OSiHMe2)2, Mo(=O)2(OSiMeH2)2, 및 Mo(=O)2(OtBu)(OSiMe3)이 포함된다.
예시적인 화학식 V의 텅스텐 전구체에는 W(=O)2(OMe)2, W(=O)2(OEt)2, W(=O)2(OiPr)2, W(=O)2(OnPr)2, W(=O)2(OiBu)2, W(=O)2(OnBu)2, W(=O)2(OtBu)2, W(=O)2(OsBu)2, W(=O)2(OtAm)2, W(=O)2(OMe)(OtBu), W(=O)2(OiPr)(OtBu), W(=O)2(OSiMe3)2, W(=O)2(OSiHMe2)2, W(=O)2(OSiMeH2)2, 및 W(=O)2(OtBu)(OSiMe3)이 포함된다.
화학식 V의 전구체는 문헌[Inorganic Chemistry (1984), 23(8), 1021-37]에 개시된 방법에 따라 합성할 수 있다. 보다 구체적으로, M2(OR)6을 분자 O2와 반응시켜 M(=O)2(OR)2를 생성할 수 있다. 대안적으로, 화학식 II의 전구체는 또한 문헌[Organometallics 1982,1, 148-155]에 개시된 방법에 따라 합성할 수 있다. 보다 구체적으로 M(=O)2Cl2를 2당량의 해당 알코올의 리튬 또는 나트륨 염(Li-OR 또는 Na-OR)과 반응시켜 Mo(=O)2(OR)2를 생성할 수 있다. 또 다른 대안에서, 화학식 V의 전구체는 또한 문헌[Inorg. Chem. 1989, 28, 1279-1283]에 개시된 방법에 따라 합성할 수 있다. 보다 구체적으로 M(=O)3을 해당 테트라알콕시실란(Si(OR)4)과 반응시켜 Mo(=O)2(OR)2를 생성할 수 있다.
개시된 6족 막 형성 조성물의 순도는 바람직하게는 99.9% w/w 초과이다. 개시된 6족 전이 막 형성 조성물은 임의의 다음 불순물: Mo(=NR)Cl(OR)(식 중, R은 상기 정의된 바와 같다), 알킬아민, 디알킬아민, 알킬이민, 알콕시, THF, 에테르, 톨루엔, 염소화 금속 화합물, 리튬 또는 나트륨 알콕시, 또는 리튬 또는 나트륨 아마이드를 함유할 수 있다. 바람직하게는, 이들 불순물의 총량은 0.1% w/w 미만이다. 정제된 산물은 승화, 증류 및/또는 기체 또는 액체를 적합한 흡착제, 예컨대 4A 분자 체를 통해 통과시켜 생성될 수 있다.
개시된 6족 막 형성 조성물에는 또한 ppbw(십억 분의 1 중량부) 수준의 금속 불순물이 포함될 수 있다. 이들 금속 불순물에는 비제한적으로 알루미늄(Al), 비소(As), 바륨(Ba), 베릴륨(Be), 비스무스(Bi), 카드뮴(Cd), 칼슘(Ca), 크롬(Cr), 코발트(Co), 구리(Cu), 갈륨(Ga), 게르마늄(Ge), 하프늄(Hf), 지르코늄(Zr), 인듐(In), 철(Fe), 납(Pb), 리튬(Li), 마그네슘(Mg), 망간(Mn), 텅스텐(W), 니켈(Ni), 칼륨(K), 나트륨(Na), 스트론튬(Sr), 토륨(Th), 주석(Sn), 티타늄(Ti), 우라늄(U), 바나듐(V) 및 아연(Zn)이 포함된다.
또한 증착 공정을 이용하는 기재 상 6족 전이 금속-함유 층의 형성 방법이 개시된다. 방법은 반도체, 광전지, LCD-TFT, 또는 평판 패널 유형 소자의 제조에서 유용할 수 있다. 개시된 6족 막 형성 조성물은 당업자에게 공지된 임의의 증착 방법, 예컨대 원자층 침착 또는 화학적 증착을 이용하여 6족 전이 금속-함유 박막을 침착하기 위해 이용될 수 있다. 예시적인 CVD 방법에는 열 CVD, 플라즈마 강화 CVD(PECVD), 펄스처리 CVD(PCVD), 저압 CVD(LPCVD), 대기압-미만 CVD(SACVD) 또는 대기압 CVD(APCVD), 핫-와이어 CVD(HWCVD, cat-CVD로도 알려져 있으며, 여기서 핫 와이어는 침착 공정을 위한 에너지원으로서 작용한다), 라디칼 도입 CVD, 및 이들의 조합이 포함된다. 예시적인 ALD 방법에는 열 ALD, 플라즈마 강화 ALD(PEALD), 공간 단리 ALD, 핫-와이어 ALD(HWALD), 라디칼 도입 ALD, 및 이들의 조합이 포함된다. 초임계 유체 침착도 이용될 수 있다. 침착 방법은 적합한 단계 커버율 및 막 두께 제어를 제공하기 위해 바람직하게는 ALD, PE-ALD, 또는 공간적 ALD이다.
도 1은 6족 전이 금속-함유 층을 형성하기 위해 이용될 수 있는 증착 장치의 예를 도식적으로 예시하는 구성도이다. 도 1에 예시된 장치에는 반응기(11), 개시된 6족 막 형성 조성물에 대한 공급원(12), 반응물(전형적으로, 산화제, 예컨대 산소 또는 오존)에 대한 공급원(13), 및 캐리어 기체 및/또는 희석 기체로서 이용될 수 있는 불활성 기체에 대한 공급원(14)이 포함된다. 기재 로딩 및 로딩해제 기전(나타내지 않음)은 반응기(11)에서 침착 기재의 삽입 및 제거를 허용한다. 개시된 조성물의 반응을 위해 필요한 반응 온도에 도달하기 위해 가열 소자(나타내지 않음)가 제공된다.
6족 막 형성 조성물 공급원(12)은 조성물을 반응기(11) 내로 도입하기 위해 버블러 방법을 이용할 수 있고, 라인(L1)에 의해 불활성 기체 공급원(14)으로 연결된다. 라인(L1)에는 셧오프 밸브(V1) 및 유속 컨트롤러, 예를 들어 상기 밸브의 하류에, 물질 흐름 컨트롤러(MFC1)가 제공된다. 조성물은 그 공급원(12)으로부터 라인(L2)을 통해 반응기(11) 내로 도입된다. 압력 게이지(PG1), 셧오프 밸브(V2), 및 셧오프 밸브(V3)는 상류측에 제공된다.
반응물 공급원(13)은 반응물을 기체, 액체, 또는 고체 형태로 보유하는 용기를 포함한다. 반응물의 증기는 그 공급원(13)으로부터 라인(L3)을 통해 반응기(11) 내로 도입된다. 셧오프 밸브(V4)가 라인(L3)에 제공된다. 상기 라인(L3)은 라인(L2)으로 연결된다.
불활성 기체 공급원(14)은 불활성 기체를 기체 형태로 보유하는 용기를 포함한다. 불활성 기체는 그 공급원으로부터 라인(L4)을 통해 반응기(11) 내로 도입될 수 있다. 라인(L4)에는 상류측에 셧오프 밸브(V6), 물질 흐름 컨트롤러(MFC3), 및 압력 게이지(PG2)가 제공된다. 라인(L4)은 셧오프 밸브(V4) 상류에서 라인(L3)과 연합된다.
라인(L5)은 라인(L1)에서 셧오프 밸브(V1)로부터 상류에서 분기된다; 상기 라인(L5)은 셧오프 밸브(V2) 및 셧오프 밸브(V3) 사이에서 라인(L2)과 연합된다. 라인(L5)에는 상류측으로부터 고려되는 셧오프 밸브(V7) 및 물질 흐름 컨트롤러(MFC4)가 제공된다.
라인(L6)은 셧오프 밸브(V3) 및 (V4) 사이에서 반응 챔버(11) 내로 분기된다. 상기 라인(L6)에는 셧오프 밸브(V8)가 제공된다.
펌프(PMP)에 도달하는 라인(L7)은 반응기(11) 하부에 제공된다. 상기 라인(L7)은 상류측에 압력 게이지(PG3), 배압 제어를 위한 버터플라이 밸브(BV), 및 저온 트랩(15)을 함유한다. 상기 저온 트랩(15)은 그 주변에 걸쳐 쿨러(나타내지 않음)가 제공되는 튜브(나타내지 않음)를 포함하며, 텅스텐 전구체 및 관련 부산물의 수집을 목표로 한다.
반응기는 비제한적으로 평행-판 유형 반응기, 저온-벽 유형 반응기, 고온-벽 유형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 다른 유형의 침착 시스템과 같이 화합물이 반응하여 층을 형성하도록 유도하기 적합한 조건 하에 침착 방법이 일어나는 소자 내의 임의의 인클로저 또는 챔버일 수 있다.
반응기는 그 위에 막이 침착될 하나 또는 여러 기재를 함유한다. 기재는 일반적으로 그 위에서 공정이 수행되는 물질로서 정의된다. 기재는 반도체, 광전지, 평판 패널, 또는 LCD-TFT 소자 제조에서 이용되는 임의의 적합한 기재일 수 있다. 적합한 기재의 예에는 웨이퍼, 예컨대 실리콘, 실리카, 유리, 또는 GaAs 웨이퍼가 포함된다. 웨이퍼는 이전 제작 단계로부터 그 위에 침착된 하나 이상의 상이한 물질층을 가질 수 있다. 예를 들어, 웨이퍼에는 실리콘층(결정형, 무정형, 다공형 등), 실리콘 옥사이드층, 실리콘 니트라이드층, 실리콘 옥시 니트라이드층, 카본 도핑된 실리콘 옥사이드(SiCOH)층, 또는 이들의 조합이 포함될 수 있다. 추가적으로, 웨이퍼에는 구리층 또는 귀금속층(예로, 백금, 팔라듐, 로듐, 또는 금)이 포함될 수 있다. 웨이퍼에는 배리어층, 예컨대 망간, 산화 망간 등이 포함될 수 있다. 플라스틱층, 예컨대 폴리(3,4-에틸렌디옥시티오펜)폴리(스티렌설포네이트)[PEDOT:PSS]도 이용될 수 있다. 층은 판형 또는 패턴화될 수 있다. 개시된 공정은 웨이퍼 상에 직접 또는 웨이퍼 상부의 하나 또는 하나를 초과하는(패턴화된 층이 기재를 형성하는 경우) 층 상에 직접 6족-함유 층을 침착할 수 있다. 또한, 당업자는 본원에서 이용되는 "막" 또는 "층"이라는 용어가 표면 상에 놓이거나 표면에 걸쳐 확산된 일정 두께의 일부 물질을 나타내며 표면이 트렌치 또는 라인일 수 있음을 인식할 것이다. 명세서 및 청구범위에 걸쳐, 웨이퍼 및 그 위의 임의의 연관된 층이 기재로 불린다. 예를 들어, 산화 몰리브덴막은 TiN층 상에 침착될 수 있다. 후속 공정에서, 산화 지르코늄층이 몰리브덴층 상에 침착될 수 있고, 제2 몰리브덴층이 산화 지르코늄층 상에 침착될 수 있고, TiN층이 제2 몰리브덴층 상에 침착되어 DRAM 커패시터에서 이용되는 TiN/MoOx/ZrO2/MoOx/TiN 적층물을 형성할 수 있다(x는 2-3의 범위이다(경계 포함)).
반응기 내의 온도 및 압력은 증착에 적합한 조건에서 유지된다. 다시 말하면, 증기화된 조성물의 챔버 내 도입 후, 챔버 내의 조건은 적어도 일부 증기화된 전구체가 기재 상에 침착되어 6족 전이 금속-함유 막을 형성하도록 하는 것이다. 예를 들어, 반응기 내 압력은 침착 파라미터 당 요구되는 바에 따라, 약 1 Pa 내지 약 105 Pa, 보다 바람직하게는 약 25 Pa 내지 약 103 Pa로 유지될 수 있다. 마찬가지로, 반응기 내 온도는 약 100℃ 내지 약 500℃, 바람직하게는 약 150℃ 내지 약 400℃로 유지될 수 있다. 당업자는 "적어도 일부 증기화된 전구체가 침착되는"이 일부 또는 전부 전구체가 기재와 반응하거나 이에 접착됨을 의미한다는 것을 인식할 것이다.
반응기의 온도는 기재 홀더의 온도 제어 또는 반응기 벽의 온도 제어에 의해 제어될 수 있다. 기재를 가열하기 위해 이용되는 소자는 당분야에 공지되어 있다. 반응기 벽은 충분한 성장 속도에서 원하는 물리적 상태 및 조성으로 원하는 막을 수득하기 위해 충분한 온도로 가열된다. 반응기 벽이 가열될 수 있는 비제한적인 예시적 온도 범위에는 대략 100℃ 내지 대략 500℃가 포함된다. 플라즈마 침착 공정이 이용되는 경우, 침착 온도는 대략 150℃ 내지 대략 400℃ 범위일 수 있다. 대안적으로, 열 공정이 수행되는 경우, 침착 온도는 대략 200℃ 내지 대략 500℃ 범위일 수 있다.
개시된 6족 막 형성 조성물은 미희석 형태로 또는 적합한 용매, 예컨대 에틸 벤젠, 자일렌, 메시틸렌, 데칸, 도데칸과의 배합물로 공급될 수 있다. 개시된 조성물은 용매 중 다양한 농도로 존재할 수 있다.
미희석 또는 배합된 6족 막 형성 조성물은 통상적 수단, 예컨대 튜브 및/또는 유속 계량계에 의해 증기 형태로 반응기 내로 도입된다. 증기 형태의 화합물은 통상적 증기화 단계, 예컨대 직접적 증기화, 증류를 통한 미희석 또는 배합된 화합물 용액의 증기화에 의해, 또는 버블링에 의해, 또는 Xu 등의 PCT 공보 WO2009/087609에 개시된 것과 같은 승화장치의 이용에 의해 생성될 수 있다. 미희석 또는 배합된 조성물은 이것이 반응기 내로 도입되기 전에 증기화되는 경우, 액체 상태로 증기화장치에 공급될 수 있다. 대안적으로, 미희석 또는 배합된 조성물은 조성물을 함유하는 용기 내로 캐리어 기체를 통과시키거나 조성물 내로 캐리어 기체를 버블링하여 증기화될 수 있다. 캐리어 기체에는 비제한적으로 Ar, He, N2, 및 이들의 혼합물이 포함될 수 있다. 캐리어 기체를 이용한 버블링도 미희석 또는 배합된 조성물에 존재하는 임의의 용해된 산소를 제거할 수 있다. 이어서 캐리어 기체 및 조성물은 증기로서 반응기 내로 도입된다.
필요한 경우, 개시된 조성물의 용기는 조성물이 그 액체 상으로 있고 충분한 증기압을 갖도록 하는 온도로 가열될 수 있다. 용기는, 예를 들어 대략 0℃ 내지 대략 150℃ 범위의 온도에서 유지될 수 있다. 당업자는 용기의 온도가 증기화된 조성물의 양을 제어하기 위해 공지된 방식으로 조정될 수 있음을 인식한다.
개시된 조성물에 부가하여, 반응물이 또한 반응기 내로 도입될 수 있다. 반응물은 산화성 기체, 예컨대 O2, O3, H2O, H2O2, NO, N2O, NO2, 산소 함유 라디칼, 예컨대 O· 또는 OH·, NO, NO2, 카복실산, 포름산, 아세트산, 프로피온산, 및 이들의 혼합물 중 하나일 수 있다. 바람직하게는, 산화성 기체는 O2, O3, H2O, H2O2, 이들의 산소 함유 라디칼, 예컨대 O· 또는 OH·, 및 이들의 혼합물로 구성된 군으로부터 선택된다.
대안적으로, 반응물은 환원성 기체, 예컨대 H2, H2CO, NH3, SiH4, Si2H6, Si3H8, (CH3)2SiH2, (C2H5)2SiH2, (CH3)SiH3, (C2H5)SiH3, 페닐 실란, N2H4, N(SiH3)3, N(CH3)H2, N(C2H5)H2, N(CH3)2H, N(C2H5)2H, N(CH3)3, N(C2H5)3, (SiMe3)2NH, (CH3)HNNH2, (CH3)2NNH2, 페닐 하이드라진, N-함유 분자, B2H6, 9-보라바이사이클로[3,3,1]노난, 디하이드로벤젠푸란, 피라졸린, 트리메틸알루미늄, 디메틸아연, 디에틸아연, 이들의 라디칼 종, 및 이들의 혼합물 중 하나일 수 있다. 바람직하게는, 환원성 기체는 H2, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이들의 수소 라디칼, 또는 이들의 혼합물이다.
반응물을 그 라디칼 형태로 분해하기 위해, 반응물이 플라즈마에 의해 처리될 수 있다. N2는 또한 플라즈마로 처리된 경우, 환원성 기체로서 이용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게는 약 100 W 내지 약 400 W 범위의 파워로 생성될 수 있다. 플라즈마는 반응기 자체 내에서 생성되거나 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로 반응기로부터 제거된 위치에, 예를 들어 원격 배치된 플라즈마 시스템에 있을 수 있다. 당업자는 이러한 플라즈마 처리에 적합한 방법 및 장치를 인식할 것이다.
예를 들어, 반응물은 직접적 플라즈마 반응기 내로 도입될 수 있고, 이는 반응기 챔버에서 플라즈마를 생성하여 반응 챔버에 플라즈마-처리된 반응물을 생성한다. 예시적인 직접적 플라즈마 반응기에는 Trion Technologies에 의해 생성되는 Titan™ PECVD 시스템이 포함된다. 반응물은 플라즈마 가공 전에 반응 챔버에 도입되고 유지될 수 있다. 대안적으로, 플라즈마 가공은 반응물 도입과 동시에 일어날 수 있다. 원 위치 플라즈마는 전형적으로 샤워헤드 및 기재 홀더 사이에 생성되는 13.56 MHz RF유도 커플링된 플라즈마이다. 기재 또는 샤워헤드는 양이온 충돌이 일어나는지에 따라 파워가 공급되는 전극일 수 있다. 원 위치 플라즈마 생성기에서 전형적으로 적용되는 파워는 대략 30 W 내지 대략 1000 W이다. 바람직하게는, 대략 30 W 내지 대략 600 W의 파워가 개시된 방법에서 이용된다. 보다 바람직하게는, 파워는 대략 100 W 내지 대략 500 W 범위이다. 원 위치 플라즈마를 이용하는 반응물의 해리는 전형적으로 동일한 파워 입력에 대해 원격 플라즈마원을 이용해서 달성되는 것보다 적고, 따라서 플라즈마에 의해 쉽게 손상된 기재 상 6족 전이 금속-함유 막의 침착에 대해 유리할 수 있는, 원격 플라즈마 시스템만큼 반응물 해리에서 효율적이 아니다.
대안적으로, 플라즈마-처리된 반응물은 반응 챔버 밖에서 생성될 수 있다. MKS Instruments의 ASTRONi® 반응성 기체 생성기는 반응 챔버 내로의 통과 전에 반응물을 처리하기 위해 이용될 수 있다. 2.45 GHz, 7kW 플라즈마 파워, 및 대략 0.5 Torr 내지 대략 10 Torr 범위의 압력에서 작동되어, 반응물 O2가 2개의 O· 라디칼로 분해될 수 있다. 바람직하게는, 원격 플라즈마는 약 1 kW 내지 약 10 kW, 보다 바람직하게는 약 2.5 kW 내지 약 7.5 kW 범위의 파워로 생성될 수 있다.
챔버 내의 증착 조건은 개시된 조성물 및 반응물이 반응하여 기재 상에 6족 전이 금속-함유 막을 형성하도록 한다. 일부 구현예에서, 본 출원인은 반응물의 플라즈마-처리가 개시된 전구체와 반응하기 위해 필요한 에너지를 반응물에 제공할 수 있다고 여긴다.
어느 유형의 막을 침착하기 원하는지에 따라, 추가 전구체가 반응기 내로 도입될 수 있다. 전구체는 6족 전이 금속-함유 막에 추가 원소를 제공하기 위해 이용될 수 있다. 추가 원소에는 란탄계 원소(이테르븀, 에르븀, 디스프로슘, 가돌리늄, 프라세오디뮴, 세륨, 란탄, 이트륨), 지르코늄, 게르마늄, 실리콘, 티타늄, 망간, 루테늄, 비스무스, 납, 마그네슘, 알루미늄, 또는 이들의 혼합물이 포함될 수 있다. 추가 전구체 화합물이 이용되는 경우, 기재 상에 침착된 생성 막은 적어도 하나의 추가 원소와 조합되어 6족 전이 금속을 함유한다.
6족 박막 형성 조성물 및 반응물은 반응기 내로 동시에(화학적 증착), 순차적으로(원자층 침착) 또는 이들의 상이한 조합으로 도입될 수 있다. 반응기는 조성물 도입 및 반응물 도입 사이에 불활성 기체로 퍼징될 수 있다. 대안적으로, 반응물 및 조성물은 함께 혼합되어 반응물/조성물 혼합물을 형성한 뒤, 혼합물 형태로 반응기에 도입될 수 있다. 또 다른 예는 반응물을 연속 도입하고 펄스에 의해 6족 막 형성 조성물을 도입하는 것이다(펄스처리 화학적 증착).
증기화된 조성물 및 반응물은 반응기 내로 순차적으로 또는 동시에 펄스처리될 수 있다(예로, 펄스처리된 CVD). 각각의 펄스는 약 0.01초 내지 약 10초, 대안적으로 약 0.3초 내지 약 3초, 대안적으로 약 0.5초 내지 약 2초 범위의 시기 동안 지속될 수 있다. 또 다른 구현예에서, 반응물은 또한 반응기 내로 펄스처리될 수 있다. 이러한 구현예에서, 각 기체의 펄스는 약 0.01초 내지 약 10초, 대안적으로 약 0.3초 내지 약 3초, 대안적으로 약 0.5초 내지 약 2초 범위의 시기 동안 지속될 수 있다. 또 다른 대안에서, 증기화된 조성물 및 반응물은 그 아래에 몇몇 웨이퍼를 보유하는 서셉터가 회전되는 샤워 헤드로부터 동시에 분무될 수 있다(공간적 ALD).
특정한 공정 파라미터에 따라, 침착은 다양한 길이의 시간 동안 일어날 수 있다. 일반적으로, 필요한 특성을 갖는 막을 생성하기 위해 요구되거나 필요한 한, 침착이 연속될 수 있다. 전형적인 막 두께는 특정한 침착 공정에 따라 수 옹스트롬에서 수백 마이크론까지 변할 수 있다. 침착 공정은 또한 원하는 막을 수득하기 위해 필요한 바에 따라 여러 번 수행될 수 있다.
하나의 비제한적인 예시적 CVD 유형 공정에서, 개시된 6족 막 형성 조성물 및 반응물의 증기상은 반응기 내로 동시에 도입된다. 이 둘이 반응하여 생성 6족 전이 금속-함유 박막을 형성한다. 상기 예시적인 CVD 공정에서 반응물이 플라즈마로 처리되는 경우, 예시적인 CVD 공정은 예시적인 PECVD 공정이 된다. 반응물은 챔버 내로의 도입 전에 또는 후에 플라즈마로 처리될 수 있다.
하나의 비제한적인 예시적 ALD 유형 공정에서, 개시된 6족 막 형성 조성물의 증기상이 반응기 내로 도입되며, 여기서 적합한 기재와 접촉된다. 이어서 과량의 조성물이 반응기로부터 퍼징에 의해 및/또는 반응기 배출에 의해 제거될 수 있다. 원하는 기체(예를 들어, H2)가 반응기 내로 도입되고, 여기서 자체-제한 방식으로 흡착된 조성물과 반응한다. 이어서 임의의 과량의 환원성 기체가 반응기로부터 퍼징에 의해 및/또는 반응기 배출에 의해 제거된다. 원하는 막이 6족 전이 금속 막인 경우, 상기 2단계 공정은 원하는 막 두께를 제공할 수도 있고 또는 필요한 두께를 갖는 막이 수득될 때까지 반복될 수도 있다.
대안적으로, 원하는 막이 6족 전이 금속 및 제2 원소를 함유하는 경우, 상기 2단계 공정에 추가 전구체 증기의 반응기 내로의 도입이 뒤따를 수 있다. 추가 전구체는 침착되는 6족 전이 금속 막의 성질에 근거하여 선택될 것이다. 반응기 내로의 도입 후, 추가 전구체는 기재와 접촉된다. 이어서 임의의 과량의 전구체가 반응기로부터 퍼징에 의해 및/또는 반응기 배출에 의해 제거된다. 다시 한 번, 원하는 기체가 반응기 내로 도입되어 흡착된 전구체와 반응할 수 있다. 과량의 기체가 반응기로부터 퍼징에 의해 및/또는 반응기 배출에 의해 제거된다. 원하는 막 두께가 달성된 경우, 공정이 종료될 수 있다. 그러나 더 두꺼운 막을 원하는 경우, 전체 4-단계 공정이 반복될 수 있다. 6족 막 형성 조성물, 추가 전구체, 및 반응물을 교대 제공함으로써, 원하는 조성 및 두께의 막이 침착될 수 있다.
상기 예시적인 ALD 공정에서 반응물이 플라즈마로 처리되는 경우, 예시적인 ALD 공정은 예시적인 PEALD 공정이 된다. 반응물은 챔버 내로의 도입 전에 또는 후에 플라즈마로 처리될 수 있다.
제2의 비제한적인 예시적 ALD 유형 공정에서, 개시된 6족 막 형성 조성물 중 하나, 예를 들어 몰리브덴 디-tert-부틸이미도 디-tert-부톡사이드[Mo(=NtBu)2(OtBu)2]의 증기상이 반응기 내로 도입되며, 여기서 TiN 기재와 접촉된다. 이어서 과량의 조성물이 반응기로부터 퍼징에 의해 및/또는 반응기 배출에 의해 제거될 수 있다. 원하는 기체(예를 들어, O3)가 반응기 내로 도입되고, 여기서 자체-제한 방식으로 흡착된 전구체와 반응하여 산화 몰리브덴막을 형성한다. 임의의 과량의 산화성 기체가 반응기로부터 퍼징에 의해 및/또는 반응기 배출에 의해 제거된다. 이들 2단계는 산화 몰리브덴막이 원하는 두께, 전형적으로 약 10옹스트롬을 수득할 때까지 반복될 수 있다. 이어서 ZrO2가 MoOx 막 상에 침착될 수 있다(식 중, x는 2-3(경계 포함)이다. 예를 들어, ZrCp(NMe2)3이 Zr 전구체로서 작용할 수 있다. 이어서 Mo(=NtBu)2(OtBu)2 및 오존을 이용하는 상술된 제2의 비제한적인 예시적 ALD 공정이 ZrO2층 상에서 반복된 후 MoOx층 상 TiN의 침착이 뒤따를 수 있다. 생성 TiN/MoOx/ZrO2/MoOx/TiN 적층물은 DRAM 커패시터에서 이용될 수 있다.
상기 논의된 공정에서 생성되는 6족 전이 금속-함유 막에는 순수한 6족 전이 금속(M = Mn 또는 W), 6족 전이 금속 실리사이드(MkSil), 6족 전이 금속 옥사이드(MnOm), 6족 전이 금속 니트라이드(MoNp)막, 6족 전이 금속 카바이드(MqCr)막, 또는 6족 전이 금속 카본니트라이드(MCrNp)가 포함될 수 있다(식 중, k, l, m, n, o, p, q, 및 r은 1 내지 6 범위의 정수(경계 포함)이다). 당업자는 적절한 개시된 6족 막 형성 조성물, 선택적 전구체, 및 반응물의 비판적 선택에 의해, 원하는 막 조성물이 수득될 수 있음을 인식할 것이다.
원하는 막 두께의 수득 시, 막은 열 어닐링, 로(furnace)-어닐링, 급속 열 어닐링, UV 또는 e-빔 경화, 및/또는 플라즈마 기체 노출과 같은 추가 가공을 거칠 수 있다. 당업자는 이러한 추가 가공 단계를 수행하기 위해 이용되는 시스템 및 방법을 인식한다. 예를 들어, 6족 전이 금속-함유 막은 불활성 분위기, H-함유 분위기, N-함유 분위기, O-함유 분위기, 또는 이들의 조합 하에 대략 0.1초 내지 대략 7200초 범위의 시간 동안 대략 200℃ 내지 대략 1000℃ 범위의 온도로 노출될 수 있다. 가장 바람직하게는, 온도는 H-함유 분위기 또는 O-함유 분위기 하에 3600초 동안 400℃이다. 생성 막은 더 적은 불순물을 함유할 수 있고, 이에 따라 개선된 밀도를 가져서 개선된 누설 전류를 생성할 수 있다. 어닐링 단계는 침착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기재는 반응 챔버로부터 제거될 수 있고, 어닐링/급속 어닐링 공정은 별도 장치에서 수행된다. 임의의 상기 후-처리 방법, 그러나 특히 열 어닐링은 6족 전이 금속-함유 막의 탄소 및 질소 오염을 감소시키는데 효과적인 것으로 나타났다. 이는 다시 막의 저항을 개선시키는 경향이 있다.
어닐링 후, 임의의 개시된 공정에 의해 침착된 텅스텐-함유 막은 대략 5.5 μohm.cm 내지 대략 70 μohm.cm, 바람직하게는 대략 5.5 μohm.cm 내지 대략 20 μohm.cm, 보다 바람직하게는 대략 5.5 μohm.cm 내지 대략 12 μohm.cm의 실온 벌크 저항을 가질 수 있다. 어닐링 후, 임의의 개시된 공정에 의해 침착된 몰리브덴-함유 막은 대략 50 μohm.cm 내지 대략 1,000 μohm.cm의 실온 벌크 저항을 가질 수 있다. 실온은 계절에 따라 대략 20℃ 내지 대략 28℃이다. 벌크 저항은 부피 저항으로도 알려져 있다. 당업자는 벌크 저항이 전형적으로 대략 50 nm 두께인 W 또는 Mo막 상에서 실온에서 측정됨을 인식할 것이다. 벌크 저항은 전형적으로 전자 수송 기전에서의 변화로 인해 막이 얇을수록 증가한다. 벌크 저항은 또한 온도가 높을수록 증가한다.
또 다른 대안에서, 개시된 6족 막 형성 조성물은 도핑제 또는 삽입제로 이용될 수 있다. 개시된 조성물의 일부는 도핑될 막, 예컨대 산화 인듐(In2O3)막, 이산화 바나듐(VO2)막, 산화 티타늄막, 산화 구리막, 또는 이산화 주석(SnO2)막의 상부에 침착될 수 있다. 이어서 몰리브덴 또는 텅스텐이 어닐링 단계 동안 막 내로 확산하여 몰리브덴-도핑 막{(Mo)In2O3, (Mo)VO2, (Mo)TiO, (Mo)CuO, 또는 (Mo)SnO2} 또는 텅스텐-도핑 막{(W)In2O3, (W)VO2, (W)TiO, (W)CuO, 또는 (W)SnO2}을 형성한다. 예로, 그 도핑 방법의 전문이 본원에 참조로 포함되는, Lavoie 등의 US2008/0241575를 참고하라. 대안적으로, 가변 에너지 무선 주파수 사극자 삽입장치를 이용하는 고에너지 이온 삽입이 이용되어 개시된 조성물의 몰리브덴 또는 텅스텐을 막 내로 도핑할 수 있다. 예로, 그 삽입 방법의 전문이 본원에 참조로 포함되는 문헌[Kensuke et al., JVSTA 16(2) Mar/Apr 1998]을 참고하라. 또 다른 대안에서, 플라즈마 도핑, 펄스처리 플라즈마 도핑 또는 플라즈마 침지 이온 삽입이 개시된 조성물을 이용하여 수행될 수 있다. 예로, 그 도핑 방법의 전문이 본원에 참조로 포함되는 문헌[Felch et al., Plasma doping for the fabrication of ultra-shallow junctions Surface Coatings Technology, 156 (1-3) 2002, pp. 229-236]을 참고하라.
실시예
하기 비제한적 실시예는 본 발명의 구현예를 추가 예시하기 위해 제공된다. 그러나, 실시예가 모든 내용을 포함하는 것은 아니며, 본원에 기재된 발명의 범위를 제한하려는 것은 아니다.
<합성예 1: Mo(=NtBu)2(OiPr)2>
1몰 당량의 Na2MoO4와 700 mL의 디메틸 에테르를 기계적 교반 하에 0℃에서 혼합하여 MoCl2(=NtBu)2를 합성하였다. 4몰 당량의 NEt3를 10분 동안 혼합물에 적가하였다. 첨가 깔때기를 100 mL의 디메틸 에테르로 헹구고, 이를 혼합물에 첨가하였다. 9몰 당량의 SiMe3Cl을 1시간 동안 혼합물에 적가하였다. 첨가 깔때기를 100 mL의 디메틸 에테르로 헹구고, 이를 혼합물에 첨가하였다. 2몰 당량의 tBuNH2를 30분 동안 혼합물에 적가하였다. 실온(대략 23℃)에서 하룻밤 뒤, 생성 노란색 현탁액을 10시간 동안 70℃로 가열하였다. 현탁액을 실온으로 냉각하고 여과하였다. 용매를 진공 하에 제거하고, 생성 금색 분말을 펜탄으로 세척하였다.
1몰 당량의 MoCl2(=NtBu)2를 테트라하이드로푸란(THF)과 기계적 교반 하에 -78℃에서 혼합하였다. 2몰 당량의 THF 중 Li(OiPr)을 혼합물에 적가하였다. 실온에서 하룻밤 뒤, 용매를 진공 하에 제거하였다. 생성 산물을 펜탄으로 헹구고 여과하였다. 용매를 진공 증류 하에 제거하고 조정제 산물을 진공 증류에 의해 정제하였다. 생성된 Mo(=NtBu)2(OiPr)2는 금색 액체였다. 개방 컵 열중량측정 분석(TGA) 그래프가 도 2에 제공된다. 1 Torr에서의 증기압은 91℃이다.
<합성예 2: Mo(=NtBu)2(OtBu)2>
1몰 당량의 MoCl2(=NtBu)2를 테트라하이드로푸란(THF)과 기계적 교반 하에 -78℃에서 혼합하였다. 2.8몰 당량의 THF 중 Li(OtBu)을 혼합물에 적가하였다. 실온에서 하룻밤 뒤, 용매를 진공 하에 제거하였다. 생성 산물을 500 mL의 펜탄으로 헹구고 여과하였다. 용매를 진공 증류 하에 제거하고 조정제 산물을 진공 증류에 의해 정제하였다. 생성된 Mo(=NtBu)2(OiPr)2는 노란색 오일이었다. 개방 컵 TGA 그래프가 도 2에 제공된다. 1 Torr에서의 증기압은 93℃이다.
1H-NMR δH: 9.00 ppm (s, 9H, N-C-(CH 3)3), 9.28 ppm (s, 9H, O-C-(CH 3)3).
샘플을 7주 동안 건식 100℃ 히터에 배치하여 Mo(=NtBu)2(OtBu)2의 안정성을 평가하였다. 산물은 매우 약간 더 진해졌지만, 도 3에 나타낸 바와 같이, TGA를 통해 잔여물 증가는 없었다.
<합성예 3: Mo(=NtBu)2(OEt)2>
1몰 당량의 Mo(=NtBu)2(NMe2)2를 테트라하이드로푸란(THF)과 기계적 교반 하에 -78℃에서 혼합하였다. 2몰 당량의 EtOH를 혼합물에 적가하였다. 실온에서 하룻밤 뒤, 용매를 진공 하에 제거하고, 생성 오렌지색 오일을 진공 증류에 의해 정제하였다. 생성된 정제 Mo(=NtBu)2(OEt)2는 갈색 왁스였다. 개방 컵 TGA 그래프가 도 2에 제공된다. 1 Torr에서의 증기압은 129℃이다.
<합성예 4: Mo(=O)2(N(SiMe3)2)2>
1몰 당량의 MoCl2(=O)2를 에테르와 기계적 교반 하에 -78℃에서 혼합하였다. 2몰 당량의 에테르 중 Na(N(SiMe3)2)2를 혼합물에 적가하였다. 실온에서 하룻밤 뒤, 생성 산물을 여과하고 진공 증류에 의해 정제하였다. 생성된 Mo(=O)2(N(SiMe3)2)2는 노란색 액체였다. 개방 컵 TGA 그래프가 도 2에 제공된다.
<비교 합성예: Mo(=NtBu)2(NMe2)2>
1몰 당량의 MoCl2(=NtBu)2를 테트라하이드로푸란(THF)과 기계적 교반 하에 -78℃에서 혼합하였다. 2몰 당량의 THF 중 Li(NMe2)2를 혼합물에 적가하였다. 실온에서 하룻밤 뒤, 용매를 진공 하에 제거하였다. 생성 산물을 300 mL의 펜탄으로 헹구고 여과하였다. 용매를 진공 증류 하에 제거하고 조정제 산물을 진공 증류에 의해 정제하였다. 생성된 Mo(=NtBu)2(OiPr)2는 오렌지색 액체였다. 개방 컵 TGA 그래프가 도 2에 제공된다. 1 Torr에서의 증기압은 77℃이다.
실시예 1
도 1에 나타낸 전형적 ALD 시스템을 이용해서 산화 몰리브덴막의 ALD 침착을 수행하였다. 반응기의 압력 및 온도를 각각 0.356 Torr 및 250℃에서 유지하였다. Mo(=NtBu)2(OtBu)2 원을 75℃에서 유지되는 캐니스터에 보관하였다. 전구체를 85 sccm의 아르곤 캐리어 기체를 이용해서 1, 5, 또는 7초 동안 반응기에 전달한 뒤 30초 아르곤으로 퍼징하였다. 이어서 500 sccm의 O3 반응물을 1초 동안 반응기로 전달한 뒤 30초 동안 아르곤으로 퍼징하였다. 생성 MoO2막을 대략 0.4 Å/주기의 속도로 침착하였다. 생성 MoO2막은 X-선 광전자 분광측정(XPS)에 의해 결정되는 대략 24% Mo, 73% O, 2% N 및 1% 미만의 C를 함유하였다. 생성 막의 X선 회절은 Mo(+4)O2를 나타내었는데, 전구체가 Mo(+6)이므로 이는 놀라운 것이다. Mo(+6)은 강한 O3 산화제의 존재 하에 Mo(+4)로 환원되어서는 안 된다. 본 출원인은 일부 금속 Mo(0)이 아마도 기생 CVD 모드에서 또한 침착될 수 있고, 이것이 Mo(+6)O3과 반응하여 이를 Mo(+4)O2로 환원시킨다고 여긴다. MoO2막은 막의 작업 기능을 감소시킬 수 있고, 아마도 루틸 상으로 인해 DRAM 적층물에서 더 낮은 누설 전류로 이어질 수 있다.
본 발명의 성질을 설명하기 위해 본원에 기재되고 예시된 상세사항, 물질, 단계, 및 부품 배열에서의 여러 추가 변화가 첨부되는 청구범위에서 표현되는 발명의 원리 및 범위 내에서 당업자에 의해 수행될 수 있음이 이해될 것이다. 따라서, 본 발명은 상기 제공된 실시예 및/또는 첨부된 도면에서의 특정한 구현예에 제한되지 않는다.

Claims (14)

  1. 6족 막 형성 조성물로서,
    [화학식 II] M(=O)2(NR2)2, 및
    [화학식 III] M(=NR)2(OR)2
    (식 중, M은 Mo 이고, 상기 [화학식 III] 의 R은 각각 독립적으로 H 또는 C1 내지 C6 알킬기이고, 상기 [화학식 II] 의 R은 각각 독립적으로 SiR'3이다(R'은 H 또는 C1 내지 C6 알킬기이다))로 구성된 군으로부터 선택된 6족 전이 금속-함유 전구체를 포함하는 기상 박막 증착에 의한 필름 형성에 이용하기 위한, 6족 막 형성 조성물.
  2. 삭제
  3. 삭제
  4. 제1항에 있어서,
    전구체가 화학식 M(=O)2(NR2)2를 갖는 6족 막 형성 조성물.
  5. 제1항에 있어서,
    전구체가 화학식 M(=NR)2(OR)2를 갖는 6족 막 형성 조성물.
  6. 제1항에 있어서,
    상기 [화학식 III] 의 R은 각각 독립적으로 H, Me, Et, nPr, iPr, nBu, iBu, sBu, tBu 및 tAmyl으로 구성된 군으로부터 선택되고, 상기 [화학식 II] 의 R은 각각 독립적으로 SiMe3인 6족 막 형성 조성물.
  7. 제6항에 있어서,
    전구체가 Mo(=NtBu)2(OtBu)2인 6족 막 형성 조성물.
  8. 기재 상 6족 전이 금속-함유 막의 침착 방법으로서, 제1항 및 제4항 내지 제7항 중 어느 한 항의 6족 막 형성 조성물의 증기를 내부에 배치된 기재를 갖는 반응기 내로 도입하는 단계 및 적어도 일부 6족 전이 금속-함유 전구체를 기재 상에 침착하는 단계를 포함하는 방법.
  9. 제8항에 있어서,
    적어도 하나의 반응물을 반응기 내로 도입하는 단계를 추가로 포함하는 방법.
  10. 제9항에 있어서,
    반응물이 H2, H2CO, N2H4, NH3, SiH4, Si2H6, Si3H8, SiH2Me2, SiH2Et2, N(SiH3)3, 이들의 수소 라디칼, 및 이들의 혼합물로 구성된 군으로부터 선택되는 방법.
  11. 제9항에 있어서,
    반응물이 O2, O3, H2O, H2O2, NO, N2O, NO2, 이들의 산소 라디칼, 및 이들의 혼합물로 구성된 군으로부터 선택되는 방법.
  12. 제9항에 있어서,
    6족 막 형성 조성물 및 반응물이 반응기 내로 동시에 도입되고, 반응기가 화학적 증착을 위해 구성되는 방법.
  13. 제9항에 있어서,
    6족 막 형성 조성물 및 반응물이 챔버 내로 순차적으로 도입되고, 반응기가 원자층 증착을 위해 구성되는 방법.
  14. 삭제
KR1020177005001A 2014-08-14 2015-08-11 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물 KR102443752B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227029719A KR102536435B1 (ko) 2014-08-14 2015-08-11 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462037469P 2014-08-14 2014-08-14
US62/037,469 2014-08-14
PCT/JP2015/004031 WO2016024407A1 (en) 2014-08-14 2015-08-11 Group 6 film forming compositions for vapor deposition of group 6 transition metal-containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227029719A Division KR102536435B1 (ko) 2014-08-14 2015-08-11 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물

Publications (2)

Publication Number Publication Date
KR20170042297A KR20170042297A (ko) 2017-04-18
KR102443752B1 true KR102443752B1 (ko) 2022-09-15

Family

ID=55304042

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227029719A KR102536435B1 (ko) 2014-08-14 2015-08-11 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물
KR1020177005001A KR102443752B1 (ko) 2014-08-14 2015-08-11 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227029719A KR102536435B1 (ko) 2014-08-14 2015-08-11 6족 전이 금속-함유 막의 증착용 6족 막 형성 조성물

Country Status (5)

Country Link
US (4) US10094021B2 (ko)
JP (1) JP6670824B2 (ko)
KR (2) KR102536435B1 (ko)
TW (1) TWI656232B (ko)
WO (1) WO2016024407A1 (ko)

Families Citing this family (235)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11021793B2 (en) * 2018-05-31 2021-06-01 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Group 6 transition metal-containing compositions for vapor deposition of group 6 transition metal-containing films
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2022523689A (ja) 2019-01-28 2022-04-26 ラム リサーチ コーポレーション 金属膜の蒸着
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
TWI755689B (zh) 2019-02-27 2022-02-21 美商恩特葛瑞斯股份有限公司 Vi族前驅化合物
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
EP3976852A4 (en) * 2019-06-05 2023-11-29 Versum Materials US, LLC NEW PRECURSORS OF GROUPS V AND VI TRANSITION METALS FOR THIN FILM DEPOSITION
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
JP2022544674A (ja) * 2019-08-22 2022-10-20 ラム リサーチ コーポレーション 半導体装置の製造における実質的に炭素を含まないモリブデン含有膜およびタングステン含有膜
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11624111B2 (en) * 2020-01-16 2023-04-11 Entegris, Inc. Method for etching or deposition
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210119809A (ko) * 2020-03-25 2021-10-06 삼성전자주식회사 몰리브덴 화합물과 이를 이용한 집적회로 소자의 제조 방법
EP3889319A1 (en) 2020-04-01 2021-10-06 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk Onderzoek TNO Controlled deposition of a functional material onto a target surface
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
EP3893611A1 (en) 2020-04-07 2021-10-13 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk Onderzoek TNO Controlled deposition method of a donor material onto a target surface and plate therefor
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20230033721A (ko) * 2020-07-09 2023-03-08 엔테그리스, 아이엔씨. Vi족 전구체 화합물
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN112125931B (zh) * 2020-10-12 2023-08-04 安徽敦茂新材料科技有限公司 双(叔丁基胺)双(二甲基胺)钨(vi)的合成方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11854813B2 (en) 2021-02-24 2023-12-26 Applied Materials, Inc. Low temperature deposition of pure molybenum films
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3920751A (en) 1967-11-28 1975-11-18 Rhone Poulenc Sa Process for the preparation of ethylenic carbonyl compounds
JPS6136292A (ja) 1984-07-30 1986-02-20 Nippon Soda Co Ltd タングステンオキシアルコキシド化合物およびその製造方法ならびにエレクトロクロミツク表示素子の製造方法
US5639900A (en) 1993-12-29 1997-06-17 Metton America, Inc. Thermally activated olefin metathesis catalyst precursor
AUPP027497A0 (en) 1997-11-07 1997-12-04 Sustainable Technologies Australia Limited Preparation of metal alkoxides
JP2005533178A (ja) 2002-07-12 2005-11-04 ザ プレジデント アンド フェロウズ オブ ハーバード カレッジ 窒化タングステンの蒸着
DE102006000823A1 (de) * 2006-01-05 2007-07-12 H. C. Starck Gmbh & Co. Kg Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD)
US20080119098A1 (en) * 2006-11-21 2008-05-22 Igor Palley Atomic layer deposition on fibrous materials
CN103151335B (zh) * 2007-04-09 2016-09-28 哈佛学院院长等 用于铜互连的氮化钴层及它们的形成方法
JP5730670B2 (ja) * 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
US8574997B2 (en) 2011-06-06 2013-11-05 Intermolecular, Inc. Method of using a catalytic layer to enhance formation of a capacitor stack
KR20140067147A (ko) * 2011-09-27 2014-06-03 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 텅스텐 디아자부타디엔 전구체, 그들의 합성, 및 텅스텐 함유 필름 침착을 위한 그들의 용도
KR20140075024A (ko) * 2012-11-20 2014-06-19 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 알칼리 금속 디아자부타디엔 화합물 및 알칼리 금속-함유 필름 침착을 위한 그의 용도
US8815695B2 (en) 2012-12-27 2014-08-26 Intermolecular, Inc. Methods to improve leakage for ZrO2 based high K MIM capacitor
US9395593B2 (en) 2013-03-15 2016-07-19 Kinestral Technologies, Inc. Electrochromic lithium nickel group 6 mixed metal oxides

Also Published As

Publication number Publication date
JP2017525156A (ja) 2017-08-31
US11162175B2 (en) 2021-11-02
WO2016024407A1 (en) 2016-02-18
US20180355484A1 (en) 2018-12-13
US20200199749A1 (en) 2020-06-25
US20220018026A1 (en) 2022-01-20
TW201612354A (en) 2016-04-01
US10094021B2 (en) 2018-10-09
JP6670824B2 (ja) 2020-03-25
US20170268107A1 (en) 2017-09-21
KR20220124283A (ko) 2022-09-13
KR102536435B1 (ko) 2023-05-26
US10731251B2 (en) 2020-08-04
US11549182B2 (en) 2023-01-10
TWI656232B (zh) 2019-04-11
KR20170042297A (ko) 2017-04-18

Similar Documents

Publication Publication Date Title
US11549182B2 (en) Group 6 transition metal-containing compounds for vapor deposition of group 6 transition metal-containing films
US9938303B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications
US20170044664A1 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10106887B2 (en) Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films
US20160002786A1 (en) Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US20120308739A1 (en) Methods for deposition of alkaline earth metal fluoride films
US10309010B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US9663547B2 (en) Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US20170152144A1 (en) Niobium-nitride film forming compositions and vapor deposition of niobium-nitride films
US9382268B1 (en) Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US9868753B2 (en) Germanium- and zirconium-containing composition for vapor deposition of zirconium-containing films
WO2014118751A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US9790247B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
US10106568B2 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
WO2014118750A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US10570513B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant