KR20200084308A - 실리콘 옥사이드 박막의 고온 원자층 증착 - Google Patents

실리콘 옥사이드 박막의 고온 원자층 증착 Download PDF

Info

Publication number
KR20200084308A
KR20200084308A KR1020200057280A KR20200057280A KR20200084308A KR 20200084308 A KR20200084308 A KR 20200084308A KR 1020200057280 A KR1020200057280 A KR 1020200057280A KR 20200057280 A KR20200057280 A KR 20200057280A KR 20200084308 A KR20200084308 A KR 20200084308A
Authority
KR
South Korea
Prior art keywords
deposition
reactor
silicon oxide
silicon
ald
Prior art date
Application number
KR1020200057280A
Other languages
English (en)
Inventor
하리핀 찬드라
메일리앙 왕
만차오 시아오
신지안 레이
로날드 마틴 펄스타인
마크 레오나르드 오'네일
빙 한
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20200084308A publication Critical patent/KR20200084308A/ko
Priority to KR1020210045301A priority Critical patent/KR102552319B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

500℃ 초과의 온도에 의한 실리콘 옥사이드의 원자층 증착(ALD) 공정 형성이 개시된다. 사용된 실리콘 전구체는 하기 화학식 I 및 화학식 II를 지닌다:
I. R1R2 mSi(NR3R4)nXp
II. R1R2 mSi(OR3)n(OR4)qXp
화학식 I에서,
R1, R2, 및 R3은 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R4는 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기, C3 내지 C10 알킬실릴기로부터 선택되고; R3과 R4는 환형 고리구조를 형성하도록 연결되거나, R3과 R4는 연결되지 않아서 환형 고리구조를 형성하지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; m은 0 내지 3이고; n은 0 내지 2이고; p는 0 내지 2이고, m+n+p = 3이며;
화학식 II에서,
R1 및 R2는 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3 및 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3과 R4는 환형 고리구조를 형성하도록 연결되거나, R3과 R4는 연결되지 않아서 환형 고리구조를 형성하지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드 원자이고; m은 0 내지 3이고; n은 0 내지 2이고; q는 0 내지 2이고; p는 0 내지 2이고, m+n+q+p = 3이다.

Description

실리콘 옥사이드 박막의 고온 원자층 증착{HIGH TEMPERATURE ATOMIC LAYER DEPOSITION OF SILICON OXIDE THIN FILM}
관련 출원의 상호 참조
본 특허 출원은 2012년 4월 12일 출원된 종래의 미국 가특허출원 일련 번호61/623,217호의 우선권 이익을 주장한다.
실리콘 옥사이드 필름을 형성하기 위한 조성물 및 방법이 본원에 기재된다. 보다 구체적으로, 약 500℃ 또는 그 초과의 하나 이상의 증착 온도에서 원자층 증착 (ALD) 공정을 이용하여 실리콘 옥사이드 필름을 형성하기 위한 조성물 및 방법이 본원에 기재된다.
열적 산화는 반도체 산업에서 실리콘 디옥사이드 (SiO2)와 같은 고순도 및 높은 컨포멀(highly conformal) 실리콘 옥사이드 필름을 증착시키는데 일반적으로 이용되는 공정이다. 그러나, 열적 산화 공정은 700℃에서, 예컨대 0.03 Å/s 보다도 매우 낮은 증착 속도를 지니며, 이로 인해 상기 공정은 고용적 제조 공정의 경우 비실용적이다 (참고: 예를 들어, Wolf, S., "Silicon Processing for the VLSI Era Vol. 1 - Process Technology", Lattice Press, CA, 1986).
원자층 증착 (ALD) 및 플라즈마 강화된 원자층 증착(PEALD)은 저온에서 (<500℃) 실리콘 디옥사이드 (SiO2) 컨포멀 필름을 증착시키는데 이용되는 공정이다. ALD 및 PEALD 공정 둘 모두에서, 전구체 및 반응 가스 (예컨대, 산소 또는 오존)는 일정 수의 사이클에서 별도로 펄싱되어 각각의 사이클에서 실리콘 디옥사이드 (SiO2)의 단층을 형성한다. 그러나, 이러한 공정을 이용하여 저온에서 증착된 실리콘 디옥사이드 (SiO2)는 반도체 산업에 유해한 탄소 (C), 질소 (N), 또는 둘 모두와 같은 일정 수준의 불순물을 함유할 수 있다. 이를 개선하기 위한 한 가지 가능한 해결책은 500℃ 또는 그 초과와 같이 증착 온도를 증가시키는 것일 것이다. 그러나, 이러한 고온에서는 반도체 산업에 이용된 통상적인 전구체가 자가-반응하고, 열적으로 분해되고, ALD 방식보다는 CVD 방식으로 증착되기 쉽다. CVD 방식 증착은 특히 반도체 산업에서의 높은 종횡비(aspect ratio) 구조에서 ALD 증착에 비해 감소된 등각성을 갖는다. 또한, CVD 방식 증착은 ALD 방식 증착보다 필름 또는 재료 두께에 대한 제어력이 약하다.
JP2010275602호 및 JP2010225663호는 300-500℃의 온도 범위에서 화학적 기상 증착 (CVD) 공정에 의해 실리콘 옥사이드와 같은 Si 함유 박막을 형성하기 위한 원재료의 이용을 기재한다. 원재료는 화학식 (a) HSi(CH3)(R1)(NR2R3) (상기 식에서, R1은 NR4R5 또는 1C-5C 알킬기를 나타내고; R2 및 R4는 각각 1C-5C 알킬기 또는 수소 원자를 나타내며; R3 및 R5는 각각 1C-5C 알킬기를 나타낸다); 또는 (b) HSiCl(NR1R2)(NR3R4) (상기 식에서, R1 및 R3은 독립적으로 탄소 원자가 1 내지 4개인 알킬기 또는 수소 원자를 나타내고; R2 및 R4는 독립적으로 탄소 원자가 1 내지 4개인 알킬기를 나타낸다)로 표시되는 유기 실리콘 화합물이다. 유기 실리콘 화합물은 H-Si 결합을 함유하였다.
미국특허 7,084,076호 "('076 특허)"는 실리콘 디옥사이드를 형성하기 위해 500℃ 미만에서 ALD 증착을 위한 촉매로서 피리딘과 함께 이용되는 헥사클로로디실록산 (HCDSO)과 같은 할로겐화된 실록산을 개시하고 있다.
미국특허 6,992,019호 "('019 특허)"는 관련 퍼징 방법 및 시퀀싱과 더불어, 2개 이상의 실리콘 원자를 지니는 실리콘 화합물로 이루어지는 첫 번째 반응물 성분을 이용하거나, 3차 지방족 아민을 촉매 성분으로서 이용하거나, 상기 둘 모두를 조합하여 이용함에 의해 반도체 기판 상에 양호한 특성을 갖는 실리콘 디옥사이드 층을 형성하는 촉매-보조 원자층 증착 (ALD) 방법을 개시하고 있다. 이용된 전구체는 헥사클로로디실란이다. 증착 온도는 25 내지 150℃이다.
따라서, 열-기반 증착 공정을 대신하기 위해, 원자층 증착 (ALD) 공정 또는, 비제한적으로, 사이클릭 화학적 기상 증착 공정과 같은 ALD-유사 공정을 이용하여 고품질, 저 불순물, 고도의 컨포멀 실리콘 옥사이드 필름을 형성하는 방법을 개발할 필요가 있었다. 추가로, ALD 또는 ALD-유사 공정에서 순도 및/또는 밀도와 같은 하나 이상의 필름 특성을 향상시키기 위해 고온 증착 (예컨대, 500℃의 하나 이상의 온도에서 증착)을 개발하는 것이 바람직할 수 있다.
본 발명의 개요
본원에는 실리콘 옥사이드 재료 또는 필름을 고온에서, 예컨대 500℃ 또는 그 초과 온도 중의 하나 이상의 온도에서, 원자층 증착 (ALD) 또는 ALD-유사 공정으로 증착시키는 방법이 기재된다.
일 구체예는,
a. 기판을 반응기에 제공하는 단계;
b. 하나 이상의 실리콘 전구체를 반응기에 도입하는 단계;
c. 반응기를 퍼지 가스(purge gas)로 퍼징하는 단계;
d. 산소 공급원을 반응기 내로 도입하는 단계; 및
e. 반응기를 퍼지 가스로 퍼징하는 단계를 포함하는, 실리콘 옥사이드를 증착시키는 방법으로서, 요망되는 두께의 실리콘 옥사이드가 증착될 때까지 상기 단계 b 내지 단계 e를 반복하고; 상기 방법이 500 내지 800℃ 범위 중의 하나 이상의 온도 및 50 miliTorr(mT) 내지 760 Torr 범위 중의 하나 이상의 압력에서 수행되는, 실리콘 옥사이드를 증착시키는 방법을 제공한다.
또 다른 구체예는,
a. 기판을 반응기에 제공하는 단계;
b. 하나 이상의 실리콘 전구체를 반응기에 도입하는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산소 공급원을 반응기 내로 도입하는 단계;
e. 반응기를 퍼지 가스로 퍼징하는 단계;
f. 수증기 또는 하이드록실 공급원을 반응기 내로 도입하는 단계; 및
g. 반응기를 퍼지 가스로 퍼징하는 단계를 포함하는, 실리콘 옥사이드를 증착시키는 방법으로서, 요망되는 두께의 실리콘 옥사이드가 증착될 때까지 상기 단계 b 내지 단계 g를 반복하고; 상기 방법이 500 내지 800℃ 범위 중의 하나 이상의 온도 및 50 miliTorr(mT) 내지 760 Torr 범위 중의 하나 이상의 압력에서 수행되는, 실리콘 옥사이드를 증착시키는 방법을 제공한다. 상기 또는 그 밖의 구체예에서, 산소 공급원은 산소, 산소 플라즈마, 수증기, 수증기 플라즈마, 과산화수소, 질소 옥사이드, 및 오존으로 이루어진 군으로부터 선택된다.
본원에 기재된 하나 이상의 실리콘 전구체는 하기 화학식 I 및 화학식 II로 이루어진 군으로부터 선택된다;
I. R1R2 mSi(NR3R4)nXp
II. R1R2 mSi(OR3)n(OR4)qXp
화학식 I에서, R1, R2, 및 R3은 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R4는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, C6 내지 C10 아릴기, 및 C3 내지 C10 알킬실릴기로부터 선택되고; R3과 R4는 환형 고리구조를 형성하도록 연결되거나, R3과 R4는 연결되지 않아서 환형 고리구조를 형성하지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; m은 0 내지 3이고; n은 0 내지 2이고; p는 0 내지 2이고, m+n+p = 3이며;
화학식 II에서, R1 및 R2는 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3 및 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3과 R4는 환형 고리구조를 형성하도록 연결되거나, R3과 R4는 연결되지 않아서 환형 고리구조를 형성하지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드 원자이고; m은 0 내지 3이고; n은 0 내지 2이고; p는 0 내지 2이고, m+n+p = 3이다.
상기 기재된 하나 이상의 구체예에서, 퍼지 가스는 질소, 헬륨 및 아르곤으로 이루어진 군으로부터 선택된다.
상기 기재된 하나 이상의 구체예에서, 산소 공급원은 산소, 산소 플라즈마, 수증기, 수증기 플라즈마, 과산화수소, 아산화질소, 및 오존 그리고 이들의 조합물로 이루어진 군으로부터 선택된다.
도 1은 온도의 함수로서 디메틸아미노트리메틸실란 (DMATMS) 및 디에틸아미노트리메틸실란 (DEAMTS)의 증착 속도를 도시하며, 이는 두 개의 전구체 모두가 650℃ 이하의 ALD 영역을 지닐 수 있음을 시사한다.
도 2는 2,6-디메틸피페리디노트리메틸실란의 질량 스펙트럼을 제공한다.
도 3은 DMATMS vs. 열산화물을 이용하여 650℃에서 증착된 SiO2 필름에 대한 전류 vs. 전기장을 제공한다.
본원에는 500℃ 또는 그 초과 온도 중의 하나 이상의 온도를 이용한 원자층 증착 (ALD) 또는 비제한적으로 사이클릭 화학적 기상 증착 공정 (CCVD)과 같은 ALD-유사 공정에서의 실리콘 옥시니트라이드 필름, 화학량론적 또는 비화학량론적 실리콘 옥사이드 필름, 실리콘 옥사이드 필름 또는 이들의 조합물과 같은 실리콘 옥사이드 함유 필름의 형성과 관련된 조성물 또는 방법이 기재된다.
종래 기술에서의 전형적인 ALD 공정은 25 내지 500℃ 범위의 공정 온도에서 SiO2를 직접 형성시키는 산소 공급원, 또는 산소, 산소 플라즈마, 수증기, 수증기 플라즈마, 과산화수소, 또는 오존 공급원과 같은 산화제를 이용한다. 증착 단계는,
a. 기판을 반응기에 제공하는 단계;
b. 실리콘 전구체를 반응기에 도입하는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산소 공급원을 반응기 내로 도입하는 단계; 및
e. 반응기를 퍼지 가스로 퍼징하는 단계를 포함한다.
종래 기술의 공정에서, 단계 b 내지 단계 e는 요망되는 두께의 필름이 증착될 때까지 반복된다.
500℃ 초과의 고온 공정은 필름 순도 및 밀도의 관점에서 보다 나은 필름 품질을 산출할 수 있을 것으로 여겨진다. ALD 공정은 양호한 필름 단차 피복(step coverage)을 제공한다. 그러나, ALD 및 PEALD에 이용되는 전형적인 오가노실리콘 전구체는 특정 온도 범위 내에서만 ALD 방식으로 필름을 증착시킨다. 온도가 이러한 범위보다 높으면, 전구체의 열분해가 발생하는데, 이러한 열분해는 증착 공정을 요망되는 ALD 방식이 아닌 CVD 방식으로 변화시키는 가스상 반응 또는 연속적인 기판 표면 반응을 일으킨다.
이론에 구속시키고자 하는 것은 아니지만, 500℃ 초과 온도 중의 하나 이상의 온도에서의 ALD 또는 ALD-유사 증착 공정의 경우, 본원에 기재된 실리콘 전구체 분자는 하나 이상의 고정화 작용기(anchoring functionality)를지녀야 하고, 이러한 작용기는 기판 표면 상의 특정 반응 부위와 반응하여 실리콘 종의 단분자층을 고정시킨다. 고정화 작용기는 할라이드(Cl, Br, I)기, 아미노기, 또는 알콕시기로부터 선택될 수 있고, 바람직하게는 디메틸아미노기 또는 디에틸아미노기와 같은 아미노기이다. 실리콘 전구체는 또한 이것이 추가의 표면 반응을 억제할 정도로 화학적으로 안정하여 자기-제한적 공정을 유도한다는 점에서 부동태화 작용기(passive functionality)를지녀야 한다. 부동태화(passivating) 작용기는 메틸기, 에틸기, 페닐기와 같은 상이한 알킬기로부터 선택되고, 바람직하게는 메틸기이다. 이어서 표면 상에 남아 있는 기들이 산화되어 Si-O-Si 연결 뿐 아니라 하이드록실기를 형성할 수 있다. 또한, H2O 또는 물 플라즈마와 같은 하이드록실 공급원도 반응기 내에 도입시킴에 의해 하기 반응식 1에 제시된 대로 다음 ALD 사이클을 위한 반응 부위로서 더 많은 하이드록실기를 형성할 수 있다.
Figure pat00001
일 구체예에서, 본원에 기재된 하나 이상의 실리콘 전구체는 하기 화학식 I을 지니는 화합물이다:
I. R1R2 mSi(NR3R4)nXp
상기 식에서, R1, R2, 및 R3은 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R4는 선형 또는 분지형 C1 내지 C10 알킬기, C6 내지 C10 아릴기, 및 C3 내지 C10 알킬실릴기로부터 선택되고; R3과 R4는 환형 고리구조를 형성하도록 연결되거나, R3과 R4는 연결되지 않아서 환형 고리구조를 형성하지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드이고; m은 0 내지 3이고; n은 0 내지 2이고; p는 0 내지 2이고, m+n+p = 3이다. 화학식 I을 지니는 전구체의 예는 디에틸아미노트리메틸실란, 디메틸아미노트리메틸실란, 에틸메틸아미노트리메틸실란, 디에틸아미노트리에틸실란, 디메틸아미노트리에틸실란, 에틸메틸아미노트리에틸실란, t-부틸아미노트리에틸실란, 이소-프로필아미노트리에틸실란, 디-이소프로필아미노트리에틸실란, 피롤리디노트리에틸실란, t-부틸아미노트리메틸실란, 이소-프로필아미노트리메틸실란, 디-이소프로필아미노트리메틸실란, 피롤리디노트리메틸실란, 디에틸아미노디메틸실란, 디메틸아미노디메틸실란, 에틸메틸아미노디메틸실란, t-부틸아미노디메틸실란, 이소-프로필아미노디메틸실란, 디-이소프로필아미노디메틸실란, 피롤리디노디메틸실란, 디에틸아미노디에틸실란, 디메틸아미노디에틸실란, 에틸메틸아미노디에틸실란, t-부틸아미노디에틸실란, 이소-프로필아미노디에틸실란, 디-이소프로필아미노디에틸실란, 피롤리도노디에틸실란, 비스(디에틸아미노)디메틸실란, 비스(디메틸아미노)디메틸실란, 비스(에틸메틸아미노)디메틸실란, 비스(디-이소프로필아미노)디메틸실란, 비스(이소-프로필아미노)디메틸실란, 비스(3차-부틸아미노)디메틸실란, 디피롤리디노디메틸실란, 비스(디에틸아미노)디에틸실란, 비스(디메틸아미노)디에틸실란, 비스(에틸메틸아미노)디에틸실란, 비스(디-이소프로필아미노)디에틸실란, 비스(이소-프로필아미노)디에틸실란, 비스(3차-부틸아미노)디에틸실란, 디피롤리디노디에틸실란, 비스(디에틸아미노)메틸비닐실란, 비스(디메틸아미노)메틸비닐실란 비스(에틸메틸아미노)메틸비닐실란, 비스(디-이소프로필아미노)메틸비닐실란, 비스(이소-프로필아미노)메틸비닐실란, 비스(3차-부틸아미노)메틸비닐실란, 디피롤리디노메틸비닐실란, 2,6-디메틸피페리디노메틸실란, 2,6-디메틸피페리디노디메틸실란, 2,6-디메틸피페리디노트리메틸실란, 트리스(디메틸아미노)페닐실란, 트리스(디메틸아미노)메틸실란, 트리스(디메틸아미노)에틸실란, 및 트리스(디메틸아미노)클로로실란을 포함하나 이에 제한되지 않는다.
화학식 I에서의 R4가 C3 내지 C10 알킬실릴기인 그룹 I 실리콘 전구체의 추가의 예는 1,1,1,3,3,3-헥사메틸디실라잔, 1,1,1,3,3,3-헥사에틸디실라잔, 1,1,3,3-테트라메틸디실라잔, 1,1,3,3-테트라에틸디실라잔, 1,1,1,2,3,3,3-헵타메틸디실라잔, 1,1,1,3,3,3-헥사에틸-2-메틸디실라잔, 1,1,2,3,3-펜타메틸디실라잔, 1,1,3,3-테트라에틸-2-메틸디실라잔, 1,1,1,3,3,3-헥사메틸-2-에틸디실라잔, 1,1,1,2,3,3,3-헵타에틸디실라잔, 1,1,3,3-테트라메틸-2-에틸디실라잔, 1,1,2,3,3-펜타에틸디실라잔, 1,1,1,3,3,3-헥사메틸-2-이소프로필디실라잔, 1,1,1,3,3,3-헥사에틸-2-이소프로필디실라잔, 1,1,3,3-테트라메틸-2-이소프로필디실라잔, 및 1,1,3,3-테트라에틸-2-이소프로필디실라잔을 포함하나 이에 제한되지 않는다.
추가의 구체예에서, 본원에 기재된 하나 이상의 실리콘 전구체는 하기 화학식 II를 지니는 화합물이다:
II. R1R2 mSi(OR3)n(OR4)qXp
상기 식에서, R1 및 R2는 각각 독립적으로 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3 및 R4는 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되고; R3과 R4는 환형 고리구조를 형성하도록 연결되거나, R3과 R4는 연결되지 않아서 환형 고리구조를 형성하지 않고; X는 Cl, Br 및 I로 이루어진 군으로부터 선택된 할라이드 원자이고; m은 0 내지 3이고; n은 0 내지 2이고; q는 0 내지 2이고; p는 0 내지 2이고, m+n+q+p = 3이다. 그러한 그룹 II 실리콘 전구체의 예는 메톡시트리메틸실란, 에톡시트리메틸실란, 이소-프로폭시트리메틸실란, 3차-부톡시트리메틸실란, 3차-펜톡시트리메틸실란, 페녹시트리메틸실란, 아세톡시트리메틸실란, 메톡시트리에틸실란, 에톡시트리에틸실란, 이소-프로폭시트리에틸실란, 3차-부톡시트리에틸실란, 3차-펜톡시트리에틸실란, 페녹시트리에틸실란, 아세톡시트리에틸실란, 메톡시디메틸실란, 에톡시디메틸실란, 이소-프로폭시디메틸실란, 3차-부톡시디메틸실란, 3차-펜톡시디메틸실란, 페녹시디메틸실란, 아세톡시디메틸실란, 메톡시디메틸페닐실란, 에톡시디메틸페닐실란, 이소-프로폭시디메틸페닐실란, 3차-부톡시디메틸페닐실란, 3차-펜톡시디메틸페닐실란, 페녹시디메틸페닐실란, 아세톡시디메틸페닐실란, 디메톡시디메틸실란, 디에톡시디메틸실란, 디-이소프로폭시디메틸실란, 디-t-부톡시디메틸실란, 디아세톡시디메틸실란, 디메톡시디에틸실란, 디에톡시디에틸실란, 디-이소프로폭시디에틸실란, 디-t-부톡시디에틸실란, 디아세톡시디에틸실란, 디메톡시디-이소프로필실란, 디에톡시디-이소프로필실란, 디-이소프로폭시디-이소프로필실란, 디-t-부톡시디-이소프로필실란, 디아세톡시디-이소프로필실란, 디메톡시메틸비닐실란, 디에톡시메틸비닐실란, 디-이소프로폭시메틸비닐실란, 디-t-부톡시메틸비닐실란, 디아세톡시메틸비닐실란, 1,1,3,4-테트라메틸-1-실라-2,5-디옥사사이클로펜탄, 및 1,1,3,3,4,4-헥사메틸-1-실라-2,5-디옥사사이클로펜탄을 포함하나 이에 제한되지 않는다.
상기 화학식에서 그리고 본 설명을 통틀어, 용어 "알킬"은 탄소 원자가 1 내지 10개, 3 내지 10개, 또는 1 내지 6개인 선형 또는 분지형 작용기를 나타낸다. 예시적인 선형 알킬기는 비제한적으로 메틸, 에틸, 프로필, 부틸, 펜틸, 및 헥실 기를 포함한다. 예시적인 분지형 알킬기는 비제한적으로 이소프로필, 이소부틸, 2차-부틸, 3차-부틸, 이소-펜틸, 3차-펜틸, 이소헥실, 및 네오헥실을 포함한다. 특정의 구체예에서, 알킬기는 여기에 부착된, 비제한적으로 알콕시기, 디알킬아미노기 또는 이들의 조합과 같은 하나 이상의 작용기를 지닐 수 있다. 다른 구체예에서, 알킬기는 여기에 부착된 하나 이상의 작용기를 갖지 않는다. 알킬기는 포화되거나, 대안적으로 불포화될 수 있다.
상기 화학식에서 그리고 본 설명을 통틀어, 용어 "아릴"은 탄소 원자가 3 내지 10개이거나, 탄소 원자가 5 내지 10개이거나, 탄소 원자가 6 내지 10개인 방향족 환형 작용기를 나타낸다. 예시적인 아릴기는 비제한적으로 페닐, 벤질, 클로로벤질, 톨릴, 및 o-크실릴을 포함한다.
상기 화학식에서 그리고 본 설명을 통틀어, 용어 "알콕시"는 산소 원자에 결합된 알킬기로서 (예컨대, R-O) 1 내지 12개 또는 1 내지 6개의 탄소 원자를 지닐 수 있는 알킬기를 나타낸다. 예시적인 알콕시기는 비제한적으로 메톡시, 에톡시, 프로폭시, 이소프로폭시, 부톡시, 이소부톡시, 2차-부톡시, 3차-부톡시, 펜톡시, 3차-펜톡시, 이소펜톡시, 네오-펜톡시, 헥속시, 및 2-에틸헥속시를 포함한다. 상기 화학식에서 그리고 본 설명을 통틀어, 용어 "아미노"는 질소 원자에 결합된 알킬기 또는 방향족기로서 (예컨대, 상기 정의된 NR3R4) 1 내지 12개 또는 1 내지 6개의 탄소 원자를 지닐 수 있는 알킬기 또는 방향족기를 나타낸다. 예시적인 아미노기는 비제한적으로 디메틸아미노, 디에틸아미노, 3차-부틸아미노, 사이클로헥실아미노, 피페리디노, 알킬 치환된 피페리디노 (예를 들어, 2,6-디메틸피페리디노), 피롤리디노, 알킬 치환된 피롤리디노 (예를 들어, 2,5-디메틸피롤리디노), 피롤릴, 알킬-치환된 피롤릴, 이미다졸릴, 및 알킬 치환된 이미다졸릴 기를 포함한다.
상기 화학식에서 그리고 본 설명을 통틀어, 본원에 사용된 용어 "불포화된"은 작용기, 치환기, 고리 또는 다리가 하나 이상의 탄소 이중 또는 삼중 결합을 지님을 의미한다. 불포화된 고리의 예는, 제한 없이, 페닐 고리와 같은 방향족 고리일 수 있다. 용어 "포화된"은 작용기, 치환기, 고리 또는 다리가 하나 이상의 이중 또는 삼중 결합을 지니지 않음을 의미한다.
상기 화학식에서 그리고 본 설명을 통틀어, 용어 "알킬실릴"은 3 내지 10개를 갖는 선형 또는 분지형 작용기를 나타낸다. 예시적인 알킬실릴기는 비제한적으로 트리메틸실릴, 트리에틸실릴, 디메틸실릴, 디에틸실릴, 및 디메틸에틸실릴을 포함한다.
특정의 구체예에서, 화학식 I 또는 화학식 II에서의 치환기 R3 및 R4는 고리구조를 형성하도록 서로 연결될 수 있다. 당업자가 이해하는 바와 같이, R3 및 R4가 서로 연결되어 고리를 형성하는 경우, R3은 R4에 연결되기 위한 결합을 포함할 것이고 역으로도 가능하다. 이러한 구체예에서, 고리 구조는, 예를 들어, 환형 알킬 고리와 같이 불포화될 수 있거나, 예를 들어, 아릴 고리와 같이 포화될 수 있다. 추가로 이러한 구체예에서, 고리 구조는 또한 치환되거나 치환되지 않을 수 있다. 예시적인 환형 고리 구조는 비제한적으로 피롤리디노, 피페리디노, 및 2,6-디메틸피페리디노 기를 포함한다. 그러나, 다른 구체예에서, 치환기 R3 및 R4는 연결되지 않는다.
특정의 구체예에서, 본원에서 기술된 방법을 사용하여 증착된 실리콘 필름이 산소 공급원, 시약, 또는 산소를 함유하는 전구체를 사용하여 산소의 존재 하에서 형성된다. 산소 공급원은 하나 이상의 산소 공급원의 형태로 반응기에 도입될 수 있고/거나, 증착 공정에 사용된 다른 전구체 중에 부수적으로 존재할 수 있다. 적합한 산소 공급원 가스는 예를 들어, 물(H2O)(예를 들어, 탈이온수, 정제수, 및/또는 증류수), 산소(O2), 산소 플라즈마, 오존(O3), N2O, NO2, 일산화탄소(CO), 이산화탄소(CO2) 및 이들의 조합물을 포함할 수 있다. 특정의 구체예에서, 산소 공급원은 약 1 내지 약 2000 표준 입방 센티미터(standard cubiccentimeter)(sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기에 도입되는 산소 공급원 가스를 포함한다. 산소 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입될 수 있다. 어느 한 특정의 구체예에서, 산소 공급원은 10℃ 또는 그 초과의 온도를 지닌 물을 포함한다. 필름이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01 초 초과의 펄스 폭(pulse duration)을지닐 수 있고, 산소 공급원은 0.01 초 미만의 펄스 폭을 지닐 수 있고, 물 펄스 폭은 0.01 초 미만인 펄스 폭을 지닐 수 있다. 또 다른 구체예에서, 펄스들 사이의 퍼지 폭은 0초 정도로 작을 수 있거나, 중간에 퍼지 없이 연속적으로 펄싱된다. 산소 공급원 또는 시약은 실리콘 전구체에 대해 1:1 비보다 낮은 분자량으로 제공되고, 이로써 적어도 일부 탄소가 증착된 그대로의 유전 필름에 보유된다.
특정의 구체예에서, 실리콘 옥사이드 필름은 질소를 추가로 포함한다. 이들 구체예에서, 필름은 본원에서 기술된 방법을 사용하여 증착되며, 질소 함유 공급원의 존재 하에서 형성된다. 질소 함유 공급원은 하나 이상의 질소 공급원의 형태로 반응기에 도입될 수 있고/거나 증착 공정에 사용된 다른 전구체 중에 부수적으로 존재할 수 있다. 적합한 질소 함유 공급원 가스는 예를 들어, 암모니아, 하이드라진, 모노알킬하이드라진, 디알킬하이드라진, 질소, 질소/수소, 암모니아 플라즈마, 질소 플라즈마, 질소/수소 플라즈마, 및 이들의 혼합물을 포함할 수 있다. 특정의 구체예에서, 질소 함유 공급원은 약 1 내지 약 2000 표준 입방 센티미터 (sccm) 또는 약 1 내지 약 1000 sccm 범위의 유량으로 반응기에 도입되는, 암모니아 플라즈마 또는 수소/질소 플라즈마 공급원 가스를 포함한다. 질소 함유 공급원은 약 0.1 내지 약 100 초 범위의 시간 동안 도입될 수 있다. 필름이 ALD 또는 사이클릭 CVD 공정에 의해 증착되는 구체예에서, 전구체 펄스는 0.01 초 초과의 펄스 폭을 지닐 수 있고, 질소 함유 산소 공급원은 0.01 초 미만의 펄스 폭을 지닐 수 있고, 물 펄스 폭은 0.01 초 미만인 펄스 폭을 지닐 수 있다. 또 다른 구체예에서, 펄스들 사이의 퍼지 폭은 0초 정도로 낮을 수 있거나, 중간에 퍼지 없이 연속적으로 펄싱된다.
본원에서 기술된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징시키기 위해 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다. 예시적인 퍼지 가스로는 아르곤(Ar), 질소(N2), 헬륨(He), 네온, 수소(H2), 및 이들의 혼합물을 포함하나, 이로 제한되는 것은 아니다. 특정의 구체예에서, Ar과 같은 퍼지 가스가 약 0.1 내지 1000 초 동안 10 내지 약 2000 sccm 범위의 유량으로 반응기에 공급되고, 이로써 반응기내 남아있을 수 있는 미반응 물질 및 어떠한 부산물을 퍼징한다.
전구체, 산소 공급원, 질소 함유 공급원, 및/또는 그 밖의 전구체, 공급원 가스, 및/또는 시약을 공급하는 각각의 단계는 형성되는 유전 필름의 화학량론적 조성을 변경시키도록 상기 물질들을 공급하는 시간을 변경시킴으로써 수행될 수 있다.
반응을 유발하고, 기판 상에 유전 필름 또는 코팅을 형성하기 위해 실리콘 전구체, 산소 함유 공급원 또는 이들의 조합물 중 하나 이상에 에너지가 가해진다. 이러한 에너지는 열, 플라즈마, 펄스식 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 포톤(photon), 원격 플라즈마 방법 및 이들의 조합에 의해 제공될 수 있으나, 이로 제한되는 것은 아니다. 특정의 구체예에서, 이차 RF 주파수 소스(source)가 기판 표면에서 플라즈마 특징을 변형시키기 위해 사용될 수 있다. 증착이 플라즈마를 포함하는 구체예에서, 플라즈마-생성 공정은 플라즈마가 반응기에서 직접 생성되는 직접 플라즈마 생성 공정, 또는 다르게는 플라즈마가 반응기 외부에서 생성되어 반응기에 제공되는 원격 플라즈마 생성 공정을 포함할 수 있다.
상기 하나 이상의 전구체는 반응 챔버, 예컨대 사이클릭 CVD 또는 ALD 반응기에 다양한 방식으로 전달될 수 있다. 어느 한 구체예에서, 액체 전달 시스템이 이용될 수 있다. 대안의 구체예에서, 저휘발성 물질을 용량 측정방식으로 전달되게 할 수 있도록 조합된 액체 전달 및 플래시 기화 공정 유닛, 예를 들어, MSP 코포레이션(MSP Corporation, Shoreview, MN)에 의해 제조된 터보(turbo) 기화기가 사용될 수 있으며, 이는 재현가능한 운송 및 전구체의 열 분해 없는 증착을 유도한다. 액체 전달 포뮬레이션(formulation)에 있어서, 본원에서 기술된 전구체는 순수 액체 형태로 전달될 수 있거나, 대안적으로 용매 포뮬레이션, 또는 이를 포함하는 조성물로 사용될 수 있다. 따라서, 특정의 구체예에서, 기판 상에 필름을 형성시키기 위한 소정의 최종 용도 적용에 바람직하고 유리할 수 있음으로 인해 전구체 포뮬레이션은 적합한 특징의 용매 성분(들)을 포함할 수 있다.
화학식(I) 또는 (II)를 갖는 하나 이상의 실리콘 전구체(들)가, 용매 및 본원에서 기술된 화학식(I) 또는 (II)를 갖는 하나 이상의 실리콘 전구체를 포함하는 조성물에 사용되는 그러한 구체예에서, 선택된 용매 또는 이들의 혼합물은 실리콘 전구체와 반응하지 않는다. 조성물 중 용매의 중량 % 양은 0.5 중량% 내지 99.5 중량% 또는 10 중량% 내지 75 중량% 범위이다. 이러한 또는 그 밖의 구체예에서, 용매는 화학식(I) 또는 화학식(II)의 하나 이상의 실리콘 전구체의 비점(b.p.)과 유사한 b.p.을 가지거나, 용매의 b.p.와 화학식(I) 또는 화학식(II)의 하나 이상의 실리콘 전구체의 b.p. 간의 차이는 40℃ 또는 그 미만, 30℃ 또는 그 미만, 또는 20℃ 또는 그 미만, 또는 10℃ 또는 그 미만이다. 다르게는, 비점 간의 차이는 하기 종말점의 어느 하나 이상의 범위이다: 0, 10, 20, 30, 또는 40℃. b.p. 차이의 적합한 범위에 대한 예는 비제한적으로 0 내지 40℃, 20℃ 내지 30℃, 또는 10℃ 내지 30℃를 포함한다. 조성물 중의 적합한 용매의 예는 에테르(예컨대, 1,4-디옥산, 디부틸 에테르), 3차 아민(예컨대, 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트릴(예컨대, 벤조니트릴), 알칸(예컨대, 옥탄, 노난, 도데칸, 에틸사이클로헥산), 방향족 탄화수소(예컨대, 톨루엔, 메시틸렌), 3차 아미노에테르(예컨대 비스(2-디메틸아미노에틸) 에테르), 또는 이들의 혼합물을 포함하나, 이로 제한되는 것은 아니다.
앞서 언급된 바와 같이, 화학식(I) 또는 화학식(II)의 하나 이상의 실리콘 전구체의 순도 수준은 신뢰성있는 반도체 제조에 허용되도록 충분히 높다. 특정의 구체예에서, 본원에서 기술된 화학식(I) 또는 화학식(II)의 하나 이상의 실리콘 전구체는 2중량 % 미만, 또는 1중량 % 미만, 또는 0.5중량 % 미만의 하기 불순물 중 하나 이상을 포함한다: 유리 아민, 유리 할라이드 또는 할로겐 이온, 및 고분자량 화학종. 본원에서 기술되는 실리콘 전구체의 보다 높은 순도 수준은 하기 공정 중 하나 이상을 통해 얻어질 수 있다: 정제, 흡착 및/또는 증류.
본원에서 기술된 방법의 어느 한 구체예에서, 증착이 화학식(I) 또는 화학식(II)의 하나 이상의 실리콘 전구체 및 산소 공급원을 사용하여 수행되는, 사이클릭 증착, 예컨대 ALD-유사, ALD, 또는 PEALD 공정이 사용될 수 있다. ALD-유사 공정은 사이클릭 CVD 공정으로서 정의되나 여전히 높은 컨포멀 실리콘 옥사이드 필름을 제공한다.
특정의 구체예에서, 전구체 캐니스터(canister)로부터 반응 챔버로 연결되는 가스 라인은 공정 요건에 의거한 하나 이상의 온도로 가열되며, 화학식(I) 또는 화학식(II)의 하나 이상의 실리콘 전구체의 용기는 버블링을 위한 하나 이상의 온도로 유지된다. 다른 구체예에서, 화학식(I) 또는 화학식(II)의 하나 이상의 실리콘 전구체를 포함하는 용액은 직접 액체 주입을 위한 하나 이상의 온도로 유지된 기화기로 주입된다.
전구체 펄싱 동안에 화학식(I) 또는 화학식(II)의 하나 이상의 실리콘 전구체의 증기를 반응 챔버에 전달하는 것을 돕기 위해 아르곤 및/또는 그 밖의 가스의 흐름이 캐리어 가스로서 사용될 수 있다. 특정의 구체예에서, 반응 챔버의 공정 압력은 약 1 Torr이다.
전형적인 ALD 또는 CCVD 공정과 같은 ALD-유사 공정에서, 실리콘 옥사이드 기판과 같은 기판은 착화합물이 기판의 표면 상에 초기에 화학적으로 흡착하도록 실리콘 전구체에 노출되는 반응 챔버에서 가열기단(heater stage) 상에서 가열된다.
아르곤과 같은 퍼지 가스는 공정 챔버로부터 흡수되지 않은 과잉의 착화합물을 퍼징한다. 충분히 퍼징한 후, 산소 공급원이 흡수된 표면과 반응하도록 반응 챔버로 도입된 후, 또 다른 퍼지 가스에 의해 챔버로부터 반응 부산물을 제거할 수 있다. 공정 사이클은 요망하는 필름 두께를 달성하도록 반복될 수 있다. 몇몇 경우에, 펌핑이 불활성 가스에 의한 퍼지를 대신할 수 있거나, 둘 모두가 미반응 실리콘 전구체를 제거하기 위해 사용될 수 있다.
상기 또는 그 밖의 구체예에서, 본원에서 기술된 방법의 단계는 다양한 순서로 수행될 수 있고, 순차적으로 수행될 수 있고, 동시에 수행될 수 있고(예를 들어, 또 다른 단계의 적어도 일부 동안), 및 이들의 어떠한 조합으로 수행될 수 있다. 전구체 및 산소 공급원 가스를 공급하는 각각의 단계는 형성되는 유전 필름의 화학량론적 조성을 변경시키도록 상기 물질들을 공급하는 시간을 변경시킴으로써 수행될 수 있다.
기판 상에 실리콘 옥사이드 필름을 증착시키기 위한 본원에서 기술되는 방법의 어느 한 특정의 구체예는
a. 반응기에 기판을 제공하는 단계,
b. 반응기에 화학식(I), (II) 또는 둘 모두를 갖는 본원에서 기술된 하나 이상의 실리콘 전구체를 도입하는 단계,
c. 반응기를 퍼지 가스로 퍼징하는 단계,
d. 산소 공급원을 반응기에 도입하는 단계 및
e. 반응기를 퍼지 가스로 퍼징하는 단계
를 포함하며, 단계 b 내지 e는 요망하는 두께의 실리콘 옥사이드 필름이 증착될 때까지 반복된다.
본원에서 기술된 방법 및 조성물의 어느 한 특정의 구체예에서, 실리콘 전구체는 하기 화학식(I)을 갖는 화합물이다:
I. R1R2 mSi(NR3R4)nXp
상기 식에서, R1은 메틸(Me)기이고, R2는 Me기이고, m=2이고, n=1이고, p=0이고, R3는 수소, 선형 또는 분지형 C1 내지 C10 알킬기, 및 C6 내지 C10 아릴기로부터 선택되며, R4는 선형 또는 분지형 C1 내지 C10 알킬기, C6 내지 C10 아릴기, 및 C3 내지 C10 알킬실릴기로부터 선택되며, R3 및 R4는 사이클릭 고리 구조를 형성하도록 결합되거나, R3 및 R4는 사이클릭 고리 구조를 형성하지 않도록 결합되지 않는다. 하기 표 1은 할라이드 원자, 아민기, 또는 알콕시기로부터 선택된 고정화 작용기를 가지며, 알킬기, 바람직하게는 메틸 또는 Me기로부터 선택된 부동태화 작용기를 갖는, 예시적 실리콘 전구체의 구조를 보여준다. 이론에 결부되는 것은 아니지만, Si-Me 기는 500℃ 초과의 온도에서 안정하며, 추가의 표면 반응을 막는 부동태화 ㅈ작용기를 제공하고, 이것이 자기 제한적(self-limiting) ALD 또는 ALD-유사 공정을 유도한다.
표 1. 하나 이상의 고정화 작용기 및 하나 이상의 부동태화 작용기(예, 3개의 메틸 기)를 지니는 실리콘 전구체
Figure pat00002
Figure pat00003
Figure pat00004
본원에 기재된 방법의 또 다른 구체예는 산화 단계 후에 하이드록실 또는 OH 공급원, 예컨대, H2O 증기를 도입한다. 이러한 구체예에서 목표는 단분자층(monolayer)을 형성시키기 위해서 표면 상에 고정시키는 실리콘 전구체에 대한 고정화 작용기 또는 반응 부위를 재생시키는 것이다. 증착 단계는 하기 단계들로 구성되며, 요망되는 두께의 실리콘 옥사이드가 증착될 때까지 하기 단계 b 내지 단계 g가 반복된다:
a. 기판을 반응기에 제공하는 단계;
b. 반응기 내로 상기 기재된 하나 이상의 실리콘 전구체를 도입하는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산화제를 반응기 내로 도입하는 단계;
e. 반응기를 퍼지 가스로 퍼징하는 단계;
f. 수증기 또는 하이드록실 공급원을 반응기 내로 도입하는 단계; 및
g. 반응기를 퍼지 가스로 퍼징하는 단계.
본원에 기재된 방법의 대안적인 구체예에서, 증착 단계는 하기 단계들로 구성되며, 요망되는 두께가 증착될 때까지 하기 단계 b 내지 단계 g가 반복된다:
a. 기판을 반응기에 제공하는 단계;
b. 반응기 내로 상기 기재된 하나 이상의 실리콘 전구체를 도입하는 단계;
c. 반응기를 퍼지 가스로 퍼징하는 단계;
d. 산소 공급원을 반응기 내로 도입하는 단계;
e. 반응기를 퍼지 가스로 퍼징하는 단계;
f. 수증기 또는 OH 공급원을 반응기 내로 도입하는 단계; 및
g. 반응기를 퍼지 가스로 퍼징하는 단계.
추가의 또 다른 구체예는 부동태화 작용기 또는 메틸과 같은 기를 제거하기 위해서 과산화수소 또는 산소 플라즈마를 사용한다. 증착 단계는 하기와 같으며, 요망되는 두께가 증착될 때까지 하기 단계 b 내지 단계 g가 반복된다:
a) 기판을 반응기에 제공하는 단계;
b) 반응기 내로 상기 기재된 하나 이상의 실리콘 전구체를 도입하는 단계;
c) 반응기를 퍼지 가스로 퍼징하는 단계;
d) 오존, 과산화수소 또는 산소 플라즈마를 반응기 내로 도입하는 단계; 및
e) 반응기를 퍼지 가스로 퍼징하는 단계.
본원에 기재된 방법을 위한 공정 온도는 500℃ 내지 1000℃; 또는 500℃ 내지 750℃; 또는 600℃ 내지 750℃; 또는 600℃ 내지 800℃ 범위의 하나 이상의 온도이다.
증착 압력 범위는 50 mT(밀리토르) 내지 760 Torr, 또는 500 mT 내지 100 Torr 범위의 하나 이상의 압력이다. 퍼지 가스는 불활성 가스, 예컨대, 질소, 헬륨 또는 아르곤으로부터 선택될 수 있다. 산화제는 산소, 과산화물, 오존 또는 플라즈마 공정으로부터 분자 산소로부터 선택된다.
실시예
실시예 1: 디메틸아미노트리메틸실란에 의한 실리콘 옥사이드 필름의 원자층 증착
다음 전구체를 사용하여 실리콘 옥사이드 필름의 원자층 증착을 실시하였다: 디메틸아미노트리메틸실란 (DMATMS). 실험실 규모 ALD 공정 기기 상에서 증착을 수행하였다. 실리콘 전구체를 증기 유도(vapor draw)에 의해 챔버에 전달하였다. 모든 가스(예, 퍼지 및 반응 가스 또는 전구체 및 산소 공급원)를 증착 영역에 진입하기 전에 100℃로 예열하였다. 가스 및 전구체 유속을 고속 작동으로 ALD 다이아프램 밸브에 의해 제어하였다. 증착에 사용된 기판은 12 인치 길이의 실리콘 스트립이었다. 기판 온도를 확인하기 위해서 샘플 홀더 상에 열전대(thermocouple)를 부착하였다. 산소 공급원 가스로서 오존을 사용하여 증착을 수행하였다. 증착 파라미터는 표 I에 제공되어 있다.
표 I: DMATMS를 사용하여 오존으로 실리콘 옥사이드 필름의 원자층 증착을 위한 공정
Figure pat00005
요망되는 두께에 도달할 때까지 단계 2 내지 6을 반복하였다. 필름에서부터 미리 설정된 물리적 모델(예, 로렌츠 오실레이터 모델(Lorentz Oscillator model))까지의 반사 데이터를 피팅함으로써 FilmTek 2000SE 엘립소미터를 사용하여 필름의 두께 및 굴절 지수를 측정하였다. 탈이온수 중의 49% 플루오린화수소 (HF) 산의 1% 용액을 사용하여 습식 엣치 속도(wet etch rate)를 시험하였다. 용액 농도를 확인하기 위하여 열산화물 웨이퍼를 각각의 배치에 대한 참조로서 사용하였다. H2O 중의 1% HF 용액에 대한 전형적인 열산화물 웨이퍼 습식 엣치 속도는 0.5Å/s이다. 엣칭 전 및 후의 필름 두께를 이용하여 습식 엣치 속도를 계산하였다. 필름 중 탄소 및 질소 농도를 다이나믹 이차 이온 질량 분석기(Secondary Ions Mass Spectrometry: SIMS) 기술로 분석하였다. 6개 지점의 측정으로부터 % 불균일율(non-uniformity)을 다음 식을 이용하여 계산하였다: % 불균일율 = ((최대치 - 최소치)/( 2 * 평균치)). 필름 밀도는 X-선 반사법(X-ray reflectometry :XRR)에 의해 특성화되었다. 표 II는 500 내지 650℃ 범위의 웨이퍼 온도에서 DMATMS 전구체의 고정 투입량(8초)으로 증착된 SiO2 필름 특성을 요약한 것이다.
표 II. DMATMS로 증착된 실리콘 옥사이드 필름의 특성
Figure pat00006
2.08 내지 2.23 g/cc 범위의 DMATMS로부터 증착된 실리콘 옥사이드에 대한 필름 밀도.
도 3은 650℃에서 DMATMS로 증착된 열산화물과 SiO2 사이의 누설 전류 및 항복점 비교를 나타낸 것이고, 이는 DMATMS를 사용한 실리콘 옥사이드가 열산화물에 필적하는 전기 특성을 지님을 입증하고 있다. 1 내지 5 MV/cm에서 누설 전류, 전형적인 동작 전압은 열산화물의 전형적인 장치의 동작 전압의 10배 내이다.
ALD 방식의 증착을 확인하기 위해서, 오존을 도입하기 전에 다중 전구체 투입량을 이용하여 증착이 자기 제한적임을 확인하였다. 증착 단계는 하기에 표 III에서 열거된다.
표 III. DMATMS를 사용한 ALD 방식을 확인하기 위한 ALD 조건
Figure pat00007
다중 투입량의 실리콘 전구체를 도입하기 위해서 단계 2a 및 2b를 반복하였다. 증착 속도 및 불균일율 둘 모두는 표 IV에 기재되어 있다.
표 IV. 다중 DMATMS 투입량으로 증착된 SiO2 필름의 증착 속도 및 필름 불균일율
Figure pat00008
증착 속도가 전구체 투입을 증가시킴에 따라 자기 제한적인 거동 및 포화를 나타냈는데, 이는 650℃에서의 ALD 방식 증착을 확인시켜 주는 것이다.
실시예 2: 디에틸아미노트리메틸실란에 의한 실리콘 옥사이드 필름의 원자층 증착
실시예 1의 표 I에 열거된 단계를 이용하여 디에틸아미노트리메틸실란 (DEATMS)으로 실리콘 옥사이드 필름의 원자층 증착을 실시하였다. 고정 전구체 투입량(8초)으로 500 내지 650 ℃에서 DEATMS로 증착된 SiO2 필름의 증착 속도 및 필름 불균일율은 표 IV에 나타내고 있다.
표 IV. 고정된 DEATMS 투입량으로 증착된 SiO2 필름의 증착 속도 및 필름 불균일율
Figure pat00009
ALD 방식 증착을 확인하기 위해서, 오존 증착 단계 전에 다중 전구체 투입량을 이용하여 증착이 자기 제한적임을 확인하였다. 증착 단계는 하기에 표 V에서 열거된다.
표 V. DMATMS를 사용하여 ALD 방식을 확인하기 위한 ALD 조건
Figure pat00010
다중 투입량의 실리콘 전구체를 도입하기 위한 단계 2a 및 2b를 반복하였다. 증착 속도 및 불균일율 둘 모두는 표 VI에 기재되어 있다.
표 VI. 다중 DMATMS 투입량으로 증착된 SiO2 필름의 증착 속도 및 필름 불균일율
Figure pat00011
증착 속도는 전구체 투입을 증가시킴에 따라 자기 제한적인 거동 및 포화를 나타냈는데, 이는 650℃에서의 ALD 방식 증착을 확인시켜 주는 것이다.
실시예 3: DMATMS에 의한 패턴화된 실리콘 기판 상의 실리콘 옥사이드 필름의 원자층 증착
DMATMS로 패턴화된 실리콘 웨이퍼 상에 SiO2 필름을 증착시켰다. 산소 공급원 가스로서 오존을 사용하고, 650℃에서 8초의 전구체 이중 펄스를 이용하여 증착 공정을 수행하였다. 전계 방사형 주사 현미경(field emission scanning electron microscopy: FESEM) Hitachi S-4800 SEM을 사용하여 기판 상에 증착된 필름을 측정하였다. 샘플을 단면 홀더에 장착하고, 2 kv 가속 전압에서 작동되는 SEM을 사용하여 검사하였다. 트렌치의 상부, 측벽, 및 하부에서 샘플 단면의 SIO2 두께 측정을 실시하였다. SiO2 필름의 SEM 단면의 검토 결과는 탁월한 단차 피복율(step coverage) (>96%)을 나타냈고, 공정은 실제로 ALD 공정인 것으로 확인되었다.
실시예 4: 디에틸아미노트리에틸실릴란(DEATES)에 의한 실리콘 옥사이드 필름의 원자층 증착
실리콘 전구체 디에틸아미노트리에틸실란(DEATES) 및 오존을 사용하여 실리콘 옥사이드 필름의 증착을 실시하였다. 이용된 증착 단계는 실시예 1의 표 I에 열거되어 있다. 표 VII은 DEATES을 이용하여 500 내지 650℃의 웨이퍼 온도에서 증착된 SiO2 필름의 증착 속도 및 불균일율을 요약한 것이다.
표 VII. 고정된 DEATES 투입량으로 증착된 SiO2 필름의 증착 속도 및 필름 불균일율
Figure pat00012
표 VII를 참조하면, 600℃에서 증착 속도 및 필름 불균일율은 증가되었는데, 이는 600℃에서의 일부 CVD 반응 및 650℃에서 CVD 반응의 추가 증가를 나타낸다.
실시예 5: 메톡시트리메틸실릴란에 의한 실리콘 옥사이드 필름의 원자층 증착
실리콘 전구체 메톡시트리메틸실릴란을 사용하여 실리콘 옥사이드 필름의 원자층 증착을 실시하였다. 실시예 1의 표 I에 열거된 공정 단계로 650℃에서 오존을 사용하여 증착을 수행하였다. 기판 온도를 650℃로 설정하였다. 증착 속도는 0.3 Å/사이클이었다.
실시예 6: 클로로트리메틸실릴란에 의한 실리콘 옥사이드 필름의 원자층 증착
실리콘 전구체 클로로트리메틸실릴란을 사용하여 실리콘 옥사이드 필름의 원자층 증착을 실시하였다. 산소 공급원 가스로서 오존을 사용하여 증착을 수행하였고, 증착의 공정 파라미터는 실시예 1의 표 I에서와 동일하다. 기판 온도를 650℃로 설정하였다. 증착 속도는 0.5 Å/사이클이었다.
실시예 7: 헥사메틸디실라잔에 의한 실리콘 옥사이드 필름의 원자층 증착
실리콘 전구체 헥사메틸디실란을 사용하여 실리콘 옥사이드 필름의 원자층 증착을 실시하였다. 실시예 1의 표 I에 열거된 공정 단계로 650℃에서 오존을 사용하여 증착을 수행하였다. 증착 속도는 1.3 Å/사이클이었다.
실시예 8: 비스(디메틸아미노)디메틸실란을 사용한 실리콘 옥사이드 필름의 ALD 증착
실리콘 전구체로서 비스디메틸아미노디메틸실란(BDMADMS)을 사용하였다. BDMADMS은 R1R2 mSi(NR3R4)n(여기서, R1, R2, R3, R4는 메틸이고 , n은 2이고, m은 1임)의 일반 구조식을 지닌다.
실험실 규모 ALS 공정 기기 상에서 증착을 수행하였다. 산소 공급원 가스로서 오존을 사용하여 증착을 수행하였고, 증착의 파라미터는 표 I에서와 동일하다.
500 내지 650℃에서 고정된 전구체 투입량(8초)으로 BDMADMS를 사용하여 증착된 실리콘 옥사이드 필름의 증착 속도 및 필름 불균일율은 표 VIII에 요약되어 있다.
표 VIII. 고정된 BDMADMS 투입량으로 증착된 SiO2 필름의 증착 속도 및 필름 불균일율
Figure pat00013
이중 전구체 펄스 공정을 이용하여 ALD 방식을 추가로 확인하였다. 표 IX는 단일 8초 펄스 및 이중 8초 펄스에 의한 필름의 증착 속도 및 불균일율을 나타낸 것이다.
표 IX. BDMADMS에 대한 공정 파라미터 및 결과의 요약
Figure pat00014
표 IX가 보여주는 바와 같이, 이중 전구체 펄스를 이용하는 경우에 증착 속도는 현저히 증가하였으며 균일성이 감소하였는데, 이는 일부 CVD 방식 증착을 나타내는 것이다.
실시예 9: 2,6-디메틸피페리디노트리메틸실란의 합성
첨가 깔때기, 응축기, 및 기계 교반기가 장착된 1000 ml 삼구 둥근 바닥 플라스크에, 113 g (1.0 몰)의 2,6-디메틸피페리딘 및 500 ml의 헥산을 첨가하였다. 교반하면서, 50.5g (0.5 mol)의 클로로트리메틸실란을 첨가 깔때기를 통해 적가하였다. 첨가가 완료된 후, 반응 혼합물을 6시간 동안 환류시켰다. 실온으로 냉각시키고, 혼합물을 여과하였다. 고형물을 헥산으로 세척하고, 헥산 용액을 여과액과 합하였다. 용매 헥산을 증류에 의해 제거하였다. 분별 증류에 의해 134g의 2,6-디메틸피페리디노트리메틸실란을 수득하였다. 수율은 75%였다. 표 2에 제공된 질량 스펙트럼에 의해 이것이 185 (M), 170 (M-15)에서 단편을 지니는 디메틸피페리디노트리메틸실란임이 확인되었다.

Claims (1)

  1. 본원 명세서에 기재된 모든 발명.
KR1020200057280A 2012-04-12 2020-05-13 실리콘 옥사이드 박막의 고온 원자층 증착 KR20200084308A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210045301A KR102552319B1 (ko) 2012-04-12 2021-04-07 실리콘 옥사이드 박막의 고온 원자층 증착

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261623217P 2012-04-12 2012-04-12
US61/623,217 2012-04-12
US13/857,507 US9460912B2 (en) 2012-04-12 2013-04-05 High temperature atomic layer deposition of silicon oxide thin films
US13/857,507 2013-04-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020180022955A Division KR20180026685A (ko) 2012-04-12 2018-02-26 실리콘 옥사이드 박막의 고온 원자층 증착

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210045301A Division KR102552319B1 (ko) 2012-04-12 2021-04-07 실리콘 옥사이드 박막의 고온 원자층 증착

Publications (1)

Publication Number Publication Date
KR20200084308A true KR20200084308A (ko) 2020-07-10

Family

ID=48182719

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020130040559A KR20130116210A (ko) 2012-04-12 2013-04-12 실리콘 옥사이드 박막의 고온 원자층 증착
KR1020150107450A KR20150091296A (ko) 2012-04-12 2015-07-29 실리콘 옥사이드 박막의 고온 원자층 증착
KR1020180022955A KR20180026685A (ko) 2012-04-12 2018-02-26 실리콘 옥사이드 박막의 고온 원자층 증착
KR1020200057280A KR20200084308A (ko) 2012-04-12 2020-05-13 실리콘 옥사이드 박막의 고온 원자층 증착
KR1020210045301A KR102552319B1 (ko) 2012-04-12 2021-04-07 실리콘 옥사이드 박막의 고온 원자층 증착

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020130040559A KR20130116210A (ko) 2012-04-12 2013-04-12 실리콘 옥사이드 박막의 고온 원자층 증착
KR1020150107450A KR20150091296A (ko) 2012-04-12 2015-07-29 실리콘 옥사이드 박막의 고온 원자층 증착
KR1020180022955A KR20180026685A (ko) 2012-04-12 2018-02-26 실리콘 옥사이드 박막의 고온 원자층 증착

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020210045301A KR102552319B1 (ko) 2012-04-12 2021-04-07 실리콘 옥사이드 박막의 고온 원자층 증착

Country Status (6)

Country Link
US (3) US9460912B2 (ko)
EP (1) EP2650399B1 (ko)
JP (5) JP2013236073A (ko)
KR (5) KR20130116210A (ko)
CN (1) CN103374708B (ko)
TW (1) TWI515325B (ko)

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101720017B1 (ko) * 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9583337B2 (en) 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN105097500B (zh) * 2014-05-23 2020-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10113234B2 (en) * 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
CN104120404A (zh) * 2014-07-23 2014-10-29 国家纳米科学中心 一种超薄氧化硅膜材料及其制备方法
CN104099581A (zh) * 2014-07-23 2014-10-15 国家纳米科学中心 一种氧化硅膜材料及其制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104911561B (zh) * 2015-04-14 2017-12-26 中国计量科学研究院 制备高厚度均匀性纳米/亚微米SiO2薄膜的方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
EP3347504A1 (en) * 2015-09-11 2018-07-18 Air Products and Chemicals, Inc. Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN105870249B (zh) * 2016-03-24 2017-10-03 江苏微导纳米装备科技有限公司 一种晶硅太阳能电池的制造工艺
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10464953B2 (en) 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102548405B1 (ko) 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102093227B1 (ko) 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
JP6676592B2 (ja) * 2017-08-22 2020-04-08 キヤノン株式会社 液体吐出ヘッドの製造方法
CN107523809B (zh) * 2017-08-23 2019-06-25 江苏菲沃泰纳米科技有限公司 一种有机硅硬质纳米防护涂层的制备方法
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102251040B1 (ko) 2017-10-24 2021-05-12 (주)덕산테코피아 아미노실란 전구체 화합물 및 이를 사용하는 박막 형성 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190105970A (ko) 2018-03-07 2019-09-18 (주)덕산테코피아 SiC 전구체 화합물 및 이를 사용하는 박막 형성 방법
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102173384B1 (ko) 2018-03-30 2020-11-03 에스케이트리켐 주식회사 SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20210384029A1 (en) * 2018-04-09 2021-12-09 Lam Research Corporation Modifying hydrophobicity of a wafer surface using an organosilicon precursor
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11567407B2 (en) * 2018-09-28 2023-01-31 Tokyo Electron Limited Method for globally adjusting spacer critical dimension using photo-active self-assembled monolayer
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20210054035A (ko) * 2018-10-04 2021-05-12 버슘머트리얼즈 유에스, 엘엘씨 고품질 실리콘 옥사이드 박막의 고온 원자 층 증착용 조성물
US20210380418A1 (en) * 2018-10-05 2021-12-09 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing film
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
JP7087917B2 (ja) 2018-10-31 2022-06-21 住友ゴム工業株式会社 クロスプライタイヤの製造方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
EP3680245A4 (en) * 2018-11-30 2020-07-22 Hansol Chemical Co., Ltd SILICON PRECURSOR AND METHOD FOR PRODUCING A THIN FILM CONTAINING SILICON USING THEREOF
KR102157137B1 (ko) * 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210104123A (ko) * 2018-12-21 2021-08-24 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 550℃ 이상의 온도에서 ald를 사용하여 si-함유 막을 증착시키기 위한 전구체 및 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20210111360A (ko) * 2019-02-01 2021-09-10 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 필름을 위한 조성물 및 이의 사용 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
JP2022530419A (ja) * 2019-04-25 2022-06-29 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化ケイ素薄膜の高温原子層堆積のための有機アミノジシラザン
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7065805B2 (ja) * 2019-05-13 2022-05-12 大陽日酸株式会社 ハロゲン化アミノシラン化合物、薄膜形成用組成物およびシリコン含有薄膜
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7023905B2 (ja) 2019-08-30 2022-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
JP2021040060A (ja) * 2019-09-04 2021-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システム、およびプログラム
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114365265A (zh) * 2019-09-10 2022-04-15 弗萨姆材料美国有限责任公司 用于非保形沉积含硅膜的组合物和使用该组合物的方法
WO2021050659A1 (en) * 2019-09-13 2021-03-18 Versum Materials Us, Llc Monoalkoxysilanes and dense organosilica films made therefrom
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
JP7314016B2 (ja) * 2019-10-16 2023-07-25 大陽日酸株式会社 金属酸化薄膜の形成方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
WO2021133774A1 (en) * 2019-12-27 2021-07-01 Versum Materials Us, Llc Method for depositing a film
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR102364476B1 (ko) * 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7123100B2 (ja) 2020-09-24 2022-08-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20240031411A (ko) * 2021-07-19 2024-03-07 램 리써치 코포레이션 옥사이드 막들의 증착 레이트들 상승
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114391A1 (en) * 2021-12-17 2023-06-22 Entegris, Inc. Precursors and related methods

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000077399A (ja) 1998-01-21 2000-03-14 Nippon Steel Corp シリカ系多孔質膜およびその製造方法
JP3633821B2 (ja) * 1999-03-18 2005-03-30 独立行政法人科学技術振興機構 気相からの低誘電率多孔質シリカ膜の形成方法
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP4410497B2 (ja) * 2003-06-17 2010-02-03 東京エレクトロン株式会社 成膜方法
TW200529325A (en) 2003-09-30 2005-09-01 Aviza Tech Inc Growth of high-k dielectrics by atomic layer deposition
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
WO2006025356A1 (ja) 2004-09-01 2006-03-09 Konica Minolta Holdings, Inc. ガスバリア積層体及びその製造方法
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP2006269621A (ja) * 2005-03-23 2006-10-05 Mitsui Eng & Shipbuild Co Ltd Aldによる薄膜形成方法および装置
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4476880B2 (ja) 2005-06-24 2010-06-09 株式会社東芝 絶縁膜の形成方法、半導体装置の製造方法、半導体装置
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
WO2007139379A1 (en) 2006-05-30 2007-12-06 Fujifilm Manufacturing Europe B.V. Method and apparatus for deposition using pulsed atmospheric pressure glow discharge
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
JP4836761B2 (ja) 2006-11-29 2011-12-14 株式会社日立国際電気 半導体デバイスの製造方法
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP5211572B2 (ja) 2007-07-27 2013-06-12 株式会社明電舎 酸化膜形成方法
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP4982457B2 (ja) 2008-09-11 2012-07-25 信越化学工業株式会社 パターン形成方法
KR101293896B1 (ko) 2008-12-03 2013-08-06 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
JP2010275602A (ja) 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
GB0910040D0 (en) * 2009-06-11 2009-07-22 Fujifilm Mfg Europe Bv Substrate structure
JP5011355B2 (ja) * 2009-07-30 2012-08-29 東京エレクトロン株式会社 成膜方法
JP5421736B2 (ja) * 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
EP2363512A1 (en) 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8460753B2 (en) 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8809152B2 (en) * 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films

Also Published As

Publication number Publication date
JP6276360B2 (ja) 2018-02-07
CN103374708B (zh) 2017-05-17
US20160365244A1 (en) 2016-12-15
EP2650399B1 (en) 2019-09-11
EP2650399A3 (en) 2013-10-30
US9460912B2 (en) 2016-10-04
US20130295779A1 (en) 2013-11-07
CN103374708A (zh) 2013-10-30
KR102552319B1 (ko) 2023-07-07
KR20130116210A (ko) 2013-10-23
JP6262702B2 (ja) 2018-01-17
JP2013236073A (ja) 2013-11-21
US20190189431A1 (en) 2019-06-20
JP2018014536A (ja) 2018-01-25
TWI515325B (zh) 2016-01-01
US10242864B2 (en) 2019-03-26
EP2650399A2 (en) 2013-10-16
TW201343952A (zh) 2013-11-01
JP6673886B2 (ja) 2020-03-25
JP2016027674A (ja) 2016-02-18
KR20150091296A (ko) 2015-08-10
US20170256399A9 (en) 2017-09-07
KR20180026685A (ko) 2018-03-13
US10991571B2 (en) 2021-04-27
JP2017028313A (ja) 2017-02-02
KR20210042072A (ko) 2021-04-16
JP2020038978A (ja) 2020-03-12

Similar Documents

Publication Publication Date Title
KR102552319B1 (ko) 실리콘 옥사이드 박막의 고온 원자층 증착
KR102242461B1 (ko) 실리콘 옥사이드 필름의 증착을 위한 조성물 및 방법
KR102067473B1 (ko) 유기아미노디실란 전구체 및 이를 포함하는 막을 증착시키는 방법
JP6777680B2 (ja) 有機アミノシラン前駆体およびこれを含む膜の堆積方法
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
KR20160132804A (ko) 질화규소 막을 증착시키는 방법
KR20190143489A (ko) 고성장률 규소-함유 필름을 위한 전구체로서 작용성화된 사이클로실라잔
WO2020219349A1 (en) Organoaminodisilazanes for high temperature atomic layer deposition of silicon oxide thin films
KR20210054035A (ko) 고품질 실리콘 옥사이드 박막의 고온 원자 층 증착용 조성물

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application