CN103374708B - 氧化硅薄膜的高温原子层沉积 - Google Patents

氧化硅薄膜的高温原子层沉积 Download PDF

Info

Publication number
CN103374708B
CN103374708B CN201310164475.XA CN201310164475A CN103374708B CN 103374708 B CN103374708 B CN 103374708B CN 201310164475 A CN201310164475 A CN 201310164475A CN 103374708 B CN103374708 B CN 103374708B
Authority
CN
China
Prior art keywords
silane
double
dimethylsilane
trimethyl
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310164475.XA
Other languages
English (en)
Other versions
CN103374708A (zh
Inventor
H·钱德拉
王美良
萧满超
雷新建
R·M·皮尔斯泰恩
M·L·奥内尔
韩冰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN103374708A publication Critical patent/CN103374708A/zh
Application granted granted Critical
Publication of CN103374708B publication Critical patent/CN103374708B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

公开了以>500℃的温度形成氧化硅的原子层沉积(ALD)方法。使用的硅前体具有通式:I.R1R2 mSi(NR3R4)nXp其中R1、R2和R3各自独立地选自氢、直链或支链C1‑C10烷基和C6‑C10芳基;R4选自直链或支链C1‑C10烷基、C6‑C10芳基和C3‑C10烷基甲硅烷基;其中R3和R4连接形成环结构或R3和R4不连接形成环结构;X是选自Cl、Br和I的卤素;m是0‑3;n是0‑2;和p是0‑2且m+n+p=3;和II.R1R2 mSi(OR3)n(OR4)qXp其中R1和R2各自独立地选自氢、直链或支链C1‑C10烷基和C6‑C10芳基;R3和R4各自独立地选自直链或支链C1‑C10烷基和C6‑C10芳基;其中R3和R4连接形成环结构或R3和R4不连接形成环结构;X是选自Cl、Br和I的卤素;m是0‑3;n是0‑2;q是0‑2和p是0‑2且m+n+p+q=3。

Description

氧化硅薄膜的高温原子层沉积
相关申请的交叉引用
本专利申请要求2012年4月12日提交的在先美国临时专利申请序列号61/623,217的优先权权益。
技术领域
本文描述了用于形成氧化硅薄膜的组合物和方法。更具体地,本文描述了用于在约500℃或更高的一个或多个沉积温度下和采用原子层沉积(ALD)工艺形成氧化硅薄膜的组合物和方法。
背景技术
热氧化是在半导体应用中通常用于沉积高纯度和高保形性氧化硅薄膜例如二氧化硅(SiO2)的方法。然而,热氧化过程具有极低的沉积速率,例如,在700℃下低于0.03/s,这使得其对于高体量制造工艺而言是不切实际的(参见,例如,Wolf,S.,“SiliconProcessing for the VLSI Era Vol.1-Process Technology”,Lattice Press,CA,1986)。
原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)是用于在低温下(<500℃)沉积二氧化硅(SiO2)保形薄膜的方法。在ALD和PEALD工艺中,将前体和反应性气体(例如氧或臭氧)以特定的多个循环独立地脉冲以在各循环形成单层二氧化硅(SiO2)。然而,采用这些工艺在低温下沉积的二氧化硅(SiO2)可能包含对半导体应用不利的杂质水平,例如碳(C)、氮(N)或两者。为进行弥补,一种可能的方案是升高沉积温度例如500℃或更高。然而,在这些较高的温度下,半导体工业使用的常规前体倾向于自身反应、热分解和以CVD模式而不是ALD模式沉积。CVD模式沉积相比于ALD沉积保形性降低,尤其是对于半导体应用中的高纵横比结构。另外,CVD模式沉积相比于ALD模式沉积对薄膜或材料厚度的控制较差。
JP2010275602和JP2010225663公开了利用原料通过化学气相沉积(CVD)工艺在300-500℃的温度范围内形成含Si薄膜例如氧化硅。所述原料是有机硅化合物,由式(a)HSi(CH3)(R1)(NR2R3)表示,其中R1代表NR4R5或1C-5C烷基;R2和R4各自代表1C-5C烷基或氢原子;且R3和R5各自代表1C-5C烷基;或由式(b)HSiCl(NR1R2)(NR3R4)表示,其中R1和R3独立地代表具有1到4个碳原子的烷基或氢原子;且R2和R4独立地代表具有1到4个碳原子的烷基。所述有机硅化合物包含H-Si键。
美国专利第7,084,076号(“‘076专利”)公开了一种卤化的硅氧烷例如六氯二硅氧烷(HCDSO),其用于与作为催化剂的吡啶结合用于低于500℃的ALD沉积以形成二氧化硅。
美国专利第6,992,019号(“‘019专利”)公开了用于在半导体衬底上形成具有优异性质的二氧化硅层的催化剂-辅助的原子层沉积(ALD)方法,其采用由具有至少两个硅原子的硅化合物组成的第一反应物组分,或采用脂族叔胺作为催化剂组分或两者组合使用,以及公开了相关的吹扫方法和序列。所使用的前体是六氯乙硅烷。沉积温度为25-150℃。
因此,需要开发采用原子层沉积(ALD)工艺或ALD样工艺例如但不限于循环化学气相沉积工艺来形成高质量、低杂质、高保形性的氧化硅薄膜的方法,以代替基于热的沉积工艺。此外,也可能需要在ALD或ALD样工艺中开发高温沉积(例如,在500℃或更高的的一个或多个温度下)以改善一种或多种薄膜性质,例如纯度和/或密度。
发明简述
本文描述了用于在高温,例如500℃或更高的一个或多个温度下以原子层沉积(ALD)或ALD样工艺沉积氧化硅材料或薄膜的方法。
一种实施方式提供沉积氧化硅的方法,包括步骤:
a.在反应器中提供衬底;
b.将至少一种硅前体引入所述反应器中;
c.用吹扫气体吹扫反应器;
d.将氧源引入所述反应器中;和
e.用吹扫气体吹扫反应器;且
其中重复步骤b-e直到沉积所需厚度的氧化硅;和其中所述方法在500-800℃的一个或多个温度下和50毫托(mT)-760托的一个或多个压力下进行。
另一种实施方式提供沉积氧化硅的方法,包括步骤:
a.在反应器中提供衬底;
b.将至少一种硅前体引入所述反应器中;
c.用吹扫气体吹扫反应器;
d.将氧源引入所述反应器中;
e.用吹扫气体吹扫反应器;
f.将水蒸汽或羟基源引入所述反应器中;和
g.用吹扫气体吹扫反应器;且
其中重复步骤b-g直到沉积所需厚度的氧化硅;和其中所述方法在500-800℃的一个或多个温度下和50毫托(mT)-760托的一个或多个压力下进行。在这种实施方式或其他实施方式中,所述氧源选自氧、氧等离子体、水蒸汽、水蒸汽等离子体、过氧化氢、氮氧化物和臭氧。
本文描述的所述至少一种硅前体选自:
I.R1R2 mSi(NR3R4)nXp
其中R1、R2和R3各自独立地选自氢、直链或支链C1-C10烷基和C6-C10芳基;R4选自氢、直链或支链C1-C10烷基、C6-C10芳基和C3-C10烷基甲硅烷基;其中R3和R4连接形成环结构或R3和R4不连接形成环结构;X是选自Cl、Br和I的卤素;m是0-3;n是0-2;和p是0-2且m+n+p=3;以及
II.R1R2 mSi(OR3)n(OR4)qXp
其中R1和R2各自独立地选自氢、直链或支链C1-C10烷基和C6-C10芳基;R3和R4各自独立地选自直链或支链C1-C10烷基和C6-C10芳基;其中R3和R4连接形成环结构或R3和R4不连接形成环结构;X是选自Cl、Br和I的卤素;m是0-3;n是0-2;q是0-2和p是0-2且m+n+p+q=3。
在上述一个或多个实施方式中,所述吹扫气体选自氮、氦和氩。
在上述一个或多个实施方式中,所述氧源选自氧、氧等离子体、水蒸汽、水蒸汽等离子体、过氧化氢、一氧化二氮和臭氧及其组合。
附图简要说明
图1显示了二甲基氨基三甲基硅烷(DMATMS)和二乙基氨基三甲基硅烷(DEAMTS)的沉积速率随温度的变化,表明这两种前体可以具有高达650℃的ALD窗。
图2提供2,6-二甲基哌啶子基三甲基硅烷的质谱。
图3提供用于在650℃下用DMATMS相对于热氧化物沉积SiO2薄膜的电流相对电场的图。
发明详述
本文描述了涉及在500℃或更高的一个或多个温度下以原子层沉积(ALD)或以ALD样工艺,例如但不限于循环化学气相沉积工艺(CCVD)形成含氧化硅的薄膜(例如氮氧化硅薄膜、化学计量或非化学计量的氧化硅薄膜、氧化硅薄膜或组合)的组合物和方法。
现有技术中典型的ALD工艺直接使用氧源或氧化剂例如氧、氧等离子体、水蒸汽、水蒸汽等离子体、过氧化氢或臭氧源以在25-500℃的处理温度下形成SiO2
沉积步骤包括:
a.在反应器中提供衬底;
b.将硅前体引入所述反应器中;
c.用吹扫气体吹扫反应器;
d.将氧源引入所述反应器中;和
e.用吹扫气体吹扫反应器。
在现有技术的方法中,重复步骤b-e直到沉积所需厚度的薄膜。
据信超过500℃的高温处理可以产生薄膜纯度和密度方面较好的薄膜质量。ALD方法提供良好的薄膜阶梯覆盖。然而,用于ALD或PEALD中的典型有机硅前体仅在特定温度范围内以ALD模式沉积薄膜。当温度高于该范围时,前体发生热分解,这引起气相反应或连续的衬底表面反应,其将沉积工艺转变为CVD模式而不是所希望的ALD模式。
不被理论束缚,对于在高于500℃的一个或多个温度下的ALD或ALD样沉积工艺,本文所描述的硅前体分子具有至少一种锚定官能团,其与衬底表面上的某些反应性位点反应以锚定单层的硅物质。锚定官能团可以选自卤素(Cl、Br、I)基团、氨基或烷氧基,优选氨基,例如二甲基氨基或二乙基氨基。硅前体也具有钝化官能团,即它是化学稳定的以阻止进一步的表面反应,从而形成自限式过程。钝化官能团选自不同的烷基,例如甲基、乙基、苯基,优选甲基。表面上的其余基团然后可以被氧化以形成Si-O-Si键以及羟基。此外,也可以将羟基源例如H2O或水等离子体引入反应器中以形成更多的羟基作为用于下一个ALD循环的反应性位点,如以下方案1中所示的。
方案1
在一个实施方式中,本文描述的至少一种硅前体是具有下式I的化合物:
I.R1R2 mSi(NR3R4)nXp
其中R1、R2和R3各自独立地选自氢、直链或支链C1-C10烷基和C6-C10芳基;R4选自直链或支链C1-C10烷基、C6-C10芳基和C3-C10烷基甲硅烷基;其中R3和R4连接形成环结构或R3和R4不连接形成环结构;X是选自Cl、Br和I的卤素;m是0-3;n是0-2;和p是0-2且m+n+p=3。具有式I的前体的实例包括但不限于:二乙基氨基三甲基硅烷、二甲基氨基三甲基硅烷、乙基甲基氨基三甲基硅烷、二乙基氨基三乙基硅烷、二甲基氨基三乙基硅烷、乙基甲基氨基三乙基硅烷、叔丁基氨基三乙基硅烷、异丙基氨基三乙基硅烷、二异丙基氨基三乙基硅烷、吡咯烷基三乙基硅烷、叔丁基氨基三甲基硅烷、异丙基氨基三甲基硅烷、二异丙基氨基三甲基硅烷、吡咯烷基三甲基硅烷、二乙基氨基二甲基硅烷、二甲基氨基二甲基硅烷、乙基甲基氨基二甲基硅烷、叔丁基氨基二甲基硅烷、异丙基氨基二甲基硅烷、二异丙基氨基二甲基硅烷、吡咯烷基二甲基硅烷、二乙基氨基二乙基硅烷、二甲基氨基二乙基硅烷、乙基甲基氨基二乙基硅烷、叔丁基氨基二乙基硅烷、异丙基氨基二乙基硅烷、二异丙基氨基二乙基硅烷、吡咯烷基二乙基硅烷、双(二乙基氨基)二甲基硅烷、双(二甲基氨基)二甲基硅烷、双(乙基甲基氨基)二甲基硅烷、双(二异丙基氨基)二甲基硅烷、双(异丙基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、二吡咯烷基二甲基硅烷、双(二乙基氨基)二乙基硅烷、双(二甲基氨基)二乙基硅烷、双(乙基甲基氨基)二乙基硅烷、双(二异丙基氨基)二乙基硅烷、双(异丙基氨基)二乙基硅烷、双(叔丁基氨基)二乙基硅烷、二吡咯烷基二乙基硅烷、双(二乙基氨基)甲基乙烯基硅烷、双(二甲基氨基)甲基乙烯基硅烷、双(乙基甲基氨基)甲基乙烯基硅烷、双(二异丙基氨基)甲基乙烯基硅烷、双(异丙基氨基)甲基乙烯基硅烷、双(叔丁基氨基)甲基乙烯基硅烷、二吡咯烷基甲基乙烯基硅烷、2,6-二甲基哌啶子基甲基硅烷、2,6-二甲基哌啶子基二甲基硅烷、2,6-二甲基哌啶子基三甲基硅烷、三(二甲基氨基)苯基硅烷、三(二甲基氨基)甲基硅烷、三(二甲基氨基)乙基硅烷和三(二甲基氨基)氯硅烷。
其中式I中的R4是C3-C10烷基甲硅烷基的I组硅前体的其他实例包括但不限于:1,1,1,3,3,3-六甲基二硅氮烷、1,1,1,3,3,3-六乙基二硅氮烷、1,1,3,3-四甲基二硅氮烷、1,1,3,3-四乙基二硅氮烷、1,1,1,2,3,3,3-七甲基二硅氮烷、1,1,1,3,3,3-六乙基-2-甲基二硅氮烷、1,1,2,3,3-五甲基二硅氮烷、1,1,3,3-四乙基-2-甲基二硅氮烷、1,1,1,3,3,3-六甲基-2-乙基二硅氮烷、1,1,1,2,3,3,3-七乙基二硅氮烷、1,1,3,3-四甲基-2-乙基二硅氮烷、1,1,2,3,3-五乙基二硅氮烷、1,1,1,3,3,3-六甲基-2-异丙基二硅氮烷、1,1,1,3,3,3-六乙基-2-异丙基二硅氮烷、1,1,3,3-四甲基-2-异丙基二硅氮烷和1,1,3,3-四乙基-2-异丙基二硅氮烷。
在另一个实施方式中,本文描述的至少一种硅前体是具有下式II的化合物:
II.R1R2 mSi(OR3)n(OR4)qXp
其中R1和R2各自独立地选自氢、直链或支链C1-C10烷基和C6-C10芳基;R3和R4各自独立地选自直链或支链C1-C10烷基和C6-C10芳基;其中R3和R4连接形成环结构或R3和R4不连接形成环结构;X是选自Cl、Br和I的卤素;m是0-3;n是0-2;q是0-2和p是0-2且m+n+p+q=3。这种II组硅前体的实例包括但不限于:甲氧基三甲基硅烷、乙氧基三甲基硅烷、异丙氧基三甲基硅烷、叔丁氧基三甲基硅烷、叔戊氧基三甲基硅烷、苯氧基三甲基硅烷、乙酰氧基三甲基硅烷、甲氧基三乙基硅烷、乙氧基三乙基硅烷、异丙氧基三乙基硅烷、叔丁氧基三乙基硅烷、叔戊氧基三乙基硅烷、苯氧基三乙基硅烷、乙酰氧基三乙基硅烷、甲氧基二甲基硅烷、乙氧基二甲基硅烷、异丙氧基二甲基硅烷、叔丁氧基二甲基硅烷、叔戊氧基二甲基硅烷、苯氧基二甲基硅烷、乙酰氧基二甲基硅烷、甲氧基二甲基苯基硅烷、乙氧基二甲基苯基硅烷、异丙氧基二甲基苯基硅烷、叔丁氧基二甲基苯基硅烷、叔戊氧基二甲基苯基硅烷、苯氧基二甲基苯基硅烷、乙酰氧基二甲基苯基硅烷、二甲氧基二甲基硅烷、二乙氧基二甲基硅烷、二异丙氧基二甲基硅烷、二叔丁氧基二甲基硅烷、二乙酰氧基二甲基硅烷、二甲氧基二乙基硅烷、二乙氧基二乙基硅烷、二异丙氧基二乙基硅烷、二叔丁氧基二乙基硅烷、二乙酰氧基二乙基硅烷、二甲氧基二异丙基硅烷、二乙氧基二异丙基硅烷、二异丙氧基二异丙基硅烷、二叔丁氧基二异丙基硅烷、二乙酰氧基二异丙基硅烷、二甲氧基甲基乙烯基硅烷、二乙氧基甲基乙烯基硅烷、二异丙氧基甲基乙烯基硅烷、二叔丁氧基甲基乙烯基硅烷、二乙酰氧基甲基乙烯基硅烷、1,1,3,4-四甲基-1-硅杂-2,5-二氧杂环戊烷和1,1,3,3,4,4-六甲基-1-硅杂-2,5-二氧杂环戊烷。
在以上通式以及整个说明书中,术语“烷基”表示具有1-10、3-10或1-6个碳原子的直链或支链官能团。示例性的直链烷基包括,但不限于,甲基、乙基、丙基、丁基、戊基和己基。示例性的支链烷基包括,但不限于,异丙基、异丁基、仲丁基、叔丁基、异戊基、叔戊基、异己基和新己基。在某些实施方式中,烷基可以具有一个或多个与其连接的官能团,例如,但不限于,烷氧基、二烷基氨基或其组合。在其他实施方式中,烷基不具有一个或多个与其连接的官能团。烷基可以是饱和或不饱和的。
在以上通式以及整个说明书中,术语“芳基”表示具有3-10个、5-10个碳原子或6-10个碳原子的芳香环状官能团。示例性的芳基包括,但不限于,苯基、苄基、氯代苄基、甲苯基和邻二甲苯基。
在以上通式以及整个说明书中,术语“烷氧基”表示连接至氧原子(例如,R-O)且可以具有1-12个或1-6个碳原子的烷基。示例性的烷氧基包括,但不限于,甲氧基、乙氧基、丙氧基、异丙氧基、丁氧基、异丁氧基、仲丁氧基、叔丁氧基、戊氧基、叔戊氧基、异戊氧基、新戊氧基、己氧基和2-乙基己氧基。在以上通式以及整个说明书中,术语“氨基”表示连接至氮原子(例如,如上定义的NR3R4)且可以具有1-12个或1-6个碳原子的烷基或芳基。示例性的氨基包括,但不限于,二甲基氨基、二乙基氨基、叔丁基氨基、环己基氨基、哌啶子基、烷基取代的哌啶子基(例如2,6-二甲基哌啶子基)、吡咯烷基、烷基取代的吡咯烷基(例如2,5-二甲基吡咯烷基)、吡咯基、烷基取代的吡咯基、咪唑基和烷基取代的咪唑基。
在以上通式以及整个说明书中,本文使用的术语“不饱和的”是指官能团、取代基、环或桥具有一个或多个碳双键或三键。不饱和环的实例可以是,但不限于,芳香环例如苯环。术语“饱和的”是指官能团、取代基、环或桥不具有一个或多个双键或三键。
在以上通式以及整个说明书中,术语“烷基甲硅烷基”表示具有3到10个碳原子的直链或支链官能团。示例性的烷基甲硅烷基包括,但不限于,三甲基甲硅烷基、三乙基甲硅烷基、二甲基甲硅烷基、二乙基甲硅烷基和二甲基乙基甲硅烷基。
在某些实施方式中,式I或式II中的R3和R4可以连接在一起形成环结构。本领域技术人员将理解,当取代基R3和R4连接在一起形成环时,R3将包括用于连接R4的键,反之亦然。在这些实施方式中,所述环结构可以是饱和的,例如环状烷基环,或不饱和的,例如芳香环。此外,在这些实施方式中,所述环结构也可以是取代的或未取代的。示例性的环状基团包括,但不限于,吡咯烷基、哌啶子基和2,6-二甲基哌啶子基。然而,在其他实施方式中,取代基R3和R4不连接。
在某些实施方式中,采用本文描述的方法沉积的硅薄膜使用氧源、含氧的试剂或前体而在氧的存在下形成。氧源可以以至少一种氧源的形式引入反应器中和/或可以附带地存在于在沉积工艺中使用的其他前体中。合适的氧源气体可以包括,例如,水(H2O)(例如,去离子水、纯化水和/或蒸馏水)、氧(O2)、氧等离子体、臭氧(O3)、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合。在某些实施方式中,氧源包含以大约1至大约2000标准立方厘米/分钟(sccm)或大约1至大约1000sccm的流速引入反应器中的氧源气体。氧源可以引入大约0.1秒至大约100秒的时间。在一个特定实施方式中,氧源包含温度为10℃或更高的水。在其中通过ALD或循环CVD工艺沉积薄膜的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且氧源可以具有小于0.01秒的脉冲持续时间,而水的脉冲持续时间可以具有小于0.01秒的脉冲持续时间长度。在又另一实施方式中,脉冲之间的吹扫持续时间可以低至0秒,或连续地进行脉冲而没有脉冲之间的吹扫。以相对于硅前体低于1∶1比率的分子数量提供氧源或试剂,从而至少一些碳保留在如此沉积的介电薄膜中。
在某些实施方式中,氧化硅薄膜进一步包含氮。在这些实施方式中,所述薄膜使用本文描述的方法沉积并在含氮源的存在下形成。含氮源可以以至少一种氮源的形式引入反应器中和/或可以附带地存在于在沉积工艺中使用的其他前体中。合适的含氮源气体可以包括,例如,氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。在某些实施方式中,含氮源包括以大约1-大约2000标准立方厘米/分钟(sccm)或大约1-大约1000sccm的流速引入反应器中的氨等离子体或氢/氮等离子体源气体。含氮源可以引入大约0.1秒至大约100秒的时间。在其中通过ALD或循环CVD工艺沉积薄膜的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且含氮源可以具有小于0.01秒的脉冲持续时间,而水的脉冲持续时间可以具有小于0.01秒的脉冲持续时间长度。在又另一实施方式中,脉冲之间的吹扫持续时间可以低至0秒,或连续地进行脉冲而没有脉冲之间的吹扫。
本文公开的沉积方法可以包括一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体发生反应的惰性气体。示例性的吹扫气体包括,但不限于,氩(Ar)、氮(N2)、氦(He)、氖、氢(H2)及其混合物。在某些实施方式中,吹扫气体如Ar以大约10-大约2000sccm的流速向反应器中供应大约0.1-1000秒,从而吹扫可能保留在反应器中的未反应物质和任何副产物。
供应前体、氧源、含氮源和/或其他前体、源气体和/或试剂的相应步骤可以通过改变供应这些物质的时间来进行,以改变所获得的介电薄膜的化学计量组成。
将能量供应给硅前体、含氮源或其组合中的至少一种以诱导反应和在衬底上形成介电薄膜或涂层。这种能量可以通过(但不限于)热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合来提供。在某些实施方式中,第二RF射频源可以用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生方法可以包括直接等离子体发生方法(其中等离子体在反应器中直接发生)或者远程等离子体发生方法(其中等离子体在反应器外发生并供应到反应器中)。
所述至少一种硅烷前体可以以多种方式输送到反应室如循环CVD或ALD反应器。在一个实施方式中,可以利用液体输送系统。在替代的实施方式中,可以采用组合的液体输送和闪蒸处理单元,例如,举例来说,由Shoreview,MN的MSP Corporation制造的涡轮蒸发器(turbo vaporizer),以使得低挥发性材料能够定量地输送,这导致可再现的输送和沉积而不发生前体的热分解。在液体输送方案中,本文描述的前体可以以纯液体形式输送,或者可选择地,可以以包含前体的溶剂制剂或组合物的形式使用。因此,在某些实施方式中,如在用于在衬底上形成薄膜的给定终端应用中可能希望的和有利的,前体制剂可以包括具有适当特性的溶剂成分。
对于其中将至少一种具有式I或II的硅前体用于包含溶剂和本文描述的至少一种具有式I或II的硅前体的组合物中的那些实施方式,所选择的溶剂或其混合物不与所述硅前体反应。所述组合物中溶剂的重量百分比含量为0.5重量%-99.5重量%或10重量%-75重量%。在该实施方式或其他实施方式中,溶剂的沸点(b.p.)与该至少一种式I或式II的硅前体的沸点相似,或溶剂的沸点和至少一种式I或式II的硅前体的沸点之间的差异是40℃或更小、30℃或更小、或者20℃或更小、或者10℃或更小。或者沸点之间的差异在具有任何一个或多个以下端点的范围内:0、10、20、30或40℃。适当的沸点差异范围的实例包括但不限于,0-40℃、20-30℃或10-30℃。组合物中适当的溶剂的实例包括,但不限于,醚(例如1,4-二噁烷、二丁醚)、叔胺(例如吡啶、1-甲基哌啶、1-乙基哌啶、N,N′-二甲基哌嗪、N,N,N′,N′-四甲基乙二胺)、腈(例如苄腈)、烷烃(例如辛烷、壬烷、十二烷、乙基环己烷)、芳烃(例如甲苯、均三甲苯)、叔氨基醚(例如双(2-二甲基氨基乙基)醚)或其混合物。
如上所提及的,式I或式II的至少一种硅前体的纯度水平足够高以对于可靠的半导体制造是可接受的。在某些实施方式中,本文描述的式I或式II的至少一种硅前体包含小于2重量%、或小于1重量%、或小于0.5重量%的一种或多种以下杂质:游离胺、游离卤化物或卤素离子和高分子量物质。本文描述的硅前体的较高纯度水平可以通过一种或多种以下过程获得:纯化、吸附和/或蒸馏。
在本文描述的方法的一个实施方式中,可以使用循环沉积工艺如ALD样、ALD或PEALD,其中沉积采用式I或式II的至少一种硅前体和氧源来进行。ALD样工艺定义为循环CVD工艺但仍然提供高保形的氧化硅薄膜。
在某些实施方式中,根据工艺要求将从前体罐连接至反应室的气体管线加热至一个或多个温度,且将式I或式II的至少一种硅前体的容器维持于用于鼓泡的一个或多个温度下。在其他实施方式中,将包含式I或式II的至少一种硅前体的溶液注入维持于一个或多个温度下的蒸发器中用于直接液体注射。
氩和/或其他气体的气流可用作载气以帮助在前体脉冲期间将式I或式II的至少一种硅前体的蒸气递送至反应室中。在某些实施方式中,反应室处理压力为约1托。
在典型的ALD或ALD样工艺例如CCVD方法中,将衬底例如氧化硅衬底在反应室中的加热台上加热,所述反应室起始暴露于硅前体以使得复合物化学吸附在衬底的表面上。
吹扫气体例如氩将未吸收的过量复合物从处理室中吹扫掉。充分的吹扫之后,可以将氧源引入反应室中与吸附的表面反应,随后用另一气体吹扫来将反应副产物从反应室中去除。可以重复处理循环以获得所需的薄膜厚度。在一些情况下,泵送可以取代用惰性气体吹扫,或这两者可同时用于去除未反应的硅前体。
在这种实施方式或其他实施方式中,应理解本文所描述的方法的步骤可以以多种顺序进行、可以顺序地进行、可以同时地进行(例如,在另一步骤的至少一部分期间)或以其任何组合的方式进行。供应前体和氧源气体的相应步骤可以通过改变供应它们的持续时间来进行从而改变所得介电薄膜的化学计量组成。
本文描述方法的一个具体实施方式是在衬底上沉积氧化硅薄膜,包括以下步骤:
a.在反应器中提供衬底;
b.将本文所描述的具有式I、II或两者的至少一种硅前体引入所述反应器中;
c.用吹扫气体吹扫反应器;
d.将氧源引入所述反应器中;和
e.用吹扫气体吹扫反应器;
其中重复步骤b-e直到沉积所需厚度的氧化硅薄膜。
在本文所描述的方法和组合物的一个具体实施方式中,硅前体是具有下式I的化合物:
I.R1R2 mSi(NR3R4)nXp
其中R1是甲基(Me),R2是甲基,m=2,n=1,p=0,R3选自氢、直链或支链C1-C10烷基和C6-C10芳基;R4选自直链或支链C1-C10烷基、C6-C10芳基和C3-C10烷基甲硅烷基;其中R3和R4连接形成环结构或R3和R4不连接形成环结构。下表1显示示例性的硅前体结构,其具有选自卤原子、胺基或烷氧基的锚定官能团和具有选自烷基(优选甲基或Me)的钝化官能团。不被理论束缚,据信Si-Me基在高于500℃的温度下是稳定的并提供钝化官能团以阻止进一步的表面反应,从而形成自限式的ALD或ALD样工艺。
表1:具有至少一种锚定官能团和至少一种钝化官能团(例如3个甲基)的硅前体。
本文描述的方法的另一种实施方式在氧化步骤之后引入羟基或OH源例如H2O蒸汽。这种实施方式的目的是再恢复用于硅前体锚定在表面上以形成单层的锚定官能团或反应性位点。
沉积步骤由以下步骤组成:
a.在反应器中提供衬底;
b.将一种上述硅前体引入所述反应器中;
c.用吹扫气体吹扫反应器;
d.将氧化剂引入所述反应器中;
e.用吹扫气体吹扫反应器;
f.将水蒸汽或羟基源引入所述反应器中;和
g.用吹扫气体吹扫反应器;
其中重复步骤b-g直到沉积所需的厚度。
在本文所述方法的一种可选的实施方式中,沉积步骤由以下步骤组成:
a.在反应器中提供衬底;
b.将一种上述硅前体引入所述反应器中;
c.用吹扫气体吹扫反应器;
d.将氧源引入所述反应器中;
e.用吹扫气体吹扫反应器;
f.将水蒸汽或OH源引入所述反应器中;和
g.用吹扫气体吹扫反应器;
其中重复步骤b-g直到沉积所需的厚度。
又另一个实施方式使用过氧化氢或氧等离子体来去除钝化官能团或基团例如甲基。沉积步骤如下:
a.在反应器中提供衬底;
b.将一种上述硅前体引入所述反应器中;
c.用吹扫气体吹扫反应器;
d.将臭氧、过氧化氢或氧等离子体引入所述反应器中;和
e.用吹扫气体吹扫反应器;
其中重复步骤b-e直到沉积所需的厚度。
本文描述方法的处理温度是500℃-1000℃;或500℃-750℃;或600℃-750℃;或600℃-800℃的一个或多个温度。
沉积压力范围是50毫托(mT)-760托或500mT-100托的一个或多个压力。吹扫气体可以选自惰性气体例如氮、氦或氩。氧化剂选自氧、过氧化物、臭氧或来自等离子体过程的分子氧。
具体实施方式
实施例1:使用二甲基氨基三甲基硅烷的氧化硅薄膜的原子层沉积
使用以下前体:二甲基氨基三甲基硅烷(DMATMS)进行氧化硅薄膜的原子层沉积。在实验室规模的ALD处理装置上进行沉积。通过蒸汽牵引(vapor draw)将硅前体递送至反应室。所有气体(例如吹扫和反应物气体或前体和氧源)在进入沉积区前都预热到100℃。用具有高速致动的ALD隔膜阀控制气体和前体流速。在沉积中使用的衬底是12英寸长的硅条。热电偶与样品架连接以确认衬底温度。使用臭氧作为氧源气体进行沉积。沉积参数在表I中提供。
表I:利用臭氧使用DMATMS的氧化硅薄膜的原子层沉积工艺
步骤1 6秒 排空反应器 <100mT
步骤2 可变 引入硅前体 反应器压力通常<2托
步骤3 6秒 用氮气吹扫反应器 流量1.5slpmN2
步骤4 6秒 排空反应器 <100mT
步骤5 4秒 引入臭氧,16-20%wt
步骤6 6秒 用氮气吹扫反应器 流量1.5slpmN2
重复步骤2到6直到达到所需厚度。薄膜的厚度和折射率采用FilmTek2000SE偏振光椭圆率测量仪通过将来自薄膜的反射数据与预先设定的物理模型(例如,洛伦兹振荡器模型)拟合来测定。湿蚀刻率采用49%氢氟(HF)酸在去离子水中的1%溶液来进行测量。热氧化物晶片用作各批的参照以确认溶液浓度。1%的HF水溶液中典型的热氧化物晶片湿蚀刻率是0.5/s。蚀刻前后的薄膜厚度用于计算湿蚀刻率。薄膜中的碳和氮浓度用动态二次离子质谱(SIMS)技术来分析。%不均匀性由6点测量采用以下方程式计算:%不均匀性=((最大-最小)/(2*平均))。薄膜密度采用X射线反射计(XRR)来表征。表II概述了用固定剂量(8秒)的DMATMS前体在500-650℃的晶片温度下沉积的SiO2薄膜的性质。
表II.使用DMATMS沉积的氧化硅薄膜的特性
由DMATMS沉积的氧化硅的薄膜密度为2.08-2.23g/cc。
图3描绘了热氧化物和用DMATMS在650℃沉积的SiO2之间的漏电流和击穿电流的比较,表明采用DMATMS的氧化硅具有与热氧化物相当的电性能。1-5MV/cm(典型的操作电压)下的漏电流在热氧化物典型装置操作电压的1个数量级范围之内。
为确认ALD模式沉积,在引入臭氧之前使用多个前体剂量以确保沉积是自限的。沉积步骤列于下表III中:
表III.用于确认采用DMATMS的ALD模式的ALD条件
重复步骤2a和2b以引入多个剂量的硅前体。沉积速率和不均匀性均报告在表IV中。
表IV:用多个DMATMS剂量沉积的SiO2薄膜的沉积速率和薄膜不均匀性
沉积速率显示了自限式特性和随着前体剂量增加的饱和,这确认了650℃下的ALD模式沉积。
实施例2:使用二乙基氨基三甲基硅烷的氧化硅薄膜的原子层沉积
采用实施例1的表I中所列的步骤用二乙基氨基三甲基硅烷(DEATMS)进行氧化硅薄膜的原子层沉积。用DEATMS在500-650℃下以固定的前体剂量(8秒)沉积的SiO2薄膜的沉积速率和薄膜不均匀性描述于表IV中。
表IV.用固定的DEATMS剂量沉积的SiO2薄膜的沉积速率和薄膜不均匀性
为确认ALD模式沉积,在臭氧沉积步骤之前使用多个前体剂量以确保沉积是自限式的。沉积步骤列于下表V中:
表V.用于确认采用DEATMS的ALD模式的ALD条件
重复步骤2a和2b以模拟多个剂量的硅前体。沉积速率和不均匀性均报告在表VI中。
表VI:用多个DEATMS剂量沉积的SiO2薄膜的沉积速率和薄膜不均匀性
沉积速率显示了自限式特性和随着前体剂量增加的饱和,这确认了650℃下的ALD模式沉积。
实施例3:使用DMATMS在有图案的硅衬底上氧化硅薄膜的原子层沉积
用DMATMS将SiO2薄膜沉积在有图案的硅晶片上。使用臭氧作为氧源气体以及650℃下8秒的前体双重脉冲进行沉积过程。在衬底上沉积的薄膜采用场致发射扫描电子显微镜(FESEM)Hitachi S-4800SEM来测量。将样品安置在横截面架上,使用在2kV加速电压下操作的SEM来检验。样品横截面的SiO2厚度测量在沟槽的顶部、侧壁和底部进行。SiO2薄膜的SEM横截面检查表明优异的阶梯覆盖(>96%)并证实该过程事实上是ALD过程。
实施例4:使用二乙基氨基三乙基硅烷(DEATES)的氧化硅薄膜的原子层沉积
氧化硅薄膜的沉积采用硅前体二乙基氨基三乙基硅烷(DEATES)和臭氧来进行。所使用的沉积步骤列于实施例1的表I中。表VII概述了采用DEATES在500-650℃的晶片温度下沉积的SiO2薄膜的沉积速率和不均匀性。
表VII.用固定的DEATES剂量沉积的SiO2薄膜的沉积速率和薄膜不均匀性
参见表VII,沉积速率和薄膜不均匀性在600℃下增加,这表明在600℃下的一些CVD反应和在650℃下CVD反应的进一步增加。
实施例5:使用甲氧基三甲基硅烷的氧化硅薄膜的原子层沉积
采用硅前体甲氧基三甲基硅烷进行氧化硅薄膜的原子层沉积。采用臭氧在650℃下以实施例1的表I中所列工艺步骤进行沉积。衬底温度设置在650℃。沉积速率为约0.3/循环。
实施例6:使用三甲基氯硅烷的氧化硅薄膜的原子层沉积
采用硅前体三甲基氯硅烷进行氧化硅薄膜的原子层沉积。采用臭氧作为氧源气体进行沉积,沉积的工艺参数与实施例1的表I中的相同。衬底温度设置在650℃。沉积速率为0.5/循环。
实施例7:使用六甲基二硅氮烷的氧化硅薄膜的原子层沉积
采用硅前体六甲基二硅氮烷进行氧化硅薄膜的原子层沉积。采用臭氧在650℃下以实施例1的表I所列的工艺步骤进行沉积。沉积速率为约1.3/循环。
实施例8:使用双(二甲基氨基)二甲基硅烷的氧化硅薄膜的ALD沉积
双(二甲基氨基)二甲基硅烷(BDMADMS)用作硅前体。BDMADMS具有R1R2 mSi(NR3R4)n的通式结构,其中R1、R2、R3、R4为甲基,n=2且m=1。
在实验室规模的ALD处理装置上进行沉积。采用臭氧作为氧源气体进行沉积,沉积的工艺参数与表I相同。
采用BDMADMS以固定前体剂量(8秒)在500-650℃下沉积的氧化硅薄膜的沉积速率和薄膜不均匀性概括于表VIII中:
表VIII.用固定BDMADMS剂量沉积的SiO2薄膜的沉积速率和薄膜不均匀性。
双重前体脉冲工艺用于进一步证实ALD模式。表IX显示用单一8秒脉冲和双重8秒脉冲沉积的薄膜的沉积速率和不均匀性。
表IX.BDMADMS的工艺参数和结果的概述
如表IX显示,当使用双重前体脉冲时,沉积速率明显增加且均匀性降低,这表明存在一些CVD模式沉积。
实施例9:2,6-二甲基哌啶子基三甲基硅烷的合成
在配备有加液漏斗、冷凝器和机械搅拌器的1000ml三颈圆底烧瓶中添加113g(1.0mol)2,6-二甲基哌啶500ml己烷。搅拌下通过加液漏斗滴加50.5g(0.5mol)三甲基氯硅烷。添加完成之后,将反应混合物回流6小时。冷却至室温,过滤混合物。用己烷洗涤固体,并将己烷溶液与滤液合并。溶剂己烷通过蒸馏去除。通过分馏获得134g2,6-二甲基哌啶子基三甲基硅烷。产率为75%。提供于图2中的质谱证实了它是二甲基哌啶子基三甲基硅烷,其中碎片在185(M)、170(M-15)处。

Claims (14)

1.将氧化硅薄膜沉积到衬底上的方法,包括步骤:
a.在反应器中提供衬底;
b.将至少一种硅前体引入所述反应器中;
c.用吹扫气体吹扫反应器;
d.将氧源引入所述反应器中;和
e.用吹扫气体吹扫反应器;和
其中重复步骤b至e直到沉积所需厚度的氧化硅;和
其中所述方法在500-800℃的一个或多个温度下和50毫托(mT)-760托的一个或多个压力下进行;和其中所述至少一种硅前体具有选自以下的通式:
I.R1R2 mSi(NR3R4)nXp
其中R1是甲基、乙基或苯基;R2和R3各自独立地选自氢、直链或支链C1-C10烷基和C6-C10芳基;以及R4选自直链或支链C1-C10烷基、C6-C10芳基和C3-C10烷基甲硅烷基;其中R3和R4连接形成环结构或R3和R4不连接形成环结构;X是选自Cl、Br和I的卤素;m是0-2;n是0-2;p是0-2且m+n+p=3;和
II.R1R2 mSi(OR3)n(OR4)qXp
其中R1是甲基、乙基或苯基;R2选自氢、直链或支链C1-C10烷基和C6-C10芳基;R3和R4各自独立地选自直链或支链C1-C10烷基、C2-C10酰基和C6-C10芳基;其中R3和R4连接形成环结构或R3和R4不连接形成环结构;X是选自Cl、Br和I的卤素;m是0-2;n是0-2;q是0-2和p是0-2且m+n+p+q=3。
2.权利要求1的方法,其中所述至少一种硅前体具有选自以下的通式:
I.R1R2 mSi(NR3R4)nXp
其中R1是甲基;R2是甲基;R3选自氢、直链或支链C1-C10烷基和C6-C10芳基;以及R4选自直链或支链C1-C10烷基、C6-C10芳基和C3-C10烷基甲硅烷基;其中R3和R4连接形成环结构或R3和R4不连接形成环结构;X是选自Cl、Br和I的卤素;m是2;n是0或1;p是0或1;且m+n+p=3;和
II.R1R2 mSi(OR3)n
其中R1是甲基;R2是甲基;R3选自直链或支链C1-C10烷基、C2-C10酰基和C6-C10芳基;m是2;且n是1。
3.权利要求1的方法,其中所述至少一种硅前体选自:二乙基氨基三乙基硅烷、二甲基氨基三乙基硅烷、乙基甲基氨基三乙基硅烷、叔丁基氨基三乙基硅烷、异丙基氨基三乙基硅烷、二异丙基氨基三乙基硅烷、吡咯烷基三乙基硅烷、二乙基氨基三甲基硅烷、二甲基氨基三甲基硅烷、乙基甲基氨基三甲基硅烷、叔丁基氨基三甲基硅烷、异丙基氨基三甲基硅烷、二异丙基氨基三甲基硅烷、吡咯烷基三甲基硅烷、二乙基氨基二甲基硅烷、二甲基氨基二甲基硅烷、乙基甲基氨基二甲基硅烷、叔丁基氨基二甲基硅烷、异丙基氨基二甲基硅烷、二异丙基氨基二甲基硅烷、吡咯烷基二甲基硅烷、二乙基氨基二乙基硅烷、二甲基氨基二乙基硅烷、乙基甲基氨基二乙基硅烷、叔丁基氨基二乙基硅烷、异丙基氨基二乙基硅烷、二异丙基氨基二乙基硅烷、吡咯烷基二乙基硅烷、双(二乙基氨基)二甲基硅烷、双(二甲基氨基)二甲基硅烷、双(乙基甲基氨基)二甲基硅烷、双(二异丙基氨基)二甲基硅烷、双(异丙基氨基)二甲基硅烷、双(叔丁基氨基)二甲基硅烷、二吡咯烷基二甲基硅烷、双(二乙基氨基)二乙基硅烷、双(二甲基氨基)二乙基硅烷、双(乙基甲基氨基)二乙基硅烷、双(二异丙基氨基)二乙基硅烷、双(异丙基氨基)二乙基硅烷、双(叔丁基氨基)二乙基硅烷、二吡咯烷基二乙基硅烷、双(二乙基氨基)甲基乙烯基硅烷、双(二甲基氨基)甲基乙烯基硅烷、双(乙基甲基氨基)甲基乙烯基硅烷、双(二异丙基氨基)甲基乙烯基硅烷、双(异丙基氨基)甲基乙烯基硅烷、双(叔丁基氨基)甲基乙烯基硅烷、二吡咯烷基甲基乙烯基硅烷、2,6-二甲基哌啶子基甲基硅烷、2,6-二甲基哌啶子基二甲基硅烷、2,6-二甲基哌啶子基三甲基硅烷、三(二甲基氨基)苯基硅烷、三(二甲基氨基)甲基硅烷、三(二甲基氨基)乙基硅烷及其混合物。
4.权利要求1的方法,其中所述至少一种硅前体选自:甲氧基三甲基硅烷、乙氧基三甲基硅烷、异丙氧基三甲基硅烷、叔丁氧基三甲基硅烷、叔戊氧基三甲基硅烷、苯氧基三甲基硅烷、乙酰氧基三甲基硅烷、甲氧基三乙基硅烷、乙氧基三乙基硅烷、异丙氧基三乙基硅烷、叔丁氧基三乙基硅烷、叔戊氧基三乙基硅烷、苯氧基三乙基硅烷、乙酰氧基三乙基硅烷、甲氧基二甲基硅烷、乙氧基二甲基硅烷、异丙氧基二甲基硅烷、叔丁氧基二甲基硅烷、叔戊氧基二甲基硅烷、苯氧基二甲基硅烷、乙酰氧基二甲基硅烷、甲氧基二甲基苯基硅烷、乙氧基二甲基苯基硅烷、异丙氧基二甲基苯基硅烷、叔丁氧基二甲基苯基硅烷、叔戊氧基二甲基苯基硅烷、苯氧基二甲基苯基硅烷、乙酰氧基二甲基苯基硅烷、二甲氧基二甲基硅烷、二乙氧基二甲基硅烷、二异丙氧基二甲基硅烷、二叔丁氧基二甲基硅烷、二乙酰氧基二甲基硅烷、二甲氧基二乙基硅烷、二乙氧基二乙基硅烷、二异丙氧基二乙基硅烷、二叔丁氧基二乙基硅烷、二乙酰氧基二乙基硅烷、二甲氧基甲基乙烯基硅烷、二乙氧基甲基乙烯基硅烷、二异丙氧基甲基乙烯基硅烷、二叔丁氧基甲基乙烯基硅烷、二乙酰氧基甲基乙烯基硅烷、1,1,3,4-四甲基-1-硅杂-2,5-二氧杂环戊烷、1,1,3,3,4,4-六甲基-1-硅杂-2,5-二氧杂环戊烷及其混合物。
5.权利要求1的方法,其中所述至少一种硅前体选自:1,1,1,3,3,3-六甲基二硅氮烷、1,1,1,3,3,3-六乙基二硅氮烷、1,1,3,3-四甲基二硅氮烷、1,1,3,3-四乙基二硅氮烷、1,1,1,2,3,3,3-七甲基二硅氮烷、1,1,1,3,3,3-六乙基-2-甲基二硅氮烷、1,1,2,3,3-五甲基二硅氮烷、1,1,3,3-四乙基-2-甲基二硅氮烷、1,1,1,3,3,3-六甲基-2-乙基二硅氮烷、1,1,1,2,3,3,3-七乙基二硅氮烷、1,1,3,3-四甲基-2-乙基二硅氮烷、1,1,2,3,3-五乙基二硅氮烷、1,1,1,3,3,3-六甲基-2-异丙基二硅氮烷、1,1,1,3,3,3-六乙基-2-异丙基二硅氮烷、1,1,3,3-四甲基-2-异丙基二硅氮烷、1,1,3,3-四乙基-2-异丙基二硅氮烷及其混合物。
6.权利要求1的方法,其中所述至少一种硅前体选自:异丙基氨基三甲基硅烷、叔丁基氨基三甲基硅烷、异丁基氨基三甲基硅烷、环己基氨基三甲基硅烷、吡咯烷基三甲基硅烷、2-甲基吡咯烷基三甲基硅烷、2,5-二甲基吡咯烷基三甲基硅烷、哌啶子基三甲基硅烷、2,6-二甲基哌啶子基三甲基硅烷、1-甲基哌嗪基三甲基硅烷、吡咯基三甲基硅烷、2,5-二甲基吡咯基三甲基硅烷、咪唑基三甲基硅烷、1,1,1,3,3,3-六甲基二硅氮烷、甲氧基三甲基硅烷、乙氧基三甲基硅烷和三甲基氯硅烷。
7.权利要求1-6任一项的方法,其中所述温度为550-750℃。
8.权利要求1-6任一项的方法,其中所述压力为50毫托(mT)-100托。
9.权利要求1-6任一项的方法,其中所述吹扫气体选自氮、氦和氩。
10.权利要求1-6任一项的方法,其中所述氧源选自氧、过氧化物、氧等离子体、水蒸汽、水蒸汽等离子体、过氧化氢、一氧化二氮和臭氧源。
11.权利要求1-6任一项的方法,在步骤e之后还包括步骤f和g:
f.将选自水蒸汽和羟基源的试剂引入所述反应器中;
g.用吹扫气体吹扫反应器;
其中重复步骤b-g直到沉积所需厚度的氧化硅。
12.一种含硅前体,其选自2,6-二甲基哌啶子基三甲基硅烷、2,5-二甲基吡咯烷基三甲基硅烷和2-甲基吡咯烷基三甲基硅烷。
13.一种用于沉积氧化硅薄膜的组合物,包含:
2,6-二甲基哌啶子基三甲基硅烷作为硅前体,和
氧源。
14.至少一种硅前体用于在550-750℃的温度范围内的一种或多种温度下以原子层沉积(ALD)或ALD样工艺沉积氧化硅薄膜的用途,其中所述至少一种硅前体选自二甲基氨基三甲基硅烷和二乙基氨基三甲基硅烷。
CN201310164475.XA 2012-04-12 2013-04-12 氧化硅薄膜的高温原子层沉积 Active CN103374708B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261623217P 2012-04-12 2012-04-12
US61/623,217 2012-04-12
US13/857,507 US9460912B2 (en) 2012-04-12 2013-04-05 High temperature atomic layer deposition of silicon oxide thin films
US13/857,507 2013-04-05

Publications (2)

Publication Number Publication Date
CN103374708A CN103374708A (zh) 2013-10-30
CN103374708B true CN103374708B (zh) 2017-05-17

Family

ID=48182719

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310164475.XA Active CN103374708B (zh) 2012-04-12 2013-04-12 氧化硅薄膜的高温原子层沉积

Country Status (6)

Country Link
US (3) US9460912B2 (zh)
EP (1) EP2650399B1 (zh)
JP (5) JP2013236073A (zh)
KR (5) KR20130116210A (zh)
CN (1) CN103374708B (zh)
TW (1) TWI515325B (zh)

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101720017B1 (ko) * 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9583337B2 (en) 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
CN105097500B (zh) * 2014-05-23 2020-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10113234B2 (en) * 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
CN104099581A (zh) * 2014-07-23 2014-10-15 国家纳米科学中心 一种氧化硅膜材料及其制备方法
CN104120404A (zh) * 2014-07-23 2014-10-29 国家纳米科学中心 一种超薄氧化硅膜材料及其制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104911561B (zh) * 2015-04-14 2017-12-26 中国计量科学研究院 制备高厚度均匀性纳米/亚微米SiO2薄膜的方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
CN117265512A (zh) * 2015-09-11 2023-12-22 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
CN105870249B (zh) * 2016-03-24 2017-10-03 江苏微导纳米装备科技有限公司 一种晶硅太阳能电池的制造工艺
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10703915B2 (en) * 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10464953B2 (en) 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102548405B1 (ko) 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102093227B1 (ko) 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6676592B2 (ja) * 2017-08-22 2020-04-08 キヤノン株式会社 液体吐出ヘッドの製造方法
CN107523809B (zh) * 2017-08-23 2019-06-25 江苏菲沃泰纳米科技有限公司 一种有机硅硬质纳米防护涂层的制备方法
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102251040B1 (ko) 2017-10-24 2021-05-12 (주)덕산테코피아 아미노실란 전구체 화합물 및 이를 사용하는 박막 형성 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190105970A (ko) 2018-03-07 2019-09-18 (주)덕산테코피아 SiC 전구체 화합물 및 이를 사용하는 박막 형성 방법
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102173384B1 (ko) 2018-03-30 2020-11-03 에스케이트리켐 주식회사 SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
CN111954922A (zh) * 2018-04-09 2020-11-17 朗姆研究公司 使用有机硅前体修饰晶片表面的疏水性
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
WO2020069346A1 (en) * 2018-09-28 2020-04-02 Tokyo Electron Limited Method for globally adjusting spacer critical dimension using photo-active self-assembled monolayer
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
WO2020072768A1 (en) * 2018-10-04 2020-04-09 Versum Materials Us, Llc Composition for high temperature atomic layer deposition of high quality silicon oxide thin films
WO2020072874A1 (en) * 2018-10-05 2020-04-09 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
JP7087917B2 (ja) 2018-10-31 2022-06-21 住友ゴム工業株式会社 クロスプライタイヤの製造方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
KR102157137B1 (ko) * 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
EP3766888A1 (en) * 2018-11-30 2021-01-20 Hansol Chemical Co., Ltd Silicon precursor and method of manufacturing silicon-containing thin film using the same
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020131635A1 (en) * 2018-12-21 2020-06-25 K.K. Air Liquide Laboratories PRECURSORS AND PROCESSES FOR DEPOSITION OF SI-CONTAINING FILMS USING ALD AT TEMPERATURE OF 550ºC OR HIGHER
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202108234QA (en) * 2019-02-01 2021-08-30 Versum Materials Us Llc Compositions and methods using same for silicon containing films
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219349A1 (en) 2019-04-25 2020-10-29 Versum Materials Us, Llc Organoaminodisilazanes for high temperature atomic layer deposition of silicon oxide thin films
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7065805B2 (ja) * 2019-05-13 2022-05-12 大陽日酸株式会社 ハロゲン化アミノシラン化合物、薄膜形成用組成物およびシリコン含有薄膜
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7023905B2 (ja) 2019-08-30 2022-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP2021040060A (ja) * 2019-09-04 2021-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システム、およびプログラム
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220057617A (ko) * 2019-09-10 2022-05-09 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 필름의 비등각 증착을 위한 조성물 및 이를 이용하는 방법
KR20220061162A (ko) * 2019-09-13 2022-05-12 버슘머트리얼즈 유에스, 엘엘씨 모노알콕시실란 및 이로부터 제조된 고밀도 오가노실리카 필름
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
JP7314016B2 (ja) * 2019-10-16 2023-07-25 大陽日酸株式会社 金属酸化薄膜の形成方法
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
WO2021133774A1 (en) * 2019-12-27 2021-07-01 Versum Materials Us, Llc Method for depositing a film
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR102364476B1 (ko) * 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP7123100B2 (ja) 2020-09-24 2022-08-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
WO2023003668A1 (en) * 2021-07-19 2023-01-26 Lam Research Corporation Increasing deposition rates of oxide films
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230193462A1 (en) * 2021-12-17 2023-06-22 Entegris, Inc. Precursors and related methods

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1928015A2 (en) * 2006-11-28 2008-06-04 Air Products and Chemicals, Inc. Organosilane compounds for modifying etch properties of silicon oxide and silicon nitride films
CN101255548A (zh) * 2007-02-27 2008-09-03 气体产品与化学公司 含硅膜的等离子体增强周期化学气相沉积

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000077399A (ja) 1998-01-21 2000-03-14 Nippon Steel Corp シリカ系多孔質膜およびその製造方法
JP3633821B2 (ja) * 1999-03-18 2005-03-30 独立行政法人科学技術振興機構 気相からの低誘電率多孔質シリカ膜の形成方法
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP4410497B2 (ja) * 2003-06-17 2010-02-03 東京エレクトロン株式会社 成膜方法
WO2005034195A2 (en) 2003-09-30 2005-04-14 Aviza Technology, Inc. Growth of high-k dielectrics by atomic layer deposition
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
EP1785266A4 (en) 2004-09-01 2011-03-16 Konica Minolta Holdings Inc GASSPERRENM MULTILAYER BODY AND METHOD OF MANUFACTURING THEREOF
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP2006269621A (ja) * 2005-03-23 2006-10-05 Mitsui Eng & Shipbuild Co Ltd Aldによる薄膜形成方法および装置
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4476880B2 (ja) 2005-06-24 2010-06-09 株式会社東芝 絶縁膜の形成方法、半導体装置の製造方法、半導体装置
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
WO2007139379A1 (en) 2006-05-30 2007-12-06 Fujifilm Manufacturing Europe B.V. Method and apparatus for deposition using pulsed atmospheric pressure glow discharge
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
JP4836761B2 (ja) 2006-11-29 2011-12-14 株式会社日立国際電気 半導体デバイスの製造方法
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP5211572B2 (ja) 2007-07-27 2013-06-12 株式会社明電舎 酸化膜形成方法
KR101542267B1 (ko) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP4982457B2 (ja) 2008-09-11 2012-07-25 信越化学工業株式会社 パターン形成方法
KR101293896B1 (ko) 2008-12-03 2013-08-06 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
JP2010275602A (ja) 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
GB0910040D0 (en) * 2009-06-11 2009-07-22 Fujifilm Mfg Europe Bv Substrate structure
JP5011355B2 (ja) * 2009-07-30 2012-08-29 東京エレクトロン株式会社 成膜方法
JP5421736B2 (ja) * 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
EP2363512A1 (en) 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8460753B2 (en) 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8809152B2 (en) * 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1928015A2 (en) * 2006-11-28 2008-06-04 Air Products and Chemicals, Inc. Organosilane compounds for modifying etch properties of silicon oxide and silicon nitride films
CN101255548A (zh) * 2007-02-27 2008-09-03 气体产品与化学公司 含硅膜的等离子体增强周期化学气相沉积

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Development of Novel Silicon Precursors for Low-Temperature CVD/ALD Processes;Kohei Iwanaga etal.;《ECS Transactions》;20111031;第41卷(第2期);第211-218页 *
Impact of Aminosilane Precursor Struture on Silicon Oxide by Atomic Layer Deposition;Oneill M. L. etal.;《THE ELECTROCHEMICAL SOCIETY INTERFACE》;20111231;第20卷(第4期);第33-37页 *
SiO2 Atomic Layer Deposition Using Tris(dimethylamino)silane and Hydrogen Perxide Studied by in situ Transmission FTIR Spectroscopy;B. B. Burton etal.;《,J. Phys. Chem. C》;20090417;第113卷(第19期);第8249-8257页 *

Also Published As

Publication number Publication date
KR20180026685A (ko) 2018-03-13
TW201343952A (zh) 2013-11-01
CN103374708A (zh) 2013-10-30
US20130295779A1 (en) 2013-11-07
JP2018014536A (ja) 2018-01-25
JP2017028313A (ja) 2017-02-02
US10242864B2 (en) 2019-03-26
KR20150091296A (ko) 2015-08-10
TWI515325B (zh) 2016-01-01
US9460912B2 (en) 2016-10-04
JP6262702B2 (ja) 2018-01-17
US20190189431A1 (en) 2019-06-20
JP2016027674A (ja) 2016-02-18
US20170256399A9 (en) 2017-09-07
JP6673886B2 (ja) 2020-03-25
KR20210042072A (ko) 2021-04-16
EP2650399B1 (en) 2019-09-11
JP2013236073A (ja) 2013-11-21
EP2650399A2 (en) 2013-10-16
US10991571B2 (en) 2021-04-27
KR102552319B1 (ko) 2023-07-07
JP2020038978A (ja) 2020-03-12
KR20200084308A (ko) 2020-07-10
JP6276360B2 (ja) 2018-02-07
EP2650399A3 (en) 2013-10-30
KR20130116210A (ko) 2013-10-23
US20160365244A1 (en) 2016-12-15

Similar Documents

Publication Publication Date Title
CN103374708B (zh) 氧化硅薄膜的高温原子层沉积
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
JP2021185150A (ja) 有機アミノシラン前駆体およびこれを含む膜の堆積方法
TWI623543B (zh) 含硼化合物、組合物及含硼膜的沉積方法
CN103397307B (zh) 制备含硅膜的方法
CN104962877A (zh) 用于沉积氧化硅膜的组合物和方法
CN109963963A (zh) 用于沉积氧化硅膜的组合物和方法
CN104831254A (zh) 氮化硅膜的沉积方法
CN105801612A (zh) 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
JP2022518595A (ja) ケイ素含有膜のための組成物及びその組成物を使用する方法
KR102308644B1 (ko) 실리콘 전구체 화합물, 제조 방법, 및 이를 이용하는 실리콘-함유 막 형성 방법
KR20210146448A (ko) 실리콘 옥사이드 박막의 고온 원자층 증착을 위한 오가노아미노디실라잔
TW202018118A (zh) 用於高品質氧化矽薄膜的高溫原子層沉積的組合物
KR102173384B1 (ko) SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
JP2004137222A (ja) 新規化合物、これを含有してなる化学気相成長用原料及び薄膜の製造方法
TW201713671A (zh) 有機胺基二矽烷前驅物及其用於沉積膜的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170605

Address after: Arizona, USA

Patentee after: Versum Materials US, LLC

Address before: American Pennsylvania

Patentee before: Air Products and Chemicals, Inc.