CN103397307B - 制备含硅膜的方法 - Google Patents

制备含硅膜的方法 Download PDF

Info

Publication number
CN103397307B
CN103397307B CN201310291966.0A CN201310291966A CN103397307B CN 103397307 B CN103397307 B CN 103397307B CN 201310291966 A CN201310291966 A CN 201310291966A CN 103397307 B CN103397307 B CN 103397307B
Authority
CN
China
Prior art keywords
precursor
film
silicon precursor
group
silane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310291966.0A
Other languages
English (en)
Other versions
CN103397307A (zh
Inventor
杨柳
萧满超
韩冰
K·S·卡瑟尔
M·L·奥尼尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN103397307A publication Critical patent/CN103397307A/zh
Application granted granted Critical
Publication of CN103397307B publication Critical patent/CN103397307B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC

Abstract

本发明提供了制备含硅膜的方法。本文描述了形成介电膜的方法,该膜包含硅、氧及任选的氮、碳、氢和硼。本文还公开了在待加工的物体,如半导体晶片,上形成介电膜或涂层的方法。

Description

制备含硅膜的方法
本申请是申请日为2011年2月9日、申请号为201110036115.2和发明名称为“制备含硅膜的方法”的发明专利申请的分案申请。
相关申请的交叉引用
本申请要求2010年2月4日提交的在先美国临时申请61/301,375的优先权。
背景技术
在此公开的是制备用于各种电子应用中的含硅材料或膜的方法和组合物,例如但不限于化学计量的或非化学计量的氧化硅、氮氧化硅或碳氮氧化硅(siliconoxycarbonitride)膜。
氧化硅薄膜由于其介电性能而经常在半导体制造中用作电介质。在硅基半导体器件的制造中,氧化硅膜可用作栅极绝缘、扩散掩模、侧壁间隔(sidewall spacer)、硬掩模、抗反射涂层、钝化及封装以及各种其他用途。氧化硅膜还对于其他复合半导体器件的钝化日益变得重要。
除了硅和氧以外的其他元素可以存在于二氧化硅膜中。这些其他元素有时可以根据膜的最终用途或期望的成品性能有意地添加到组合的混合物中和/或沉积工艺中。例如,元素氮(N)可以添加到氧化硅膜中以形成氮氧化硅膜,其可以提供某些介电性能,如较低的漏电流。元素锗(Ge)可以添加到氧化硅膜中以提供锗掺杂的氧化硅,其可以降低膜的沉积温度。还有其他元素如硼(B)或碳(C)可以添加到氧化硅膜中以提高其抗蚀性。不过,根据其应用,膜中的某些元素可能是不需要的,即使在较低浓度水平下也是不需要的。
例如,当二氧化硅膜用作蚀刻终止层或直接作为深紫外(DUV)光刻胶下面的电介质层时,膜中的少量氮可以与DUV光刻胶相互作用,从而化学地增强光刻胶的材料性能或毒害(poison)光刻胶并使一部分光刻胶不溶于显影液中。其结果是,残留的光刻胶可能保留在图案化的特征的边缘或结构的侧壁上。这可能对半导体器件的光刻图案化工艺是有害的。
另一个不含氮的氧化硅膜的例子可以在抗反射涂层(ARC)的应用中找到。在抗蚀成像期间ARC抑制基础下面的材料层的反射,从而在能量敏感的抗蚀剂层中提供精确的图案复制。然而,传统的ARC材料含有氮,例如氮化硅和氮化钛。ARC层中氮的存在可以化学地改变光刻胶材料的组成。在氮与光刻胶材料之间的化学反应可以称为“光刻胶中毒”。经过典型的图案形成步骤的光刻胶中毒材料可以导致在光刻胶中不精确地形成的特征或图案化后过多的残留光刻胶,两者都可以对PR工艺如蚀刻工艺造成不利影响。例如,氮可以中和靠近光刻胶和ARC界面的酸并导致形成残留(称为底脚(footing)),其可以进一步在特征的底部和侧墙的界面处产生弯曲或圆的外观而不是期望的直角。
对于几种应用,利用等离子增强化学气相沉积工艺(“PECVD”)在比典型化学气相沉积工艺(“CVD”)低的沉积温度下形成氧化硅膜。分子式为Si(OC2H5)4的四乙氧基硅烷(“TEOS”)是常用的可与一种或几种氧源(如,但不限于O2或O3)结合用于具有最小的残余碳污染的氧化硅膜的PECVD沉积的前体。TEOS以稳定的、惰性的、高蒸汽压的液体形式提供,且其危险性低于其他含硅前体如SiH4
由于以下原因中的一种或几种一般倾向于较低的沉积温度(如400℃以下):成本(如能够使用较廉价的衬底)和热预算(如由于热敏的高性能膜的集成)。进一步对于PECVDTEOS膜,在较低温度下间隙填充性和保形性(conformality)可以相对较好。然而PECVDTEOS膜的膜质量可能由于其不具有化学计量组成,富氢,具有低的膜密度和/或表现出快速蚀刻率而较差。因此,需要比TEOS性能更好的替代前体。
发明内容
在此描述的是形成含硅和氧的材料或膜的方法,该膜不含关键元素(criticalelement),如氮、碳、卤素和氢,或可选择地,如由X-射线光电子能谱仪(XPS)测量的,包含约0到约30原子重量(atomic weight)百分比的氮和/或包含约0到约30原子重量百分比的碳,且该膜显示出5%或更低的%不均匀性。该%不均匀性可以利用标准的等式计算:%不均匀性=((最大值-最小值)/(2*平均值))。利用在此描述的方法和前体沉积的膜是高度均匀的,而在某些情形下不用借助于温度、等离子体、等离子体样方法或其组合。在此还公开了在待加工物体(例如半导体晶片)上形成介电膜或涂层的方法,该膜或涂层基本上不含氮和/或基本上不含碳,或者可选择地包含相对低量的氮和碳。
在替代的实施方式中,在此描述的方法和前体可以提供具有相对低氮含量的材料,其提供具有受控的组成的氮掺杂氧化物材料。在替代的实施方式中,在此描述的方法和前体可以提供一种具有相对低碳含量的材料,其提供具有受控的组成的碳掺杂氧化物材料。在这些实施方式中,该材料可以包含由XPS测量的约0到约30原子重量百分比的氮和/或碳。在一些实施方式中,所用的前体能够制备非常高纯度的SiO2材料,其具有不可检测量的其他元素(包括碳、氮、氯和卤素以及其他可由XPS量化的物质)。
一方面,本发明提供一种在衬底的至少一个表面上形成包含硅和氧的膜的方法,该方法包括:
在反应室中提供衬底的至少一个表面;和
利用包含选自以下通式I、II和III的前体的至少一种的硅前体以及任选地氧源,通过选自化学气相沉积法和原子层沉积法的沉积方法在该至少一个表面上形成膜:
其中,通式I、II和III中的R、R1和R2各自独立地是烷基、芳基、酰基或其组合,其中所述介电膜包含由XPS测量的低于约5原子%的氮或碳。在其中该膜包括氮和碳的些实施方式中,氮源和/或碳源也可以在形成步骤过程中引入。在这些实施方式中,示例性的氮源(包括,但不限于如NH3、N2O、NH2(CH3)及其组合的材料)可以在形成步骤中和/或在另外的引入步骤中引入。该碳源和氮源可以是同一步骤中的一种。
另一方面,本发明提供一种通过原子层沉积(ALD)法形成含硅和氧的膜的方法,该方法包括以下步骤:
a.将衬底置于ALD反应器中;
b.将包含选自如下通式I、II和III的前体的至少一种的硅前体以及任选地氧源引入该反应器中;
其中,通式I、II和III中的R、R1和R2各自独立地是烷基、芳基、酰基或其组合;
c.用气体吹扫该ALD反应器;
d.将氧源引入该ALD反应器中;
e.用气体吹扫该ALD反应器;和
f.重复步骤b到d直到得到期望的膜厚度,其中该介电膜包含如XPS测量的少于约5原子重量%的碳和/或氮。
在进一步的方面,本发明提供一种利用ALD或CVD工艺在衬底的至少一个表面上形成包含氧化硅的膜的方法,该方法包括:
a.将衬底置于反应器中;和
b.将包含选自以下通式I、II和III的前体的至少一种的硅前体以及任选地氧源引入该反应器中:
其中,通式I、II和III中的R、R1和R2各自独立地是烷基、芳基、酰基或其组合,以在该至少一个表面上沉积该膜,其中该介电膜包含由XPS测量的约0原子重量%到约30原子重量%的碳和/或氮。
具体地,本发明涉及以下各项:
1.一种在衬底的至少一个表面上形成介电膜的方法,该方法包括:
在反应室中提供衬底的至少一个表面;和
将硅前体引入反应室中以形成所述介电膜,所述硅前体包括选自如下通式I、II和III的前体的至少一种:
其中,通式I、II和III中的R、R1和R2各自独立地是烷基、芳基、酰基或其组合。
2.如第1项的方法,其中至少一种选自氧源、氮源或其组合的源引入所述反应室中,其中所述氧源优选包括氧气、臭氧或其组合。
3.如第1或2项的方法,其中所述形成为选自循环化学气相沉积、等离子体增强化学气相沉积或原子层沉积的至少一种。
4.如第1-3项中任一项的方法,其中所述硅前体包括二叔丁氧基硅烷、二叔戊氧基硅烷或其组合。
5.一种通过原子层沉积工艺形成含硅和氧的介电膜的方法,该方法包括如下步骤:
a.将衬底置于ALD反应器中;
b.将硅前体引入ALD反应器中,所述硅前体包括选自如下通式I、II和III的至少一种:
其中,通式I、II和III中的R、R1和R2各自独立地是烷基、芳基、酰基或其组合;
c.用气体吹扫所述ALD反应器;
d.将氧源引入所述ALD反应器;
e.用气体吹扫所述ALD反应器;
f.重复步骤b到d直到得到需要的介电膜厚度,其中所述介电膜包括由XPS测量的至多约30原子重量%的氮。
6.如第1-5项中任一项的方法,其中其氮源引入所述反应室。
7.如第1-6项中任一项的方法,其利用热CVD工艺,其中所述介电膜包括由XPS测量的至多约30原子重量%的氮。
8.如第1-7项中任一项的方法形成的膜,具有组成SiaObNcCdHeBf,其中a是10-50原子%,b是10到70原子%,c是0到30原子%,d是0到30原子%,e是0到50原子%及f是0到30原子%。
9.一种电解抛光的不锈钢容器,具有带高纯度低死体积阀的入口和出口,该容器包含叔丁氧基硅烷、异丙氧基硅烷、乙氧基硅烷、正丁氧基硅烷、异丁氧基硅烷、甲氧基硅烷、苯氧基硅烷、二叔丁氧基硅烷、二异丙氧基硅烷、二乙氧基硅烷、二正丁氧基硅烷、二异丁氧基硅烷、二甲氧基硅烷、二苯氧基硅烷、三叔丁氧基硅烷、三异丙氧基硅烷、三乙氧基硅烷、三正丁氧基硅烷、三异丁氧基硅烷、三甲氧基硅烷或三苯氧基硅烷。
10.利用第1-7项中任一项的方法制造的器件,选自光学器件、磁信息存储器、支持材料或衬底上的涂层、微电子机械系统(MEMS)、纳米电子机械系统、薄膜晶体管(TFT)及液晶显示器(LCD)。
附图说明
图1提供利用实施例1中描述的方法沉积的膜的X-射线光电子能谱(XPS)的结果。
图2提供根据实施例2描述的方法利用叔丁基硅烷、二乙基硅烷和二叔丁氧基硅烷(DTBOS)沉积的三个示例膜的厚度均匀性。
图3提供利用表1所示的工艺条件之一使用所述的前体DTBOS沉积的示例膜得到的介电常数图。
图4显示由实施例中描述的BL1条件在三个不同的沉积温度或400℃、300℃、200℃下沉积的膜的湿蚀刻率(Wet Etch Rate)(WER)的对比。图4显示DTBOS沉积的膜在所有温度下比TEOS膜具有更低的WER。
图5提供对于实施例4的表3中所示的BL1条件在200℃和300℃下沉积的TEOS相对于DTBOS的漏电流-电场图。
图6提供对于实施例4的表3中所示的BL2条件在200℃和300℃下沉积的TEOS相对于DTBOS的漏电流-电场图。
图7提供对于实施例4的表3所示的BL1条件在200℃和300℃下沉积的TEOS相对于DTBOS的漏电流-电场图。
图8提供在由这些前体沉积的CVD膜中与双(叔丁基)氨基硅烷(BTBAS)比较的DTBOS的动态二次离子质谱(D-SIMS)数据。
具体实施方式
在此描述的是一种形成高均匀性介电膜(例如,表现出利用标准等式:%不均匀性=(最大值-最小值)/(2*平均值)测量的5%或更低的%不均匀性的%不均匀性)的方法。使用在此描述的方法制备的介电膜通常主要包含硅和氧。在某些实施方式中,该介电膜基本上不含任何其他元素,如氮、碳、氯和卤素以及氢。术语“基本上不含”在此指包含由XPS测量的2原子重量%或更少的氮的膜。在其他实施方式中,该介电膜包含约2原子重量%到约30原子重量%的其他元素如氮和/或碳,且可根据该方法中使用的工艺条件或添加剂包含其他元素。在一些实施方式中,在此描述的方法不需要等离子体辅助和/或在低温下进行(如600℃或更低)。在替代的实施方式中,在此描述的方法利用低温(如450℃或更低)热工艺进行。在此描述的膜是介电膜,意思是它们通常具有7或更低或者6或更低或者5或更低的介电常数。在一些的实施方式中,所产生的材料还可以包含如硼、铝的元素和/或其他可对该材料的优选特征有贡献的元素。这些可以作为单独添加剂的元素或作为主前体的取代基引入该方法中。
用于形成介电膜或涂层的方法是沉积工艺。适合用于在此描述的方法的沉积工艺包括,但不限于循环CVD(CCVD)、MOCVD(金属有机CVD)、热化学气相沉积、等离子增强化学气相沉积(“PECVD”)、高密度PECVD、光子辅助CVD、等离子体-光子辅助CVD(“PPECVD”)、低温化学气相沉积、化学辅助气相沉积、热丝化学气相沉积、液体聚合物前体的CVD、超临界流体的沉积以及低能量CVD(LECVD)。在一些实施方式中,含金属的膜通过等离子体增强ALD(PEALD)或等离子增强循环CVD(PECCVD)工艺沉积。如在此所用的,术语“化学气相沉积工艺”指其中衬底暴露于一种或多种挥发性前体的任何工艺,前体在衬底表面上反应和/或分解以产生期望的沉积。如在此所用的,术语“原子层沉积工艺”指自限的(如在各个反应循环中沉积的膜材料的量是恒定的),顺序的表面化学,其将保形的膜或材料沉积到不同组成的衬底上。尽管在此所用的前体、试剂和源有时可以描述为“气体的”,但应了解这些前体可以是液体或固体,其通过直接蒸发、鼓泡或升华利用或不利用惰性气体转移到反应器中。在一些情形中,蒸发的前体可以经过等离子体发生器。在一种实施方式中,介电膜利用ALD工艺沉积。在另一种实施方式中,介电膜利用CCVD工艺沉积。在进一步的实施方式中,介电膜利用热CVD工艺沉积。在另一种实施方式中,前体可以冷凝到衬底上而发生最少的反应,接着进行后处理以使该材料固化并利于粘附到被沉积的物体上。可以理解的是有工艺条件可用于由化学前体形成膜的很多方式,但是所沉积材料的最终性能可独特地由化学前体或与这些前体结合使用的添加剂的性质确定。
在一些实施方式中,在此描述的方法通过利用在将前体引入反应器之前和/或期间使前体隔离的ALD或CCVD方法避免前体的预反应。就此而论,沉积技术(如ALD或CCVD工艺)用于沉积介电膜。在一种实施方式中,该膜通过将衬底表面交替地暴露于一种或多种含硅前体、氧源或其他前体或试剂由ALD工艺沉积。膜生长通过表面反应的自限控制、各前体或试剂的脉冲长度和沉积温度来进行。但是,一旦衬底表面饱和,膜生长则停止。
在一些实施方式中,前体以纯的或不具有附加反应物或添加剂的形式引入以凝结、填充特征或使表面平坦化,随后的反应剂步骤使前体反应或形成固体。在一些实施方式中,该方法利用氧化工艺、催化剂或其他能量形式(化学的、热的、辐射的、等离子体、光子或其他任何离子化或非离子化的辐射能量)以改变前体以及任选的添加剂以形成固体材料。
为形成基本不含氮的含硅和氧的介电膜,希望的是含硅前体不含氮。在一些实施方式中,还希望前体具有足够反应性以在相对低的温度(如400℃或更低)下沉积膜。尽管对前体反应性的要求,前体还必须足够稳定以便不会随着时间发生任何明显程度的退化或变化(如每年少于1%的变化)。另外,在这些或其他实施方式中,希望的是该沉积方法是在不存在等离子体的情况下进行。不受限于任何理论,据相信取代的硅烷对氧化的反应性与硅原子连接的氢原子的数目成比例。
在此描述的方法采用含硅前体形成介电膜,其中含硅前体选自包含选自如下通式I、II和III的前体的至少一种的硅前体:
其中,通式I、II和III中的R、R1和R2各自独立地是烷基、芳基、酰基或其结合;任选地其它的含硅前体,任选地氧源或试剂,以及任选地还原剂。用于沉积的前体材料的选择取决于希望的最终电介质材料或膜。例如,可以就其化学元素的含量、化学元素的化学计量比和/或根据CVD形成的最终介电膜或涂层对前体材料进行选择。还可以就各种其他特征如成本、稳定性、非毒性、加工性能、在室温下保持液相的能力、挥发性、分子量或其组合对前体材料进行选择。
在此公开的方法的一种实施方式中,介电膜利用含硅前体形成,该含硅前体包含选自以下通式I、II和III的前体的至少一种:
其中,通式I、II和III中的R、R1和R2各自独立地是烷基、芳基、酰基或其组合。在通式I到III中以及在整个说明书中,术语“烷基”表示具有1-20个、或1-12个或1-6个碳原子的直链、支链或环状官能团。示例性的烷基包括但不限于甲基、乙基、丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、戊基、己基、辛基、癸基、十二烷基、十四烷基、十八烷基、异戊基和叔戊基。在通式I到III中以及在整个说明书中,术语“芳基”表示具有6-12个碳原子的环状官能团。示例性的芳基包括但不限于苯基、苯甲基、甲苯基和邻二甲苯基。
在一些实施方式中,一个或多个烷基、芳基和/或酰基(acryl)可以被取代或未取代,或者具有取代氢原子的一个或多个原子或原子团。示例性的取代基包括但不限于氧、硫、卤素原子(如F、Cl、I或Br)、氮、硼和磷。在一些实施方式中,具有通式I到III的含硅前体可以具有一个或多个含氧原子的取代基。在这些实施方式中,可以避免沉积过程中对氧源的需要。在其他实施方式中,具有通式I到III的含硅前体具有一个或多个含氧原子的取代基且还使用氧源。
在一些实施方式中,一个或多个烷基、芳基和/或酰基可以是饱和的或不饱和的。在其中一个或多个烷基或芳基不饱和的实施方式中,其包含一个或多个双键或三键。
具有通式I的含硅前体的例子包括:叔丁氧基硅烷、异丙氧基硅烷、乙氧基硅烷、正丁氧基硅烷、异丁氧基硅烷、甲氧基硅烷或苯氧基硅烷。具有通式II的含硅前体的例子包括:二叔丁氧基硅烷、二异丙氧基硅烷、二乙氧基硅烷、二正丁氧基硅烷、二异丁氧基硅烷、二甲氧基硅烷或二苯氧基硅烷。具有通式III的含硅前体的例子包括:三叔丁氧基硅烷、三异丙氧基硅烷、三乙氧基硅烷、三正丁氧基硅烷、三异丁氧基硅烷、三甲氧基硅烷或三苯氧基硅烷。在此描述的方法的一种实施方式中,含硅前体包括以下前体中的至少一种:
二叔丁氧基硅烷 二叔戊氧基硅烷 二异丙氧基硅烷
在一种特别的实施方式中,含硅前体包括二叔丁氧基硅烷。
在一些实施方式中,在此描述的方法进一步包括具有上述通式I到III的含硅前体以外的一种或多种另外的含硅前体。另外的含硅前体的例子包括但不限于有机硅化合物如硅氧烷(如六甲基二硅氧烷(HMDSO)和二甲基硅氧烷(DMSO));有机硅烷(如甲基硅烷、二甲基硅烷、乙烯基三甲基硅烷、三甲基硅烷、四甲基硅烷、乙基硅烷、二甲硅烷基甲烷、2,4-二硅杂戊烷(2,4-disilapentane)、1,2-二甲硅烷基乙烷(1,2-disilanoethane)、2,5-二硅杂己烷、2,2-二甲硅烷基丙烷、1,3,5-三硅杂环己烷以及这些化合物的氟化衍生物);含苯基的有机硅化合物(如二甲基苯基硅烷和二苯基甲基硅烷);含氧有机硅化合物如二甲基二甲氧基硅烷、1,3,5,7-四甲基环四硅氧烷、1,1,3,3-四甲基二硅氧烷、1,3,5,7-四硅杂-4-氧代-庚烷、2,4,6,8-四硅杂-3,7-二氧代-壬烷、2,2-二甲基-2,4,6,8-四硅杂-3,7-二氧代-壬烷、八甲基环四硅氧烷、[1,3,5,7,9]-五甲基环戊硅氧烷、1,3,5,7-四硅杂-2,6-二氧代-环辛烷、六甲基环三硅氧烷、1,3-二甲基二硅氧烷、1,3,5,7,9-五甲基环戊硅氧烷、六甲氧基二硅氧烷和这些化合物的氟化衍生物;以及含氮有机硅化合物(如六甲基二硅氮烷(hexamethyldisilazane)、二乙烯基四甲基二硅氮烷(divinyltetramethyldisilizane)、六甲基环三硅氮烷、二甲基双(N-甲基乙酰胺基)硅烷、二甲基双(N-乙基乙酰胺基)硅烷、双(叔丁基氨基)硅烷(BTBAS)、双(叔丁基氨基)甲基硅烷(BTBNS)、双(N-甲基乙酰胺基)甲基乙烯基硅烷(bis(N-methylacetamido)methylvivylsilane)、双(N-丁基乙酰胺基)甲基乙烯基硅烷、三(N-苯基乙酰胺基)甲基硅烷、三(N-乙基乙酰胺基)乙烯基硅烷、四(N-甲基乙酰胺基)硅烷、双(二乙基氨氧基)二苯基硅烷、三(二乙基氨氧基)甲基硅烷以及双(三甲基甲硅烷基)碳二亚胺)。
在一些实施方式中,含硅前体包括具有至少一个N-H片断和至少一个Si-H片断的含氮有机硅前体。同时包含N-H片断和Si-H片断的适合的前体包括,例如,双(叔丁基氨基)硅烷(BTBAS)、三(叔丁基氨基)硅烷、双(异丙基氨基)硅烷、三(异丙基氨基)硅烷及其混合物。在一种实施方式中,前体具有通式(R5NH)nSiR 6mH4-(n+m),其中R5R6相同或不同并独立地选自烷基、乙烯基、烯丙基、苯基、环烷基、氟代烷基和甲硅烷基烷基且其中n是1-3的数,m是0-2的数,并且“n+m”的和是小于或等于3的数。在另一种实施方式中,含硅前体包括具有通式(R7 2N-NH)xSiR8 yH4-(x+y)的联氨基硅烷(hydrazinosilane),其中R7R8相同或不同并独立地选自烷基、乙烯基、烯丙基、苯基、环烷基、氟代烷基、甲硅烷基烷基且其中x是1-2的数,y是0-2的数以及“x+y”的和是小于或等于3的数。适合的联氨基硅烷前体的例子包括但不限于双(1,1-二甲基联氨基)硅烷、三(1,1-二甲基联氨基)硅烷、双(1,1-二甲基联氨基)乙基硅烷、双(1,1-二甲基联氨基)异丙基硅烷、双(1,1-二甲基联氨基)乙烯基硅烷及其混合物。在一些实施方式中,前体或添加剂进一步包括卤代硅烷、硼烷、环硼氮烷(borazine)、硼酸酯及其改性形式。
根据沉积方法,在一些实施方式中,一种或多种含硅前体可以按预定摩尔体积,或以约0.1到约1000微摩尔引入反应器。在该实施方式或其他实施方式中,含硅前体可以引入反应器中预定的时间或约0.001到约500秒。
如前所述,利用在此描述的方法沉积的一些介电膜可以利用氧源、含氧的试剂或前体在氧存在的情况下形成。氧源可以以至少一种氧源的形式引入反应器中和/或可以偶然地存在于沉积工艺中所用的其他前体中。适合的氧源气体可以包括,例如水(H2O)(如去离子水、纯净水和/或蒸馏水)、氧气(O2)、氧等离子体、臭氧(O3)、NO、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合。在一些实施方式中,氧源包括以通常约1到约2000标准立方厘米(sccm)的流速引入反应器中的氧源气体,其范围取决于反应工艺、需要的材料、衬底尺寸、沉积速率等。氧源可以在前体之前、与前体同时、以重复循环的方式与前体顺序地或在所有前体引入以后引入反应器中。在一种特别的实施方式中,氧源包括水。在其中膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且氧源可以具有大于0.01秒的脉冲持续时间,而水的脉冲持续时间可以具有大于0.01秒的脉冲持续时间。在再另一种实施方式中,脉冲之间的吹扫时间可以低至0.01秒或连续脉冲而没有脉冲之间的吹扫。
在此描述的沉积方法可以包括一种或多种吹扫气体。在一些实施方式中,用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体反应的惰性气体。示例性的惰性气体包括但不限于Ar、N2、He、Xe、氖、H2及其混合物。在一些实施方式中,吹扫气体如Ar以约10到约2000sccm的流速在约0.1到1000秒的时间供应到反应器中,由此吹扫未反应的材料及可以残留在反应器中的任何副产物。
在一些实施方式中,例如对于其中介电常数进一步包括氮和/或碳的元素和/或其他物质的实施方式,附加的气体如氮源气体可以引入反应器中。添加剂的例子可以包括,例如,NO、NO2、氨、氨等离子体、联氨、单烷基联氨、二烷基联氨、烃、杂原子烃、硼烷、硼酸酯、环硼氮烷及其组合。
在此描述的方法中的一些实施方式中,反应器或沉积室的温度可以是从室温(如25℃)到约700℃。对于ALD或CVD沉积的示例性的反应室温度包括具有以下任何一个或多个端点的范围:25、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475、500、525、550、575、600、625、650、675或700℃。特别的反应器温度范围的例子包括单不限于25℃-375℃,或75℃-700℃,或325℃-675℃。在该实施方式或其他实施方式中,压力可以是约0.1Torr到约100Torr,或约0.1Torr到约5Torr。在一种特别的实施方式中,介电膜利用热CVD工艺在100mTorr到600mTorr的压力下沉积。在另一种特别的实施方式中,介电膜利用ALD工艺在1Torr或更低的压力下沉积。
在此描述的方法的一些实施方式中,反应器或沉积室中衬底的温度可以是从室温(如25℃)到约700℃。对于ALD或CVD沉积的示例性衬底温度包括具有如下任何一个或多个端点的范围:25、50、75、100、125、150、175、200、225、250、275、300、325、350、375、400、425、450、475、500、525、550、575、600、625、650、675或700℃。特别的衬底温度范围的例子包括但不限于25℃-375℃,或75℃-700℃,或325℃-675℃。在一些实施方式中,在沉积过程中衬底温度可以与反应器的温度相同或在相同的温度范围内。在其他实施方式中,在沉积过程中衬底温度不同于反应器的温度。
提供前体、氧源和/或其他的前体、源气体和/或试剂的相应步骤可以通过改变供应这些物质的时间来进行以改变所获得的介电膜的化学计量组成。
能量施加在前体、氧源、还原剂、其他前体或其组合中的至少一种上以诱导反应并在衬底上形成介电膜或涂层。这类能量可以通过但不限于热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、诱导耦合等离子体、X-射线、电子束、光子及远距等离子体方法提供。在一些实施方式中,二次射频(secondary RF frequency)源可用于改变在衬底表面的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生过程可以包括其中等离子体直接在反应器中发生的直接等离子体发生工艺,或者可选择地其中等离子体在反应器外发生并提供到反应器中的远距等离子体发生工艺。
含硅前体和/或其他前体可以以各种方式输送到反应室如CVD或ALD反应器。在一种实施方式中,可以利用液体输送系统。在替代的实施方式中,可以采用组合的液体输送和闪蒸处理单元,例如,由MSP Corporation of Shoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够定量地输送,从而在前体没有热分解的情形下可重现地输送和沉积。在液体输送装置中,在此描述的前体可以以纯液体形式输送,或可选择地可以包含其的溶剂制剂或组合物形式采用。因此,在一些实施方式中,前体制剂可以包括具有对于在衬底上形成膜的给定最终用途可能是需要的和有利的适合性质的溶剂组分。
在此描述的方法的一种实施方式中,可以采用循环沉积工艺如CCVD、ALD或PEALD,其中采用至少一种具有通式I到III的含硅前体及其组合以及任选的氧源(例如,臭氧、氧等离子体或水等离子体)。连接前体容器与反应室的气体管线根据工艺要求加热到一种或多种温度,且具有通式I到III的含硅前体的容器注入到保持在用于直接液体注射的一种或多种温度的蒸发器中。氩和/或其他气体的流可用作载气以在前体脉冲过程中辅助输送至少一种含硅前体的蒸气到反应室。在一些实施方式中,反应室工艺压力为约1Torr或更低。在典型的ALD或CCVD工艺中,衬底如氧化硅衬底在反应室中的加热台上加热,该反应室最初暴露于含硅前体以使复合物(complex)化学吸附到衬底表面上。吹扫气体如氩气从反应室中吹扫掉未吸附的过量复合物。在充分吹扫后,氧源可引入反应室中以与吸附的表面反应,接着另一气体吹扫以从反应室中去除反应副产物。该工艺循环可重复以得到需要的膜厚度。在该实施方式或其他实施方式中,应理解在此描述的方法的步骤可以以多种顺序进行,可以顺序地进行或同时进行(如在另一步骤的至少一部分时间内进行)及其任意组合。提供前体和氧源气体的相应步骤可以通过改变提供这些物质的时间的长度进行以改变所获得的介电膜的化学计量组成。
在此描述的方法的另一种实施方式中,介电膜利用ALD沉积法形成,该方法包括如下步骤:
a.引入包含选自如下通式I、II和III的前体的至少一种的硅前体:
其中,通式I、II和III中的R、R1和R2各自独立地是烷基、芳基、酰基或其组合;和
任选地,氧源、氮源或其组合;并化学吸附该至少一种硅前体到衬底上;
b.利用吹扫气体吹扫掉未反应的至少一种含硅前体;
c.任选地,将氧源引入到加热的衬底上以与吸附的至少一种含硅前体反应;和
d.任选地,吹扫掉未反应的氧源。
上述步骤形成在此描述的方法的一个循环;该循环可以重复直到获得需要的介电膜厚度。在该实施方式或其他实施方式中,应理解的是在此描述的方法的步骤可以以多种顺序进行,可以顺序进行或同时进行(如在另一步骤的一部分时间内进行)及其任意组合。供应前体及任选地氧源的相应步骤可以通过改变供应这些物质的持续时间进行以改变所获得的介电膜的化学计量组成。对于多组分介电膜,其他前体(如含硅前体、含氮前体、还原剂或其他试剂)可以在步骤“a”中交替地引入反应室中。在该实施方式中,反应温度可以是从室温到600℃的范围。在该实施方式或其他实施方式中,反应器的压力可以保持在1Torr或以下。
在此描述的方法的进一步实施方式中,介电膜利用热CVD工艺沉积。在该实施方式中,该方法包括:将一个或多个衬底置于反应器中,该反应器加热到从室温到约700℃,或400℃到700℃的温度;将硅前体和任选的选自氧源、氮源或其组合的源引入反应器中以将介电膜沉积到该一个或多个衬底上,其中所述硅前体包含选自如下通式I、II和III的前体的至少一种:
其中,通式I、II和III中的R、R1和R2各自独立地是烷基、芳基、酰基或其组合,其中所述反应器在引入步骤过程中保持在100mTorr到600mTorr的压力下。在一些实施方式中,CVD反应器的压力可以是约0.01T到约1T的范围内。反应性气体例如O2的流速可以是5sccm到200sccm。一种或多种含硅前体蒸气的流速可以是5sccm到200sccm。沉积温度与反应器壁温度相同。沉积温度可以是从室温到约700℃,或约400℃到700℃。沉积时间对于该方法是预设的以产生具有需要厚度的膜。沉积速率可取决于一种或多种工艺参数,包括但不限于沉积温度、O2的流速、载气(He)的流速、含硅前体的液体质量流量、蒸发器的温度和/或反应器的压力。蒸发器的温度可以是20℃到150℃。材料的沉积速率可以是每分钟0.1nm到1000nm。该速率可以通过改变如下非限制参数的任何一个来控制:例如,沉积温度、蒸发器温度、LFC的流量、反应添加剂的流速和/或CVD反应器中的压力。
在再另一种实施方式中,该方法可以利用循环CVD工艺完成。在该实施方式中,同样的ALD反应器可用于循环CVD工艺。沉积均匀的不含氮膜的循环CVD工艺与上述ALD方法的区别之一是硅前体和氧前体的剂量可大于用于ALD的剂量,并因此沉积速率可以远高于ALD。沉积温度可以是从约室温到约700℃,或400℃到约700℃。
在一些实施方式中,所获得的介电膜或涂层可暴露于沉积后处理,例如但不限于等离子体处理、化学处理、紫外光照射、电子束照射和/或其他处理以影响膜的一种或多种性能。
在此描述的介电膜具有7或更低的介电常数,优选地,该膜具有约6或以下,或者约5或以下,或者约4或以下的介电常数。
如前所述,在此描述的方法可用于在至少一部分衬底上沉积介电膜。适合的衬底的例子包括但不限于硅、SiO2、Si3N4、有机硅玻璃(OSG)、氟化硅玻璃(FSG)、碳化硅、氢化碳化硅、氮化硅、氢化氮化硅、碳氮化硅、氢化碳氮化硅、氮化硼、抗反射涂层、光刻胶、有机聚合物、多孔的有机和无机材料、金属如铜和铝,以及扩散阻挡层,例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。该膜与各种后续工艺步骤如化学机械抛光(CMP)及各向异性蚀刻工艺相容。衬底可以是均匀的或图案化的、光滑的或具有特征(平面的或非平面的)。
沉积的介电膜的用途包括但不限于计算机芯片、光学器件、磁信息存储器(magnetic information storage)、支持材料或衬底上的涂层、微电子机械系统(MEMS)、纳米电子机械系统、薄膜晶体管以及液晶显示器(LCD)。
以下实施例说明用于制备在此描述的介电膜的方法,但不意图对其构成任何限制。
实施例
在以下实施例中,除非另外声明,各种性能是由沉积到中等电阻率(8-12Ωcm)单晶硅晶片衬底上的样品膜得到的。在本研究中,CVD沉积是利用低压化学气相沉积(LPCVD)卧式炉或ATV PEO612炉进行的。利用蒸气汲取(vapor draw)和管线温度将前体输送到炉中,该管线温度是基于用于前体材料的蒸气压进行调节的。用于本研究的原子层沉积工具是R&D设计的具有用于输送加热的前体的附带围炉的水平管炉。该系统能够从室温到700℃下进行沉积。所有基于等离子体的沉积是利用TEOS处理试剂盒在装备有AdvancedEnergy2000射频发生器的200mm DXZ室中的Applied Materials Precision5000系统上进行。
在以下实施例中,介电膜的厚度和光学性能如折射率是利用标准的反射计或椭圆光度测量(ellipsometry)系统如在FilmTek2000SE椭圆率测量仪(ellipsometer)上以及利用公知的数据拟合技术来完成。
膜的化学组成的表征是利用Physical Electronics5000VersaProbe XPS分光光度计进行的,该仪器配备有多通道板检测器(MCD)和Al单色X-射线源。该XPS数据利用AlkαX-射线激发(25mA和15kV)收集。低分辨率测量谱(survey spectra)在117eV通能(passenergy)、50毫秒停留时间(dwell time)及1.0eV/步下收集。高分辨率区域谱在23.5eV通能、50毫秒停留时间和0.1eV/步下收集。分析区域是在45°的出射角(take-off-angle)处的100μm。定量元素分析通过测量高分辨率区域谱的峰面积和应用传输-功能(transmission-function)校正的原子敏感因子(atomic sensitivity factor)确定。PHI Summitt软件用于数据收集及CasaXPS软件用于数据分析。蚀刻率相对于203nm SiO2/Si校准并近似为120
蚀刻试验在6∶1的缓冲氧化物蚀刻(Buffered Oxide Etch)(BOE)溶液中进行,该溶液具有6份40%NH4F水溶液和1份49%HF水溶液的体积比以形成缓冲氧化物蚀刻。示例的介电膜置于HF溶液中30秒,接着在去离子水(DI)中冲洗并在进行干燥后再次测量蚀刻期间材料的损失。重复该过程直到膜被完全蚀刻。蚀刻率然后由蚀刻时间相对于蚀刻的厚度的斜率计算得到。在蚀刻前后该膜与对比的氧化硅膜一起在跨越膜表面的三个不同点测量其厚度。
傅立叶变换红外光谱(FTIR)数据利用Thermo Nicolet Nexus470系统或类似系统在晶片上收集,该系统配备有DTGS KBR检测器和KBr分束器。背景光谱在类似的中等电阻率晶片上收集以消除光谱中的CO2和水。数据通常通过以4cm-1分辨率收集32个扫描在4000到400cm-1的范围内获得。所有膜通常进行基线校正,强度标准化到500nm的膜厚度,并确定感兴趣的峰面积和高度。
各样品膜的介电常数根据ASTM标准D150-98确定。介电常数k由C-V曲线计算,该曲线利用例如MDC802B-150Mercury Probe测量,其由承载样品并在待测膜上形成电接触的探针台、Keithley236源极仪(source meter)和用于C-V测量的HP4284A LCR仪构成。具有相对低电阻率(薄层电阻小于0.02欧姆-cm)的Si晶片用于沉积C-V测量的膜。前接触模式用于形成与膜的电接触。液体金属(水银)通过细管由存储库推进到晶片表面以形成两个电传导接触。接触面积基于推出水银的管的直径计算。介电常数然后由公式k=电容×接触面积/膜厚度计算。
实施例1:利用二叔丁氧基硅烷(DTBOS)通过化学气相沉积来沉积氧化硅膜
利用前体DTBOS和作为氧源的氧气沉积示例性的氧化硅膜。各个膜的沉积条件示于表1中。各个膜的性能示于表2中。
表1
sccm=每分钟标准立方厘米
表2
实施例1中的一种示例性膜的典型XPS示于图1以及不同元素的组成还示于表3中,该示例性膜为高度均匀的、不含如碳和氮的元素的高纯度膜。由图1和表3可见,在膜中没有检测到碳和氮。
表3.高纯度二氧化硅膜的化学组成(以原子%计)
ND-检测限以下的量
表3B.不含氮的二氧化硅膜的化学组成(以原子%计),对应于图1所示的能谱
元素 O Si C N
浓度 64.2 34.1 1.5 0
实施例2:膜的厚度均匀性
利用在此描述的方法和组成形成的不含氮二氧化硅膜利用椭圆率测量仪测量其厚度。与利用现在可用的方法沉积的二氧化氮硅膜的较差均匀性相反,利用本发明描述的方法沉积的膜显示出在衬底(或晶片)内膜均匀性的显著改进。利用本发明的膜和利用现有方法的膜之间的厚度均匀性的比较显示在图2中,其中x轴代表在晶片衬底的测量位置和y轴代表膜平均厚度的各点上厚度差异。由图2可见,利用在此描述的方法沉积的膜与其他膜相比在整个晶片衬底上的均匀性高得多。
常用的薄膜厚度均匀性的公式是:均匀性=(最大厚度-最小厚度)/(2*平均值)*100%
利用在此描述的方法形成的膜的厚度均匀性示于表4中。表4中的结果表明由在此描述的方法得到的膜均匀性比利用现有方法(前体)形成的膜高10倍以上。
表4.不同二氧化硅膜的厚度均匀性(%)
沉积所用物质 二叔丁氧基硅烷 叔丁基硅烷 二乙基硅烷
膜均匀性 1.43 35.0 18.74
实施例3:K和介电常数
利用在此描述的方法形成的氧化硅膜的介电常数源自图3所示的C-V图。对于已知的膜厚度和所用的水银探针的接触区域,发现膜的介电常数是4.47。
实施例4:利用二叔丁氧基硅烷前体和四乙氧基硅烷在不同工艺条件下通过等离子体增强CVD沉积的膜的比较
在以下实施例中,除非另有说明,性能均是由在中等电阻率(8-12Ωcm)单晶硅晶片衬底上沉积的样品膜得到的。沉积温度是200℃、300℃和400℃。
表5提供用于比较该前体或二叔丁氧基硅烷(DTBOS)和对比前体四乙氧基硅烷(TEOS)的三种不同工艺条件的总结。该三种不同工艺条件标记为BL-1、BL-2和BL-3。
表5
表6提供在BL-1条件下TEOS相对于DTBOS的K值、沉积速率和湿蚀刻率的比较。同样的前体体积流量下DTBOS的沉积速率高于TEOS。这表明对于PECVD沉积,DTBOS可以比TEOS更高效。而且,DTBOS沉积的膜的WER等于或好于TEOS沉积的膜。这意味着利用DTBOS前体沉积的SiO2膜等同或更好的密度。
表6
表7提供利用BL-2工艺条件由TEOS沉积的膜相对于DTBOS的K值、沉积速率和湿蚀刻率的对比。在同样的前体体积流量下DTBOS的沉积速率高于TEOS。这证明对于PECVD沉积,DTBOS前体的效率更高。但是,WER方面与TEOS相同或更好。这意味着由DTBOS形成的SiO2膜的密度相同或更好。
表7
表8提供利用BL-3工艺条件对于TEOS相对于DTBOS的K值、沉积速率和湿蚀刻率的对比。在同样的前体体积流量下DTBOS的沉积速率等于TEOS。但是,WER方面明显好于TEOS膜。这意味着由DTBOS形成的SiO2膜的密度更高。另外,DTBOS的K值也更低,意味着更低的吸湿性。
表8
图4显示利用表3所示的所有基线条件和沉积温度(如,BL-1、BL-2和BL-3以及200℃、300℃和400℃)沉积的膜的WER的对比。对于同样的K,DTBOS膜具有更低的WER,表明更高密度和更高质量的氧化物膜。因此,对于PECVD沉积,DTBOS可在相对低的温度下形成优于TEOS的更高质量的膜。
以下表9提供在上面表5所示的BL-1、BL-2和BL-3工艺条件下,TEOS和DTBOS在不同温度下的击穿电压(Vbd)的对比。通常,击穿电压为8-12MV/cm,而且两种前体之间是相当的。图5、6和7显示在200℃和300℃沉积下TEOS沉积膜和DTBOS沉积膜的漏电流-电场图。
图5提供在BL-1条件下200℃和300℃沉积的TEOS和DTBOS的漏电流-电场图。因为对于BL-1,在200℃下DTBOS具有更高的K和WER,所以也可观察到对膜漏电流(filmleakage)的影响。但是,这是DTBOS显示比TEOS更差漏电性能的唯一条件。如对于300℃数据以及图6和7可见的,通常DTBOS SiO2漏电性能优于TEOS SiO2漏电性能。
图6提供在BL-2条件下200℃和300℃沉积的TEOS和DTBOS的漏电流-电场图。即使DTBOS具有更高的D/R,DTBOS SiO2膜的漏电比TEOS低,证明优异的电性能且支持WER数据。
图7提供在BL-3条件下200℃和300℃沉积的TEOS和DTBOS的漏电流-电场图。总的来说,对于全部BL-3,DTBOS漏电低于TEOS。
表9
BL-1 TEOS DTBOS
200℃ 9.6 12.7(漏电)
300℃ 9.68 10.26
400℃ 7.9 9.26
BL-2 TEOS DTBOS
200℃ 10.4 10.29
300℃ 10.7 8.67
400℃ 9.5 9.7
BL-3 TEOS DTBOS
200℃ 10.9 9.89(漏电)
300℃ 9.7(漏电) 9.74
400℃ 9 9.61
在图8中提供DTBOS与双(叔丁基)氨基硅烷(aka.BTBAS)相比的动态二级离子质谱数据(D-SIMS)。从BTBAS的XPS数据可知,CVD处理通常提供~10原子%的C(排除氢)。这与其中DTBOS膜中的碳水平检测不到的表3比较。该D-SIMS数据显示碳含量低约两个数量级,表明了在这些膜中的实际碳水平(从与BTBAS的XPS数据比较中推断)可以是<0.1原子%。
DTBOS的ALD沉积数据提供在表10中。氧化硅的沉积通过这些膜的适当折射率得到证明。
表10
本发明还包括具有如上所述的反应物的包装(package),包括具有带高纯度低死体积阀的入口和出口的电解抛光不锈钢容器,其包含叔丁氧基硅烷、异丙氧基硅烷、乙氧基硅烷、正丁氧基硅烷、异丁氧基硅烷、甲氧基硅烷、苯氧基硅烷、二叔丁氧基硅烷、二异丙氧基硅烷、二乙氧基硅烷、二正丁氧基硅烷、二异丁氧基硅烷、二甲氧基硅烷、二苯氧基硅烷、三叔丁氧基硅烷、三异丙氧基硅烷、三乙氧基硅烷、三正丁氧基硅烷、三异丁氧基硅烷、三甲氧基硅烷或三苯氧基硅烷。
本发明的反应物和方法可用于制造选自:光学器件、磁信息存储器、支持材料或衬底上的涂层、微电子机械系统(MEMS)、纳米电子机械系统、薄膜晶体管(TFT)和液晶显示器(LCD)的器件。

Claims (13)

1.一种选自具有如下通式III的前体的硅前体:
其中,R选自丁基、异丁基、叔丁基、戊基、叔戊基、异戊基、己基和芳基;R1和R2独立地选自甲基、乙基、丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、戊基、叔戊基、异戊基、己基和芳基,其中R1和R2不能都是乙基,或
R是仲丁基;R1选自甲基、乙基、丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、戊基、叔戊基、异戊基、己基和芳基,和R2选自甲基、乙基、丙基、异丙基、丁基、异丁基、叔丁基、戊基、叔戊基、异戊基、己基和芳基,其中R1和R2不能都是乙基,且
其中所述硅前体不是三-正丁氧基硅烷、三-叔丁氧基硅烷或三-苯氧基硅烷。
2.如权利要求1的硅前体,其中所述芳基是具有6-12个碳原子的环状官能团,其中所述硅前体不是三-苯氧基硅烷。
3.如权利要求2的硅前体,其中所述芳基选自苯基、苯甲基、甲苯基和邻二甲苯基,其中所述硅前体不是三-苯氧基硅烷。
4.一种在衬底的至少一个表面上形成介电膜的方法,该方法包括:
在反应室中提供衬底的至少一个表面;和
将硅前体引入反应室中以形成所述介电膜,其中所述硅前体为如权利要求1-3中任一项所定义的硅前体。
5.如权利要求4的方法,其中至少一种选自氧源、氮源或其组合的源引入所述反应室中。
6.如权利要求5的方法,其中所述氧源包括氧气、臭氧或其组合。
7.如权利要求4-6中任一项的方法,其中所述形成为选自循环化学气相沉积、等离子体增强化学气相沉积或原子层沉积的至少一种。
8.如权利要求4-6中任一项的方法,其中其氮源引入所述反应室中。
9.如权利要求4-6中任一项的方法,其利用热CVD工艺,其中所述介电膜包括由XPS测量的至多30原子重量%的氮。
10.一种通过原子层沉积工艺形成含硅和氧的介电膜的方法,该方法包括如下步骤:
a.将衬底置于ALD反应器中;
b.将如权利要求1-3中任一项所定义的硅前体引入ALD反应器中;
c.用气体吹扫所述ALD反应器;
d.将氧源引入所述ALD反应器;
e.用气体吹扫所述ALD反应器;
f.重复步骤b到d直到得到需要的介电膜厚度,其中所述介电膜包括由XPS测量的至多30原子重量%的氮。
11.如权利要求4-10中任一项的方法形成的膜,具有组成SiaObNcCdHeBf,其中a是10-50原子%,b是10到70原子%,c是0到30原子%,d是0到30原子%,e是0到50原子%及f是0到30原子%。
12.一种电解抛光的不锈钢容器,具有带高纯度低死体积阀的入口和出口,所述容器用于提供形成介电膜的前体,该容器包含如权利要求1-3中任一项所定义的硅前体。
13.如权利要求1-3中任一项所定义的硅前体在选自循环化学气相沉积、等离子体增强化学气相沉积和原子层沉积的沉积工艺中用于形成介电膜的用途。
CN201310291966.0A 2010-02-04 2011-02-09 制备含硅膜的方法 Active CN103397307B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US30137510P 2010-02-04 2010-02-04
US61/301,375 2010-02-04
US13/015,720 2011-01-28
US13/015,720 US8703625B2 (en) 2010-02-04 2011-01-28 Methods to prepare silicon-containing films
CN2011100361152A CN102191479B (zh) 2010-02-04 2011-02-09 制备含硅膜的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2011100361152A Division CN102191479B (zh) 2010-02-04 2011-02-09 制备含硅膜的方法

Publications (2)

Publication Number Publication Date
CN103397307A CN103397307A (zh) 2013-11-20
CN103397307B true CN103397307B (zh) 2017-07-07

Family

ID=44530600

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310291966.0A Active CN103397307B (zh) 2010-02-04 2011-02-09 制备含硅膜的方法
CN2011100361152A Active CN102191479B (zh) 2010-02-04 2011-02-09 制备含硅膜的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2011100361152A Active CN102191479B (zh) 2010-02-04 2011-02-09 制备含硅膜的方法

Country Status (4)

Country Link
US (2) US8703625B2 (zh)
JP (5) JP2011171730A (zh)
KR (4) KR20110090838A (zh)
CN (2) CN103397307B (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
TW201319299A (zh) * 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
EP2823082B1 (en) * 2012-03-09 2024-05-15 Versum Materials US, LLC Barrier materials for display devices
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
KR101512315B1 (ko) * 2012-10-02 2015-04-15 모진희 실리콘이 포함된 도금액 및 이를 이용한 실리콘이 도금된 기판 제조방법
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20140295141A1 (en) * 2013-03-27 2014-10-02 E I Du Pont De Nemours And Company Making the Surface of an Article Visibly Line Free
JP5852147B2 (ja) * 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20150275355A1 (en) * 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
WO2016038744A1 (ja) * 2014-09-12 2016-03-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
KR102079501B1 (ko) 2014-10-24 2020-02-20 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 필름의 증착을 위한 조성물 및 이를 사용하는 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6585724B2 (ja) * 2015-02-06 2019-10-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10763103B2 (en) 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6689886B2 (ja) * 2015-05-22 2020-04-28 ダウ シリコーンズ コーポレーション ペンタクロロジシラン
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
KR20180087450A (ko) * 2015-12-21 2018-08-01 버슘머트리얼즈 유에스, 엘엘씨 규소-함유 막의 증착을 위한 조성물 및 이를 사용하는 방법
CN106941103A (zh) * 2016-01-04 2017-07-11 中芯国际集成电路制造(北京)有限公司 Nand存储器的形成方法
JP6523186B2 (ja) * 2016-02-01 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
JP6805347B2 (ja) * 2017-07-13 2020-12-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10707073B2 (en) 2017-09-05 2020-07-07 Asm Ip Holding B.V. Film forming method and patterning method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
CN108546927B (zh) * 2018-07-23 2019-12-03 业成科技(成都)有限公司 以化学气相沉积长碳链硅烷化合物作为气密防水之方法
EP3861147A1 (en) * 2018-10-02 2021-08-11 Evatec AG Plasma enhanced atomic layer deposition (peald) apparatus
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
US11594409B2 (en) 2020-02-28 2023-02-28 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
US11970769B2 (en) * 2021-06-24 2024-04-30 Asm Ip Holding B.V. Cyclical deposition methods
CN115125512A (zh) * 2022-07-11 2022-09-30 杭州师范大学 利用四甲基二硅氧烷热分解沉积技术的基材表面惰性处理方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1367205A (zh) * 2001-01-17 2002-09-04 气体产品与化学公司 用于低介电常数层间介质薄膜的有机硅前体
CN1739190A (zh) * 2003-01-17 2006-02-22 艾克塞利斯技术公司 多孔Low-K材料的无氟等离子体固化方法
CN101124605A (zh) * 2004-12-17 2008-02-13 普莱克斯技术有限公司 分配装置及其使用方法
CN101312129A (zh) * 2007-02-15 2008-11-26 气体产品与化学公司 提高介电膜的材料性能的活化化学方法

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4579965A (en) * 1985-01-24 1986-04-01 Union Carbide Corporation Process for preparing vinyl-tri-(tertiary substituted) alkoxysilanes
US5177234A (en) * 1991-06-03 1993-01-05 Dow Corning Corporation Preparation of alkoxysilanes by contacting a solution of hydrogen fluoride in an alcohol with silicon
GB9117744D0 (en) * 1991-08-16 1991-10-02 Shell Int Research Polymerization of cycloolefins and catalytic system suitable for use therein
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
JP3166329B2 (ja) 1992-08-14 2001-05-14 ソニー株式会社 サンプルサーボ装置
JP2884968B2 (ja) * 1992-11-17 1999-04-19 東亞合成株式会社 シリコン酸化膜の製造方法
JP3061255B2 (ja) 1995-08-18 2000-07-10 キヤノン販売株式会社 成膜方法
US6667232B2 (en) * 1998-12-08 2003-12-23 Intel Corporation Thin dielectric layers and non-thermal formation thereof
EP1138633A1 (en) * 1999-09-17 2001-10-04 Mitsui Chemicals, Inc. Process for producing fluorinated silicon compound
US6436822B1 (en) 2000-11-20 2002-08-20 Intel Corporation Method for making a carbon doped oxide dielectric material
JP2002299441A (ja) 2001-03-30 2002-10-11 Jsr Corp デュアルダマシン構造の形成方法
JP2003158127A (ja) 2001-09-07 2003-05-30 Arieesu Gijutsu Kenkyu Kk 成膜方法、成膜装置、及び半導体装置
KR20030043380A (ko) * 2001-11-28 2003-06-02 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7105460B2 (en) 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
TW200408015A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
JP2004233254A (ja) * 2003-01-31 2004-08-19 Asahi Denka Kogyo Kk 高純度薬品の供給装置、これを用いた高純度薬品の供給方法
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US20040180551A1 (en) * 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
JP4032044B2 (ja) * 2003-06-17 2008-01-16 株式会社半導体プロセス研究所 成膜方法、半導体装置の製造方法及び半導体装置
KR100697505B1 (ko) * 2003-06-27 2007-03-20 어플라이드 마이크로스트럭쳐스, 인코포레이티드 박막 필름 및 코팅을 생성하기 위해 반응성 증기를 제어도포하는 장치 및 방법
JP4401912B2 (ja) * 2003-10-17 2010-01-20 学校法人早稲田大学 半導体多層配線板の形成方法
JP2005197561A (ja) 2004-01-09 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
US7097878B1 (en) * 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
JP4541080B2 (ja) * 2004-09-16 2010-09-08 東京応化工業株式会社 反射防止膜形成用組成物およびこれを用いた配線形成方法
SG121180A1 (en) * 2004-09-28 2006-04-26 Air Prod & Chem Porous low dielectric constant compositions and methods for making and using same
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
JP2006120920A (ja) * 2004-10-22 2006-05-11 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用塗布液
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP2007123633A (ja) * 2005-10-28 2007-05-17 Tokyo Ohka Kogyo Co Ltd シリカ系被膜形成用組成物および該組成物から得られたシリカ系被膜
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
WO2008018981A2 (en) * 2006-08-04 2008-02-14 Dow Corning Corporation Silicone resin and silicone composition
ES2426666T3 (es) * 2007-01-12 2013-10-24 Utilx Corporation Composición y procedimiento para restaurar un cable eléctrico e inhibir la corrosión en el núcleo conductor de aluminio
JP4735601B2 (ja) * 2007-05-14 2011-07-27 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
EP2193541A1 (en) * 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7846793B2 (en) 2007-10-03 2010-12-07 Applied Materials, Inc. Plasma surface treatment for SI and metal nanocrystal nucleation
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP2012122002A (ja) * 2010-12-09 2012-06-28 Daicel Corp 付加硬化性メタロシロキサン化合物
IT1403278B1 (it) * 2010-12-20 2013-10-17 Polimeri Europa Spa Precursore di catalizzatore e catalizzatore per la (co)polimerizzazione delle alfa-olefine ad alta temperatura

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1367205A (zh) * 2001-01-17 2002-09-04 气体产品与化学公司 用于低介电常数层间介质薄膜的有机硅前体
CN1739190A (zh) * 2003-01-17 2006-02-22 艾克塞利斯技术公司 多孔Low-K材料的无氟等离子体固化方法
CN101124605A (zh) * 2004-12-17 2008-02-13 普莱克斯技术有限公司 分配装置及其使用方法
CN101312129A (zh) * 2007-02-15 2008-11-26 气体产品与化学公司 提高介电膜的材料性能的活化化学方法

Also Published As

Publication number Publication date
CN103397307A (zh) 2013-11-20
JP2015156514A (ja) 2015-08-27
KR20140105701A (ko) 2014-09-02
US20150249007A1 (en) 2015-09-03
JP2011171730A (ja) 2011-09-01
CN102191479A (zh) 2011-09-21
JP6359999B2 (ja) 2018-07-18
JP6480527B2 (ja) 2019-03-13
US20110215445A1 (en) 2011-09-08
JP2013016858A (ja) 2013-01-24
JP2013021360A (ja) 2013-01-31
CN102191479B (zh) 2013-09-18
KR20170004942A (ko) 2017-01-11
KR20110090838A (ko) 2011-08-10
KR20130032343A (ko) 2013-04-01
JP5746119B2 (ja) 2015-07-08
US8703625B2 (en) 2014-04-22
US9502234B2 (en) 2016-11-22
KR101950952B1 (ko) 2019-02-21
KR101950956B1 (ko) 2019-02-21
JP2018014503A (ja) 2018-01-25
JP5746120B2 (ja) 2015-07-08

Similar Documents

Publication Publication Date Title
CN103397307B (zh) 制备含硅膜的方法
US8940648B2 (en) Process for producing silicon and oxide films from organoaminosilane precursors
CN103374708B (zh) 氧化硅薄膜的高温原子层沉积
CN104250258B (zh) 氮杂聚硅烷前体和沉积包含该前体的薄膜的方法
US8912353B2 (en) Organoaminosilane precursors and methods for depositing films comprising same
CN104831254B (zh) 氮化硅膜的沉积方法
KR101070953B1 (ko) 실리콘을 포함하는 유전 필름 및 이를 제조하는 방법
TWI623543B (zh) 含硼化合物、組合物及含硼膜的沉積方法
KR101856143B1 (ko) 실리콘-기반 필름 및 이를 형성시키는 방법
CN108026637A (zh) 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
CN105801612A (zh) 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
CN103012457A (zh) 卤代有机氨基硅烷前体及包含该前体的薄膜沉积方法
CN103582719A (zh) 用于沉积碳掺杂含硅膜的组合物和方法
EP2363512A1 (en) Methods to prepare silicon-containing films
TWI431147B (zh) 製備含矽膜的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20170612

Address after: Arizona, USA

Applicant after: Versum Materials US, LLC

Address before: American Pennsylvania

Applicant before: Air Products and Chemicals, Inc.

GR01 Patent grant
GR01 Patent grant