KR101856143B1 - 실리콘-기반 필름 및 이를 형성시키는 방법 - Google Patents

실리콘-기반 필름 및 이를 형성시키는 방법 Download PDF

Info

Publication number
KR101856143B1
KR101856143B1 KR1020150153102A KR20150153102A KR101856143B1 KR 101856143 B1 KR101856143 B1 KR 101856143B1 KR 1020150153102 A KR1020150153102 A KR 1020150153102A KR 20150153102 A KR20150153102 A KR 20150153102A KR 101856143 B1 KR101856143 B1 KR 101856143B1
Authority
KR
South Korea
Prior art keywords
group
branched
linear
alkyl group
silicon
Prior art date
Application number
KR1020150153102A
Other languages
English (en)
Other versions
KR20160052418A (ko
Inventor
신지안 레이
아누파마 말리카주난
매튜 알. 맥도날드
만차오 시아오
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20160052418A publication Critical patent/KR20160052418A/ko
Application granted granted Critical
Publication of KR101856143B1 publication Critical patent/KR101856143B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Other Resins Obtained By Reactions Not Involving Carbon-To-Carbon Unsaturated Bonds (AREA)
  • Plasma & Fusion (AREA)

Abstract

본원에는 실리콘-기반 필름 및 조성물 및 이를 형성시키는 방법이 기술된다. 실리콘-기반 필름은 50 원자% 미만의 실리콘을 함유한다. 일 양태에서, 실리콘-기반 필름은 조성 SixCyNz를 가지며, 여기서 XPS로 측정하는 경우에, x는 약 0 내지 약 55 원자중량%이며, y는 약 35 내지 약 100 원자중량%이며, z는 약 0 내지 약 50 원자중량%이다. 다른 양태에서, 실리콘-기반 필름은 1,4-디실라펜탄과 같은 두 개의 실리콘 원자들, 적어도 하나의 Si-Me 기, 및 실리콘 원자들 사이의 에틸렌 또는 프로필렌 연결을 포함하는 적어도 하나의 오가노실리콘 전구체를 사용하여 증착된다.

Description

실리콘-기반 필름 및 이를 형성시키는 방법{SILICON-BASED FILMS AND METHODS OF FORMING THE SAME}
관련 출원에 대한 상호 참조
본 출원은 2014년 11월 3일에 출원된 출원번호 제62/074,219호의 잇점을 청구한다. 출원번호 제62/074,219호의 내용은 본원에 참고로 포함된다.
본원에는 실리콘-함유 또는 실리콘-기반 유전체 필름 또는 물질, 및 이를 형성시키기 위한 방법 및 조성물이 기술된다.
본원에 기술된 실리콘-기반 유전체 필름은 다양한 전자 적용에서 사용하기 위한 비-화학양론적 실리콘 카바이드, 비정질 실리콘, 실리콘 카보니트라이드, 또는 실리콘 니트라이드를 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 유전체 필름은 실리콘 및 탄소 이외의 다른 원소들을 포함한다. 이러한 다른 원소들은 때때로 필름의 얻어진 적용 또는 요망되는 최종 성질에 따라 증착 공정을 통해 조성 혼합물(compositional mixture)에 의도적으로 첨가될 수 있다. 예를 들어, 원소 질소(N)는 비제한적으로 보다 낮은 누설 전류와 같은 특정 유전체 성능을 제공하도록 카보니트라이드 또는 실리콘니트라이드 필름을 형성시키기 위해 실리콘-기반 필름에 첨가될 수 있다. 그러나, 적용에 따라, 필름 중의 특정 원소들은 심지어 보다 낮은 농도 수준으로도 요망되지 않을 수 있다.
실리콘 카바이드 필름은 통상적으로 전구체 1,4-디실라부탄 (1,4-DSB)을 사용하여 증착된다. 미국특허공개번호 제2010/233886호에는 낮은 습식 에치 내성, 6.0 이하의 유전 상수의 특징들 중 적어도 하나를 나타내고/거나 고온, 빠른 열적 어닐 공정(termal anneal process)을 견딜 수 있는, Si, 예를 들어 비제한적으로 Si 옥사이드, Si 옥시카바이드, Si 카바이드, 및 이들의 조합을 포함하는 실리콘-기반 필름을 형성시키는 방법이 기재되어 있다.
종래 기술에는 X-선 광전자 분광법(XPS)에 따라 약 55% 보다 높은 실리콘 함량을 갖는 실리콘 카바이드 필름과 같은 실리콘-함유 필름의 화학적 증기 증착(CVD)을 위한 전구체로서의 1,4-디실라부탄의 사용이 기재되어 있지만, 약 55% 미만의 실리콘 함량을 지닌 실리콘 카바이드 필름 또는 물질을 증착시킬 필요가 있다. 1,4-디실라부탄으로부터 증착된 SiC 필름이 > 55% Si 보다 큰 실리콘 함량을 갖는 이유가 Si가 그 자체적으로 결합되어 Si-Si 결합을 형성시키기 때문인 것으로 사료된다. 이러한 Si-Si 결합은 후속 공정 집적 단계, 예를 들어 O2 플라즈마 처리에 대한 노출 또는 애싱(ashing) 동안 필름이 손상되기 쉽게 만든다. 이에 따라, 대안적인 전구체, 및 XPS에 의해 측정하는 경우에 필름의 실리콘 함량이 약 55% 미만인 실리콘 함유 필름을 제공하기 위해 이를 사용하는 방법을 개발하는 것이 당해 분야에 요구된다. 또한, 고밀도(2 그램/입방 센티미터(g/cc) 이상의 밀도)의 튼튼한 필름을 가지거나 집적(integration) 동안 추가 가공 단계들을 견디는 것이 요망될 수 있다.
본원에 기술된 조성물 및 방법은 당해 분야에서의 요구들 중 하나 이상의 충족시킨다. 본원에는 실리콘, 탄소, 임의적으로 질소, 및 이들의 조합을 포함하는 실리콘-기반 유전 물질 또는 필름을 형성시키기 위한 방법 및 전구체가 기술된다. 특정 구체예에서, 실리콘-기반 필름에는 실질적으로 산소가 존재하지 않거나, 대안적으로, 이는 X-선 광전자 분광법(XPS)에 의해 측정하는 경우에 약 0 내지 약 11 원자 중량%의 산소를 포함한다. 일 양태에서, 실리콘-기반 필름은 조성 SixCyNz를 가지며, 여기서 XPS로 측정하는 경우에, x는 약 0 내지 약 55 원자 중량%이며, y는 약 35 내지 약 100 원자 중량%이며, z는 약 0 내지 약 50 원자 중량%이다. 다른 양태에서, 실리콘-기반 필름은 조성 SixCy를 가지며, 여기서 x는 약 0 내지 약 55 원자 중량%이며, y는 약 35 내지 약 100 원자 중량%이다. 이러한 또는 다른 양태에서, 본원에 기술된 실리콘-기반 필름은 X-선 광전자 분광법(XPS)에 의해 측정하는 경우에, 약 55 원자 중량% 이하의 실리콘을 함유한다. 실리콘-기반 필름에서 탄소 및 임의적으로 질소의 원자 중량%는 물질 또는 필름에서 약 55 원자 중량% 이하의 실리콘을 유지시키면서, 온도와 같은 증착 조건들을 변경시키거나 질소 공급원을 첨가하거나 이들의 조합을 수행함으로서 조정될 수 있다.
일 양태에서, 기판의 표면의 적어도 일부 상에 실리콘-기반 필름을 형성시키는 방법으로서,
반응기에 기판을 제공하고;
반응기에 하기 화학식 A 내지 화학식 D를 갖는 적어도 하나의 오가노실리콘 전구체 화합물을 도입하고;
화학적 증기 증착 (CVD), 저압 화학적 증기 증착 (LPCVD), 플라즈마 강화 화학적 증기 증착 (PECVD), 사이클릭 화학적 증기 증착 (CCVD), 플라즈마 강화 사이클릭 화학적 증기 증착 (PECCVD, 원자층 증착 (ALD), 및 플라즈마 강화 원자층 증착 (PEALD)으로 이루어진 군으로부터 선택된 증착 공정에 의해 표면의 적어도 일부 상에 필름을 형성시키는 것을 포함하며,
실리콘-기반 필름이 XPS에 의해 측정하는 경우에 약 0 내지 약 50 원자 중량% 실리콘을 포함하는 방법이 제공된다:
Figure 112015116061858-pat00001
상기 식에서, X1 및 X2는 각각 독립적으로 수소 원자, 할라이드 원자, 및 화학식 NR1R2를 갖는 오가노아미노 기로부터 선택되며, 여기서 R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택되며, R2는 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 C1 내지 C6 불화된 알킬 기, 분지형 C3 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며, 임의적으로 R1 및 R2는 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시키기 위해 함께 연결되며; R3, R4, 및 R5는 각각 독립적으로 수소 원자 및 메틸 (CH3) 기로부터 선택되며; R6은 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택된다. 일 양태에서, 증착 공정은 LPCVD를 포함한다. 다른 양태에서, 증착 공정은 PECVD를 포함한다. 또한, 다른 양태에서, 증착 공정은 PEALD 또는 PECCVD를 포함한다.
다른 양태에서, 실리콘-기반 필름을 증착시키기 위한 조성물이 제공되며, 이러한 조성물은 1-클로로-1,4-디실라펜탄, 1-클로로-1,5-디실라헥산, 1,5-디클로로-1,5-디실라헥산, 2,6-디클로로-2,6-디실라헵탄, 1-디메틸아미노-1,4-디실라펜탄, 1-디에틸아미노-1,4-디실라펜탄, 1-디-이소-프로필아미노-1,4-디실라펜탄, 1-디메틸아미노-1,5-디실라헥산, 1-디에틸아미노-1,5-디실라헥산, 1-디-이소-프로필아미노-1,5-디실라헥산, 2-디메틸아미노-2,5-디실라헥산, 2-디에틸아미노-2,5-디실라헥산, 2-디-이소-프로필아미노-2,5-디실라헥산, 2-디메틸아미노-2,6-디실라헵탄, 2-디에틸아미노-2,6-디실라헵탄, 2-디-이소-프로필아미노-2,6-디실라헵탄,1,4-비스(디메틸아미노)-1,4-디실라펜탄, 1,4-비스(디에틸아미노)-1,4-디실라펜탄, 1,5-비스(디메틸아미노)-1,5-디실라헥산, 1,5-비스(디에틸아미노)-1,5-디실라헥산, 2,5-비스(디메틸아미노)-2,5-디실라헥산, 2,5-비스(디에틸아미노)-2,5-디실라헥산, 2,6-비스(디메틸아미노)-2,6-디실라헵탄, 2,6-비스(디에틸아미노)-2,6-디실라헵탄,1,2-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-n-프로필-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1-이소-프로필-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1-3차-부틸-2-메틸-1-아자-2,5-디실라사이클로펜탄,1,2-디메틸-1-아자-2,6-디실라사이클로헥산, 1-n-프로필-2-메틸-1-아자-2,6-디실라사이클로헥산, 1-이소-프로필-2-메틸-1-아자-2,6-디실라사이클로헥산, 1-3차-부틸-2-메틸-1-아자-2,5-디실라사이클로헥산, 1,2,5-트리메틸-1-아자-2,5-디실라사이클로펜탄, 1-n-프로필-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-이소-프로필-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-3차-부틸-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1,2,6-트리메틸-1-아자-2,6-디실라사이클로헥산, 1-n-프로필-2,6-디메틸-1-아자-2,6-디실라사이클로헥산, 1-이소-프로필-2,6-디메틸-1-아자-2,6-디실라사이클로헥산, 및 1-3차-부틸-2,6-디메틸-1-아자-2,6-디실라사이클로헥산으로 이루어진 군으로부터 선택된 두 개의 실리콘 원자를 포함하는 적어도 하나의 오가노실리콘 전구체를 포함한다.
본원에는 실리콘-기반 유전체 필름, 및 이를 형성시키기 위한 방법 및 조성물이 기술된다. 명세서 전반에 걸쳐, 본원에서 사용되는 용어 "실리콘 기반 필름" 및 "유전체 필름"은 교체 가능하고, 화학양론적 또는 비-화학양론적 실리콘 카바이드, 실리콘 카보니트라이드, 및 이들의 혼합물로 이루어진 군으로부터 선택된 실리콘, 탄소 및 임의적으로 질소 및 수소(필름에 존재할 수 있지만 XPS에 의해 측정 가능하지 않음)를 포함하는 필름을 지칭한다. 특정 구체예에서, 실리콘-기반 필름은 산소-부재이거나 여기에 산소가 실질적으로 존재하지 않는다. 이러한 구체예에서, 본원에서 사용되는 용어 "실질적으로 존재하지 않는"은 XPS에 의해 측정하는 경우에 2 원자 중량%(at. wt.%) 또는 그 미만, 또는 1 원자 중량% 이하, 또는 0.5 원자 중량% 이하의 산소를 포함하는 필름을 의미한다.
실리콘-기반 유전체 필름은 하기 특징들 중 적어도 하나 이상을 나타낸다: 열적 실리콘 옥사이드(예를 들어, 묽은 HF에 노출될 때와 같은)와 비교하여 비교적 낮은 습식 에칭율; 낮은 누설 전류; 웨이퍼의 상이한 구역(5 포인트 맵) 상에서의 측정에 의해 얻어질 수 있는 균일성을 갖는 웨이퍼 균일성 내에서의 양호성 및 표준 편차 계산; 콘포말성(conformality); 가스 상 공정에 대한 내성(예를 들어, 산화성 플라즈마); 및 이들의 조합. 뒤에 기술된 특징들과 관련하여, 실리콘-기반 필름은 열적 실리콘 옥사이드와 비교하여 성질 및 필름 구조에 있어서 비교적 적은 변화를 나타내거나 변화를 전혀 나타내지 않는다. 상술된 것 이외에, 실리콘-기반 필름은 하기 장점들 중 하나 이상을 제공한다: 높은 열적 안정성 (예를 들어, 스파이크 어닐 공정 단계(spike anneal processing step)를 견디는 능력, 여기서 대상(subject)은 약 600 내지 1000℃ 범위의 하나 이상의 온도로 처리됨), 환경 안정성 (예를 들어, 주변에 1 내지 24시간 노출 후 굴절률(RI) 또는 다른 필름 성질들의 변화가 없거나 10% 이하, 5% 이하, 2% 이하, 또는 1% 변화를 나타냄), 탄소-풍부 필름을 포함하는 조정 가능한 조성(50 원자% 미만의 Si를 갖는 C 및/또는 N 도핑된 비정질 실리콘-기반 필름, 및 탄소 함량은 Si 함량과 동일하거나 그 보다 크다), 및 이들의 조합.
하나의 특정 구체예에서, 본원에 기술된 실리콘-기반 필름은 낮은 에칭율 (또는 측정 가능하지 않은 에칭율), 높은 밀도 (예를 들어, 2.0 g/cc 이상의 필름 밀도를 갖음), 감소된 양의 Si-Si 결합 (예를 들어, 라만 분광법에 의해 측정한 경우 전체 결합의 5% 미만), 및 XPS에 의해 측정한 경우 50% 원자 중량% 미만의 Si를 나타낸다.
집적 공정에서, 포토레지스트 스트립핑(photoresist stripping)은 필수적인 단계이다. 포토레지스트 제거는 통상적으로 산소 (O2) 플라즈마 건조 애싱 단계를 이용하여 실행된다. 포토레지스트에 인접한 실리콘-함유 유전체 필름의 성질은 O2 플라즈마 처리 동안 퇴화될 수 있다. 마주치게 되는 통상적인 문제점들에는 하기 기술된 것들 중 하나가 있다: 필름의 산화, 탄소의 손실, 필름 수축, 필름 조밀화, 및/또는 스트립핑 후 필름에서의 증가된 수분 흡수. 이러한 효과는 하기 기술된 것들 중 하나에 의해 측정될 수 있다: 애싱 전 및 후에 굴절률 수치에 의해 측정된 필름의 굴절률(RI) 변화; XPS에 의해 측정하는 경우 필름에서 C 원자 중량%의 감소에 의해 나타낸 바와 같은 감소된 탄소 함량; 이의 사전-애싱 k 수치와 비교하여 더욱 높은 유전 상수(k) 수치; 이의 사전-애싱 밀도 측정과 비교하여 더욱 높은 밀도 측정치; 및 이의 사전-애싱 두께 측정치와 비교하여 더욱 낮은 애싱후 필름 두께. 고밀도(예를 들어, 2 g/cc 이상) 및 양호한 Si-C-Si 카바이드 결합(FTIR 스펙트럼에서 ~ 800 cm-1에서의 피크에 의해 나타냄)의 필름이 보다 양호한 산소 애싱 내성을 제공할 것으로 예상된다.
본원에 기술된 실리콘-함유 유전체 필름은 산소 애싱 가공 단계, 온도 스파이크 어닐 공정, 및/또는 주변 공기의 1 내지 24시간 범위의 노출 전 또는 후에 하기 특징들 중 하나 이상과 비교할 때 하기 특징들 중 하나 이상의 + 또는 - 20% 이하, 15% 이하, 10% 이하, 5% 이하, 2% 이하의 변화를 나타낸다: 굴절률, 유전 상수, 밀도, 두께, 습식 에치 내성, 필름 두께, 또는 이들의 조합.
본원에 기술된 실리콘-함유 유전체 필름은 두 개의 실리콘 원자, 적어도 하나의 Si-Me 기, 및 적어도 하나의 C2 또는 C3 연결을 포함하는 오가노실리콘 전구체 화합물을 포함하는 조성물로부터 증착된다. C2 또는 C3 연결은 알칸-1,2-디일, 알칸-1,3-디일로 이루어진 군으로부터 선택된 디라디칼 사슬(diradical chain)이다. 알칸-1,2-디일 및 알칸-1,3-디일 디라디칼 사슬의 예는 에틸렌 (-CH2CH2-), 치환된 에틸렌 (-CHMeCH2-, -CH(Me)CH(Me)-), 프로필렌 (-CH2CH2CH2-), 및 치환된 프로필렌을 포함하지만, 이로 제한되지 않는다. 오가노실리콘 화합물의 예는 1,4-디실라펜탄 ("1,4-DSP") 및 유사한 구조를 갖는 다른 오가노실리콘 화합물을 포함한다. 본원에 기술된 전구체로부터 증착된 실리콘-기반 유전체 필름은 탄소-풍부(예를 들어, 40 원자% 초과의 C를 가짐)인 것과 같은 1-4-디실라부탄 ("1,4-DSB")과 같은 다른 전구체에 비해 독특한 필름 성질, 및 실리콘 카바이드 필름에서 Si, C 함량을 조정하거나 얻어진 실리콘 카보니트라이드 필름에서 Si, C 및 N 함량을 조정하는 능력을 나타내는 것으로 나타난다.
실리콘, 탄소 및 임의적으로 질소를 포함하는 유전체 필름을 형성시키기 위하여, 특정 구체예에서, 오가노실리콘 전구체에는 산소가 존재하지 않는 것이 요망된다. 또한, 특정 구체예에서, 전구체가 비교적 저온(예를 들어, 600℃ 이하)에서 필름을 증착시키기에 충분히 반응적인 것이 요망된다. 전구체 반응성에 대한 요망에도 불구하고, 전구체는 또한, 시간에 따라 임의의 상당한 크기로 분해하거나 변하지 않기에 충분히 안정적이어야 한다(예를 들어, 일년에 1% 미만). 실리콘 원자들 사이에 에틸렌 또는 프로필렌 브릿지를 갖는, 본원에 기술된 오가노실리콘 화합물, 예를 들어 비제한적으로, 1,4-DSP는 고온에서 C-Si 결합을 분할시키는 특별한 경항을 갖는다. 하나의 실리콘 기가 에틸렌 브릿지로부터 파괴될 때, 자유 라디칼 또는 양이온은 브릿지-헤드 탄소 원자 상에서 형성된다. 베타-위치 상에 배치된 다른 실리콘은 하이퍼콘주게이션(hyperconjugation)을 통한 라디칼 또는 양이온에 안정화를 제공하며, 즉 Si-C 결합의 채워진 델타-오비탈은 빈 또는 단일 점유 p-오비탈에 전자를 공여한다. 이는 또한, 베타-실리콘 효과로서 알려져 있다. 이러한 하이퍼-콘주게이트된 중간체는 제2 Si-C 결합의 파괴와 함께 추가로 분해한다. 전체 결과는 휘발성 부산물로서 에틸렌 또는 프로필렌 브릿지의 제거, 및 일부 Si-Me 기를 갖는 화학적 반응성 실리콘 종의 발생으로서, 그 결과로 기판 상에 실리콘 기반 필름을 증착시키기 위해 다른 반응성 실리콘 종과 반응한다. 임의 이론으로 제한하고자 하는 것은 아니지만, Si-Me는 얻어진 실리콘 기반 필름에 도입될 수 있고, 이에 따라 임의 Si-Me 기를 갖지 않는 1,4-디실라부탄으로부터 증착된 유사한 필름 보다 높은 탄소 함량을 제공한다.
일 양태에서, 실리콘, 탄소 및 임의적으로 질소 필름을 포함하는 유전체 필름을 증착시키기 위한 조성물은 하기 화학식 A 내지 화학식 D를 갖는 적어도 하나의 오가노실리콘 화합물을 포함한다:
Figure 112015116061858-pat00002
상기 화학식 A 내지 화학식 D에서, X1 및 X2는 각각 독립적으로 수소 원자, 할라이드 원자, 및 화학식 NR1R2를 갖는 오가노아미노 기로부터 선택되며, 여기서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택되며, R2는 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 C1 내지 C6 불화된 알킬 기, 분지형 C3 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며, 임의적으로, R1 및 R2는 함께 연결되어 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시키며; R3, R4, 및 R5는 각각 독립적으로 수소 원자 및 메틸 (CH3) 기로부터 선택되며; R6은 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택된다.
본원에 기술된 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "선형 알킬"은 1 내지 10개 또는 3 내지 6개의 탄소 원자를 갖는 선형 작용기를 나타낸다. 예시적인 선형 알킬 기는 메틸 (Me), 에틸 (Et), 프로필 (n-Pr), 이소-프로필 (이소-Pr 또는 iPr), 부틸 (n-Bu), 이소부틸 (sBu), 2차-부틸 (sBu), 3차-부틸 (tBu), 펜틸, 이소-펜틸, 3차-펜틸 (아밀), 헥실, 이소-헥실, 및 네오-헥실을 포함하며, 이로 제한되지 않는다. 본원에 기술된 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "분지형 알킬"은 3 내지 10개 또는 3 내지 6개의 탄소 원자를 갖는 분지형 작용기를 나타낸다. 예시적인 분지된 알킬 기는 이소-프로필 (이소-Pr 또는 iPr), 이소부틸 (sBu), 2차-부틸 (sBu), 3차-부틸 (tBu), 이소-펜틸, 3차-펜틸 (아밀), 이소-헥실, 및 네오-헥실을 포함하지만, 이로 제한되지 않는다.
본원에 기술된 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "사이클릭 알킬"은 3 내지 10개 또는 4 내지 10개의 탄소 원자 또는 5 내지 10개의 탄소 원자를 갖는 환형 작용기를 나타낸다. 예시적인 사이클릭 알킬 기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함하지만, 이로 제한되지 않는다.
본원에 기술된 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "아릴"은 5 내지 12개의 탄소 원자 또는 6 내지 10개의 탄소 원자를 갖는 방향족 사이클릭 작용기를 나타낸다. 예시적인 아릴 기는 페닐, 벤질, 클로로벤질, 톨릴, 및 o-자일릴을 포함하지만, 이로 제한되지 않는다.
본원에 기술된 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 가지고 3 내지 10개 또는 3 내지 6개 도는 3 내지 4개의 탄소 원자를 갖는 기를 나타낸다.
본원에 기술된 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "알키닐 기"는 하나 이상의 탄소-탄소 삼중 결합을 가지고 3 내지 10개 또는 3 내지 6개 또는 3 내지 4개의 탄소 원자를 갖는 기를 나타낸다.
본원에 기술된 화학식에서 그리고 명세서 전반에 걸쳐, 용어 "디알킬아미노 기"는 질소 원자에 부착된 두 개의 알킬 기를 가지고 2 내지 10개 또는 2 내지 6개 또는 2 내지 4개의 탄소 원자를 갖는 기를 나타낸다.
본원에 기술된 화학식에서 그리고 명세서 전반에 걸쳐, 본원에서 사용되는 용어 "전자 끄는 기(electron withdrawing group)"는 Si-N 결합으로부터 떨어진 전자를 끌도록 작용하는 원자 또는 이의 기를 기술한다. 적합한 전자 끄는 기 또는 치환체의 예는 니트릴 (CN)을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 전자 끄는 치환체는 화학식 A 내지 화학식 D 중 임의 하나에서 N에 인접하거나 이에 근위일 수 있다. 전자 끄는 기의 추가의 비-제한적인 예는 F, Cl, Br, I, CN, NO2, RSO, 및/도는 RSO2를 포함하며, 여기서 R은 C1 내지 C10 알킬 기, 예를 들어 비제한적으로 메틸 기 또는 다른 기일 수 있다.
상기 화학식에서 그리고 명세서 전반에 걸쳐, 본원에서 사용되는 용어 "불포화된"은 작용기, 치환체, 고리, 또는 브릿지가 하나 이상의 탄소 이중 또는 삼중 결합을 갖는다는 것을 의미한다. 불포화된 고리의 예는 비제한적으로, 페닐 고리와 같은 방향족 고리일 수 있다. 용어 "치환된"은 작용기, 치환체, 고리 또는 브릿지가 하나 이상의 이중 또는 삼중 결합을 갖지 않는 것을 의미한다.
특정 구체예에서, 알킬 기, 알케닐 기, 알키닐 기, 디알킬아미노 기, 아릴 기, 및/또는 전자 끄는 기 중 하나 이상은 치환될 수 있거나, 예를 들어 수소 원자 대신에 치환된 하나 이상의 원자 또는 원자의 기를 가질 수 있다. 예시적인 치환체는 산소, 황, 할로겐 원자 (예를 들어, F, Cl, I, 또는 Br), 질소, 및 인을 포함하지만, 이로 제한되지 않는다. 다른 구체예에서, 알킬 기, 알케닐 기, 알키닐 기, 디알킬아미노 아릴 기, 및/또는 전자 끄는 기 중 하나 이상은 치환될 수 있다.
특정 구체예에서, 전구체에서 R1 및 R2는 오가노아미노 기 NR1R2이고, 고리 구조를 형성시키기 위해 화학식 A 및 화학식 B에서 연결된다. 이러한 구체예에서, R2는 수소 원자가 아니다. 예를 들어, R1 및 R2가 고리를 형성시키기 위해 함께 연결되는 구체예에서, R2는 R1에 연결시키기 위한 불포화된 결합(수소 치환체 대신)을 갖는다. 이에 따라, 상기 예에서, R2는 C1 내지 C10 알케닐 모이어티 또는 선형 또는 분지형 C1 내지 C10 알키닐 모이어티로부터 선택될 수 있다. 이러한 구체예에서, 화합물의 고리 구조는 불포화된, 예를 들어 환형 알킬 고리, 또는 포화된, 예를 들어 아릴 고리일 수 있다. 또한, 이러한 구체예에서, 고리 구조는 또한 치환되거나 비치환될 수 있다. 하나의 특정 구체예에서, 오가노실리콘 화합물은 5 내지 10개의 탄소 원자 및 적어도 하나의 질소 원자를 갖는 지방족, 치환된 고리, 예를 들어 헤테로원자 사이클릭 작용기를 포함한다. 예시적인 오가노미노 기 NR1R2 (여기서, R1 및 R2는 고리 구조를 형성시키기 위해 화학식 A 및 화학식 B에서 연결됨)는 2,6-디메틸피페리디노, 피페리디노, 2-메틸-피롤리디노, 2,5-디메틸-피롤리디노를 포함하지만, 이로 제한되지 않는다. 다른 구체예에서, R1 및 R2는 화학식 A 및 화학식 B에서 연결되지 않는다.
특정 구체예에서, 오가노실리콘 전구체 화합물은 본원에 기술된 화학식 A를 갖는다. 이러한 특정 구체예의 예시적인 화합물은 1-클로로-1,4-디실라펜탄, 1,4-디클로로-1,4-디실라펜탄, 1-디메틸아미노-1,4-디실라펜탄, 1-디에틸아미노-1,4-디실라펜탄, 1-메틸에틸아미노-1,4-디실라펜탄, 1-디-n-프로필아미노-1,4-디실라펜탄, 1-디-이소-프로필아미노-1,4-디실라펜탄, 1-이소-프로필아미노-1,4-디실라펜탄, 1-2차-부틸아미노-1,4-디실라펜탄, 1-3차-부틸아미노-1,4-디실라펜탄, 1-(2,6-디메틸피페리디노)-1,4-디실라펜탄, 1-피페리디노-1,4-디실라펜탄, 1-(사이클로헥실-이소-프로필아미노)-1,4-디실라펜탄, 1-(n-프로필-이소-프로필아미노)-1,4-디실라펜탄, 1,4-비스(디메틸아미노)-1,4-디실라펜탄, 1,4-비스(디에틸아미노)-1,4-디실라펜탄, 1,4-비스(메틸에틸아미노)-1,4-디실라펜탄, 1,4-비스(디-n-프로필아미노)-1,4-디실라펜탄, 1,4-비스(디-이소-프로필아미노)-1,4-디실라펜탄, 1,4-비스(이소-프로필아미노)-1,4-디실라펜탄, 1,4-비스(2차-부틸아미노)-1,4-디실라펜탄, 비스(3차-부틸아미노)-1,4-디실라펜탄, 1,4-비스(2,6-디메틸피페리디노)-1,4-디실라펜탄, 1,4-비스(피페리디노)-1,4-디실라펜탄, 1,4-비스(사이클로헥실-이소-프로필아미노)-1,4-디실라펜탄, 1,4-비스(n-프로필-이소-프로필아미노)-1,4-디실라펜탄,2-클로로-2,5-디실라헥산, 2,5-디클로로-디실라헥산, 2-디메틸아미노-2,5-디실라헥산, 2-디에틸아미노-2,5-디실라헥산, 2-메틸에틸아미노-2,5-디실라헥산, 2-디-n-프로필아미노-2,5-디실라헥산, 2-디-이소-프로필아미노-2,5-디실라헥산, 2-이소-프로필아미노-2,5-디실라헥산, 2-2차-부틸아미노-2,5-디실라헥산, 2-3차-부틸아미노-2,5-디실라헥산, 2-(2,6-디메틸피페리디노)-2,5-디실라헥산, 2-피페리디노-2,5-디실라헥산, 2-(사이클로헥실-이소-프로필아미노)-2,5-디실라헥산, 2-(n-프로필-이소-프로필아미노)-2,5-디실라헥산, 2,5-비스(디메틸아미노)-2,5-디실라헥산, 2,5-비스(디에틸아미노)-2,5-디실라헥산, 2,5-비스(메틸에틸아미노)-2,5-디실라헥산, 2,5-비스(디-n-프로필아미노)-2,5-디실라헥산, 2,5-비스(디-이소-프로필아미노)-2,5-디실라헥산, 2,5-비스(이소-프로필아미노)-2,5-디실라헥산, 2,5-비스(2차-부틸아미노)-2,5-디실라헥산, 2,5-비스(3차-부틸아미노)-2,5-디실라헥산, 2,5-비스(2,6-디메틸피페리디노)-2,5-디실라헥산, 2,5-비스(1-피페리디노)-2,5-디실라헥산, 2,5-비스(사이클로헥실-이소-프로필아미노)-2,5-디실라헥산, 2,5-비스(n-프로필-이소-프로필아미노)-2,5-디실라헥산, 및 이들의 조합을 포함하지만, 이로 제한되지 않는다.
특정 구체예에서, 오가노실리콘 전구체 화합물은 본원에 기술된 화학식 B를 갖는다. 이러한 특정 구체예의 예시적인 화합물은 1-클로로-1,5-디실라헥산, 1,5-디클로로-1,5-디실라헥산, 1-디메틸아미노-1,5-디실라헥산, 1-디에틸아미노-1,5-디실라헥산, 1-메틸에틸아미노-1,5-디실라헥산, 1-디-n-프로필아미노-1,5-디실라헥산, 1-디-이소-프로필아미노-1,5-디실라헥산, 1-이소-프로필아미노-1,5-디실라헥산, 1-2차-부틸아미노-1,5-디실라헥산, 1-3차-부틸아미노-1,5-디실라헥산, 1-(2,6-디메틸피페리디노)-1,5-디실라헥산, 1-피페리디노-1,5-디실라헥산, 1-(사이클로헥실-이소-프로필아미노)-1,5-디실라헥산, 1-(n-프로필-이소-프로필아미노)-1,5-디실라헥산, 1,5-비스(디메틸아미노)-1,5-디실라헥산, 1,5-비스(디에틸아미노)-1,5-디실라헥산, 1,5-비스(메틸에틸아미노)-1,5-디실라헥산, 1,5-비스(디-n-프로필아미노)-1,5-디실라헥산, 1,5-비스(디-이소-프로필아미노)-1,5-디실라헥산, 1,5-비스(이소-프로필아미노)-1,5-디실라헥산, 1,5-비스(2차-부틸아미노)-1,5-디실라헥산, 1,5-비스(3차-부틸아미노)-1,5-디실라헥산, 1,5-비스(2,6-디메틸피페리디노)-1,5-디실라헥산, 1,5-비스(1-피페리디노)-1,5-디실라헥산, 1,5-비스(사이클로헥실-이소-프로필아미노)-1,5-디실라헥산, 1,5-비스(n-프로필-이소-프로필아미노)-1,5-디실라헥산, 2-클로로-2,6-디실라헵탄, 2,6-디클로로-디실라헵탄, 2-디메틸아미노-2,6-디실라헵탄, 2-디에틸아미노-2,6-디실라헵탄, 2-메틸에틸아미노-2,6-디실라헵탄, 2-디-n-프로필아미노-2,6-디실라헵탄, 2-디-이소-프로필아미노-2,6-디실라헵탄, 2-이소-프로필아미노-2,6-디실라헵탄, 2-2차-부틸아미노-2,6-디실라헵탄, 2-3차-부틸아미노-2,6-디실라헵탄, 2-(2,6-디메틸피페리디노)-2,6-디실라헵탄, 2-피페리디노-2,6-디실라헵탄, 2-(사이클로헥실-이소-프로필아미노)-2,6-디실라헵탄, 2-(n-프로필-이소-프로필아미노)-2,6-디실라헵탄, 2,6-비스(디메틸아미노)-2,6-디실라헵탄, 2,6-비스(디에틸아미노)-2,6-디실라헵탄, 2,6-비스(메틸에틸아미노)-2,6-디실라헵탄, 2,6-비스(디-n-프로필아미노)-2,6-디실라헵탄, 2,6-비스(디-이소-프로필아미노)-2,6-디실라헵탄, 2,6-비스(이소-프로필아미노)-2,6-디실라헵탄, 2,6-비스(2차-부틸아미노)-2,6-디실라헵탄, 2,6-비스(3차-부틸아미노)-2,6-디실라헵탄, 2,6-비스(2,6-디메틸피페리디노)-2,6-디실라헵탄, 2,6-비스(1-피페리디노)-2,6-디실라헵탄, 2,6-비스(사이클로헥실-이소-프로필아미노)-2,6-디실라헵탄, 2,6-비스(n-프로필-이소-프로필아미노)-2,6-디실라헵탄, 및 이들의 조합을 포함하지만, 이로 제한되지 않는다.
특정 구체예에서, 오가노실리콘 전구체 화합물은 본원에 기술된 화학식 C를 갖는다. 이러한 특정 구체예의 예시적인 화합물은 1,2-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-n-프로필-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1-이소-프로필-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1-2차-부틸-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1-3차-부틸-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1,2,5-트리메틸-1-아자-2,5-디실라사이클로펜탄, 1-n-프로필-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-이소-프로필-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-2차-부틸-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-3차-부틸-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 및 이들의 조합을 포함하지만, 이로 제한되지 않는다.
특정 구체예에서, 오가노실리콘 전구체 화합물은 본원에 기술된 화학식 D를 갖는다. 이러한 특정 구체예의 예시적인 화합물은 2-디메틸-1-아자-2,6-디실라사이클로헥산, 1-n-프로필-2-메틸-1-아자-2,6-디실라사이클로헥산, 1-이소-프로필-2-메틸-1-아자-2,6-디실라사이클로헥산, 1-2차-부틸-2-메틸-1-아자-2,6-디실라사이클로헥산, 1-3차-부틸-2-메틸-1-아자-2,6-디실라사이클로헥산, 1,2,6-트리메틸-1-아자-2,6-디실라사이클로헥산, 1-n-프로필-2,6-디메틸-1-아자-2,6-디실라사이클로헥산, 1-이소-프로필-2,6-디메틸-1-아자-2,6-디실라사이클로헥산, 1-2차-부틸-2,6-디메틸-1-아자-2,6-디실라사이클로헥산, 1-3차-부틸-2,6-디메틸-1-아자-2,6-디실라사이클로헥산, 및 이들의 조합을 포함하지만, 이로 제한되지 않는다.
실리콘-함유 유전체 필름을 형성시키기 위해 사용되는 방법은 증착 공정이다. 본원에 기술된 방법을 위한 적합한 증착 공정의 예는 사이클릭 CVD (CCVD), 열 화학적 증기 증착, 플라즈마 강화 화학적 증기 증착 ("PECVD"), 고밀도 PECVD, 광자 유발 CVD, 플라즈마-광자 유발 ("PPECVD"), 저온 화학적 증기 증착, 화학적 보조 증기 증착, 고온-필라멘트 화학적 증기 증착, 액체 폴리머 전구체의 CVD, 초임계 유체로부터의 증착, 및 저에너지 CVD (LECVD)를 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 필름은 원자층 증착 (ALD), 플라즈마 강화 ALD (PEALD) 또는 플라즈마 강화 사이클릭 CVD (PECCVD) 공정을 통해 증착된다. 본원에서 사용되는 용어 "화학적 증기 증착 공정"은 기판이 하나 이상의 휘발성 전구체에 노출되고 기판 표면 상에서 반응하고거나 분해하여 요망되는 증착물을 형성시키는 임의 공정을 지칭한다. 본원에서 사용되는 용어 "원자층 증착 공정"은 다양한 조성의 기판 상에 물질의 필름을 증착시키는 자가-제한적(예를 들어, 각 반응 사이클에서 증착된 필름 물질의 양이 일정함), 순차 표면 화학을 지칭한다. 본원에 사용되는 전구체, 시약 및 공급원이 때때로 "가스상"으로서 기술될 수 있지만, 전구체가 직접 증기화, 버블링(bubbling) 또는 승화를 통해 반응기에 불활성 가스와 함께 또는 불활성 가스 없이 이동되는 액체 또는 고체 중 어느 하나일 수 있는 것으로 이해된다. 일부 경우에, 증기화된 전구체는 플라즈마 발생기를 통해 진행할 수 있다. 일 양태에서, 증착 공정은 LPCVD를 포함한다. 다른 양태에서, 증착 공정은 PECVD를 포함한다. 본원에서 사용되는 용어 "반응기"는 비제한적으로, 반응 챔버 또는 증착 챔버를 포함한다.
특정 구체예에서, 본원에 기술된 방법은 반응기에 도입하기 전 및/또는 도입하는 동안 전구체들을 분리시키는 ALD 또는 CVD 방법을 이용함으로써 전구체의 사전 반응을 방지한다. 이와 관련하여, 증착 기술, 예를 들어 ALD 또는 CVD 공정은 실리콘-함유 필름을 증착시키기 위해 사용된다. 일 구체예에서, 필름은 기판 표면을 하나 이상의 실리콘-함유 전구체, 산소-함유 공급원, 질소-함유 공급원, 또는 다른 전구체 또는 시약에 교대로 노출시킴으로써 ALD 공정을 통해 증착된다. 필름 성장은 표면 반응, 각 전구체 또는 시약의 펄스 길이, 및 증착 온도의 자가-제한 조절에 의해 진행한다. 그러나, 기판의 표면이 포화되자마자, 필름 성장은 중단된다.
증착 방법에 따라, 특정 구체예에서, 하나 이상의 실리콘-기반 전구체는 반응기에 사전결정된 몰 부피, 또는 약 0.1 내지 약 1,000 마이크로몰로 도입될 수 있다. 이러한 구체예 또는 다른 구체예에서, 실리콘-기반 전구체는 반응기에 사전결정된 시간 동안, 또는 약 0.001 내지 약 500초 동안 도입될 수 있다.
본원에 기술된 증착 방법은 하나 이상의 퍼지 가스를 포함할 수 있다. 소비되지 않은 반응물 및/또는 반응 부산물을 퍼징하기 위해 사용되는 퍼지 가스는 전구체와 반응하지 않는 불활성 가스이다. 예시적인 불활성 가스는 Ar, N2, He, 네온, H2 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는다. 특정 구체예에서, 퍼지 가스, 예를 들어 Ar은 반응기에 약 10 내지 약 2000 sccm 범위의 유량으로 약 0.1 내지 1000초 동안 공급되며, 이에 의해 반응기에 잔류할 수 있는 반응하지 않은 물질 및 임의 부산물을 퍼징한다.
특정 구체예에서, 오가노실리콘 전구체는 고체를 형성시키기 위해 특정 증착 조건 하에서 그 상태로(neat), 또는 추가 반응물 또는 운반 가스 없이 도입된다. 이러한 구체예 또는 다른 구체예에서, 아르곤, 질소, 및/또는 다른 가스의 흐름은 전구체 펄싱 동안 적어도 하나의 실리콘-기반 전구체의 증기를 반응 챔버로 전달하는데 도움을 주기 위해 운반 가스로서 사용될 수 있다.
적어도 하나의 실리콘 전구체는 다양한 방식으로 CVD 또는 ALD 반응기와 같은 반응 챔버로 전달될 수 있다. 일 구체예에서, 액체 전달 시스템이 사용될 수 있다. 대안적인 구체예에서, 저휘발성 물질을 용적으로 전달하기 위하여, 결합된 액체 전달 및 플래시 증기화 공정 유닛, 예를 들어 MSP Corporation(Shoreview, MN)에 의해 제작된 터보 기화기가 사용될 수 있으며, 이는 전구체의 열 분해 없이 재현 가능한 수송 및 증착을 초래한다. 액체 전달 포뮬레이션에서, 본원에 기술된 전구체는 순수한 액체 형태로 전달될 수 있거나, 대안적으로 이를 포함하는 용매 포뮬레이션 또는 조성물로 사용될 수 있다. 이에 따라, 특정 구체예에서, 전구체 포뮬레이션은 기판 상에 필름을 형성시키기 위해 제공된 최종 용도 적용에서 요망되고 유리할 수 있는 바와 같이 적합한 특징의 용매 성분(들)을 포함할 수 있다.
반응 챔버에서 증착 온도는 100℃ 내지 700℃ 범위이다. 예시적인 증착 온도는 하기 종결점들 중 하나 이상을 포함한다: 100℃, 150℃, 200℃, 250℃, 300℃, 350℃, 400℃, 450℃, 500℃, 550℃, 600℃, 650℃ 및 700℃. 증착 온도(들)의 적합한 범위의 예는 비제한적으로, 100℃ 내지 400℃, 200℃ 내지 450℃, 또는 300℃ 내지 600℃를 포함한다.
특정 구체예에서, 반응 챔버 내에서의 증착 공정 동안 압력은 약 0.5 내지 약 10 Torr, 또는 약 0.5 내지 약 2 Torr, 또는 약 0.5 내지 약 5 Torr의 범위이다. PECVD 증착 공정을 위하여, 증착 공정 동안 압력은 약 2 내지 약 6 Torr의 범위일 수 있다. LPCVD 증착 공정을 위하여, 증착 공정 동안 압력은 약 0.25 내지 약 1.25 Torr 또는 약 10 Torr의 범위일 수 있다.
에너지는 반응을 유도하고 기판 상에 실리콘-기반 필름 또는 코팅을 형성시키기 위해 전구체, 다른 비-산소 공급원, 환원제, 다른 전구체들 또는 이들의 조합 중 적어도 하나에 인가된다. 이러한 에너지는 열, 플라즈마, 펄스화된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, X-선, e-빔, 광자, 및 원격 플라즈마 방법에 의해 제공될 수 있지만, 이로 제한되지 않는다. 특정 구체예에서, 2차 RF 주파수 소스는 기판 표면에서 플라즈마 특징을 개질시키기 위해 사용될 수 있다. 증착이 플라즈마를 수반하는 구체예에서, 플라즈마-발생 공정은 반응기에서 플라즈마가 직접적으로 발생되는 직접 플라즈마-발생 공정, 또는 대안적으로 플라즈마가 반응기의 외측에 발생되고 반응기로 공급되는 원격 플라즈마-발생 공정을 포함할 수 있다.
통상적인 ALD, PEALD, CVD 또는 PECCVD 공정에서, 기판, 예를 들어 실리콘 옥사이드 기판은 기판의 표면 상에 착물을 화학적 흡착시키기 위하여 초기에 전구체에 노출되는 반응 챔버에서 가열기 스테이지 상에서 가열된다.
일 양태에서, 기판 표면의 적어도 일부 상에 실리콘-기반 필름을 형성시키는 방법으로서,
반응기에 기판을 제공하고;
반응기에 하기 화학식 A 내지 화학식 D를 갖는 적어도 하나의 오가노실리콘 전구체 화합물을 도입하고;
표면의 적어도 일부 상에 필름을 화학적 증기 증착 (CVD), 저압 화학적 증기 증착 (LPCVD), 플라즈마 강화 화학적 증기 증착 (PECVD), 사이클릭 화학적 증기 증착 (CCVD), 플라즈마 강화 사이클릭 화학적 증기 증착 (PECCVD, 원자층 증착 (ALD), 및 플라즈마 강화 원자층 증착 (PEALD)으로 이루어진 군으로부터 선택된 증착 공정에 의해 형성시키는 것을 포함하며,
실리콘-기반 필름이 XPS에 의해 측정하는 경우 약 0 내지 약 50 원자 중량%의 실리콘을 포함하는 방법이 제공된다:
Figure 112015116061858-pat00003
상기 식에서, X1 및 X2는 각각 독립적으로 수소 원자, 할라이드 원자, 및 화학식 NR1R2를 갖는 오가노아미노 기로부터 선택되며, 여기서 R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택되며, R2는 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 C1 내지 C6 불화된 알킬 기, 분지형 C3 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며, 임의적으로 R1 및 R2는 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시키기 위해 함께 연결되며; R3, R4, 및 R5는 각각 독립적으로 수소 원자 및 메틸 (CH3) 기로부터 선택되며; R6은 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택된다. 일 양태에서, 증착 공정은 LPCVD를 포함한다. 다른 양태에서, 증착 공정은 PECVD를 포함한다.
다른 양태에서, 기판의 적어도 하나의 표면 상에 화학식 SixCyNz (여기서, XPS에 의해 측정하는 경우에 x는 약 0 내지 55 원자 중량%이며, y는 35 내지 100 원자 중량%이며, z는 0 내지 50 원자 중량%임)를 갖는 실리콘-기반 필름을 형성시키는 방법으로서,
반응 챔버에 기판의 적어도 하나의 표면을 제공하고;
반응기에 하기 화학식 A 내지 화학식 D를 갖는 적어도 하나의 오가노실리콘 전구체 화합물을 도입하고;
임의적으로, 반응 챔버에 암모니아, 히드라진, 모노알킬히드라진, 디알킬히드라진, 1차 아민, 2차 아민, 3차 아민, 및 이들의 혼합물로 이루어진 군으로부터 선택된 질소-함유 전구체를 도입하고;
임의적으로, 반응 챔버에 에틸렌, 프로필렌, 아세틸렌, 프로핀, 사이클로헥산, 사이클로옥탄 및 이들의 혼합물로 이루어진 군으로부터 선택된 탄소-함유 전구체를 도입하고;
적어도 하나의 표면 상에 실리콘-기반 필름을 저압 화학적 증기 증착 (LPCVD)을 포함하는 증착 공정에 의해 형성시키는 것을 포함하는 방법이 제공된다:
Figure 112015116061858-pat00004
상기 식에서, X1 및 X2는 각각 독립적으로 수소 원자, 할라이드 원자, 및 화학식 NR1R2를 갖는 오가노아미노 기로부터 선택되며, 여기서 R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택되며, R2는 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 C1 내지 C6 불화된 알킬 기, 분지형 C3 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며, 임의적으로 R1 및 R2는 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시키기 위해 함께 연결되며; R3, R4, 및 R5는 각각 독립적으로 수소 원자 및 메틸 (CH3) 기로부터 선택되며; R6은 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택된다. 특정 구체예에서, LPCVD 증착은 약 200 내지 약 600℃ 범위의 하나 이상의 온도에서 수행된다. 이러한 구체예 또는 다른 구체예에서, 실리콘-기반 필름에서 탄소 및 질소의 원자 중량%는 온도와 같은 LPCVD 증착 조건을 변경시키거나 질소-함유 전구체를 첨가하거나 이들의 조합에 의해 조정될 수 있다.
본원에 기술된 방법의 또 다른 구체예에서, 실리콘 카바이드, 실리콘 니트라이드 및 실리콘 카보니트라이드로 이루어진 군으로부터 선택된 실리콘-함유 필름, 본 방법은
a) 주변 온도 내지 약 700℃ 범위의 하나 이상의 온도로 가열된 반응기에 기판을 배치시키고;
b) 하기 화학식 A 내지 화학식 D를 갖는 적어도 하나의 오가노실리콘 전구체 화합물을 반응기에 도입하고;
c) 반응기를 퍼지 가스로 퍼징시키고;
d) 적어도 하나의 오가노실리콘 전구체 화합물과 적어도 일부 반응하고 기판 상에 실리콘-함유 필름을 증착시키기 위해 반응기에 플라즈마 함유 소스를 제공하고;
e) 반응기를 퍼지 가스로 퍼징시키는 것을 포함하며,
단계 b) 내지 단계 e)는 실리콘 함유 필름의 요망되는 두께가 얻어질 때까지 반복된다:
Figure 112015116061858-pat00005
상기 식에서, X1 및 X2는 각각 독립적으로 수소 원자, 할라이드 원자, 및 화학식 NR1R2를 갖는 오가노아미노 기로부터 선택되며, 여기서 R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택되며, R2는 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 C1 내지 C6 불화된 알킬 기, 분지형 C3 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되며, 임의적으로 R1 및 R2는 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시키기 위해 함께 연결되며; R3, R4, 및 R5는 각각 독립적으로 수소 원자 및 메틸 (CH3) 기로부터 선택되며; R6은 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택된다. 일부 구체예에서, 플라즈마 함유 소스는 반응기에 적어도 하나의 질소 공급원 형태로 도입될 수 있고/거나 증착 공정에서 사용되는 다른 전구체에 부수적으로 존재할 수 있다.
상술된 방법에서, 단계 b) 내지 단계 e)는 1 사이클을 규정하며, 사이클(들)은 필름의 요망되는 두께가 얻어질 때까지 반복될 수 있다. 필름의 두께는 약 0.1Å 내지 약 1000Å, 또는 약 0.1Å 내지 약 100Å, 또는 약 0.1Å 내지 약 10Å이다.
특정 구체예에서, 실리콘 함유 유전체 필름은 질소를 포함한다. 적합한 질소-함유 공급원 가스는 예를 들어, 암모니아, 히드라진, 모노알킬히드라진, 디알킬히드라진, 1차 아민, 2차 아민, 3차 아민, 질소 플라즈마, 질소/할로겐, 질소/헬륨, 질소/아르곤 플라즈마, 암모니아 플라즈마, 질소/암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, 암모니아/질소 플라즈마, NF3 플라즈마, 오가노아민 플라즈마, 및 이들의 혼합물을 포함할 수 있다. 예시적인 모노알킬히드라진은 메틸히드라진, 3차-부틸히드라진을 포함하지만, 이로 제한되지 않는다. 예시적인 디알킬히드라진은 1,1-디메틸히드라진을 포함하지만, 이로 제한되지 않는다. 예시적인 1차 아민은 메틸아민, 에틸아민, 이소-프로필아민, 및 3차-부틸아민을 포함하지만, 이로 제한되지 않는다. 예시적인 2차 아민은 디메틸아민, 디에틸아민, 및 디-이소-프로필아민을 포함하지만, 이로 제한되지 않는다. 예시적인 3차 아민은 트리메틸아민, 트리에틸아민, 및 피리딘을 포함하지만, 이로 제한되지 않는다. 하나의 특정 구체예에서, 질소 함유 공급원은 최종 실리콘 니트라이드에 보다 많은 수소를 도입하는 것을 방지하기 위해 수소를 갖지 않고, 질소 플라즈마, 질소/헬륨, 질소/아르곤 플라즈마, 및 이들의 조합으로 이루어진 군으로부터 선택된다.
탄소-함유 전구체는 메탄, 에탄, 아세틸렌, 에틸렌, 프로판, 프로필렌, 프로핀, 부탄, 부틸렌, 부타디엔, 페닐아세틸렌, 사이클로하이드로카본, 예를 들어 사이클로펜탄, 사이클로헥산으로 이루어진 군으로부터 선택될 수 있다.
다른 구체예에서, 플라즈마는 수소 플라즈마, 헬륨 플라즈마, 네온 플라즈마, 아르곤 플라즈마, 제논 플라즈마, 수소/헬륨 플라즈마, 수소/아르곤 플라즈마, 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 실리콘 카보니트라이드의 증착을 위하여, 질소 함유 공급원은 탄소를 추가로 포함할 수 있고, 오가노아민 플라즈마, 예를 들어 메틸아민 플라즈마, 디메틸아민 플라즈마, 트리메틸아민 플라즈마, 에틸아민 플라즈마, 디에틸아민 플라즈마, 트리메틸아민 플라즈마, 및 에틸렌디아민 플라즈마로 이루어진 군으로부터 선택된다.
본원에 기술된 방법의 단계들이 다양한 순서로 수행될 수 있고, (예를 들어, 다른 단계의 적어도 일부 동안) 순차적으로 또는 동시에 수행될 수 있고, 이들의 임의의 조합으로 수행될 수 있는 것으로 이해된다. 전구체 및 다른 소스 가스들(질소 함유 공급원 및 탄소 함유 공급원)을 공급하는 개개 단계는 얻어진 실리콘-기반 필름의 화학양론적 조성을 변경시키기 위해 이러한 것을 공급하기 위한 시간을 변경시킴으로써 수행될 수 있다.
다중-성분 실리콘-기반 필름을 위하여, 다른 전구체들, 예를 들어 실리콘-기반 전구체, 질소-함유 전구체, 환원제, 또는 다른 시약들 중 적어도 하나가 반응기 챔버에 교대로 도입될 수 있다.
특정 구체예에서, 얻어진 실리콘-함유 필름 또는 코팅은 필름의 하나 이상의 성질에 영향을 미치게 하기 위해 후-증착 처리, 예를 들어 비제한적으로 플라즈마 처리, 화학적 처리, 자외선광 노출, 전자빔 노출, 열 및/또는 다른 처리들에 노출될 수 있다. 하나의 특정 구체예에서, 실리콘-기반 필름은 약 500 내지 1000℃ 범위의 하나 이상의 온도에서 열적 어닐(thermal anneal)로 처리된다. 특정 구체예에서, 본원에 기술된 실리콘-함유 필름은 10 이하, 9 이하, 7 이하, 6 이하, 또는 5 이하의 유전 상수를 갖는다. 그러나, 다른 유전 상수(예를 들어, 보다 높거나 낮은 유전 상수)를 갖는 필름이 필름의 요망되는 최종 용도에 따라 형성될 수 있는 것으로 고려된다. 본원에 기술된 오가노실리콘 전구체 및 방법을 이용하여 형성된 실리콘 함유 또는 실리콘-함유 필름의 예는 포뮬레이션 SixCyNz를 가지며, 여기서 예를 들어 XPS 또는 다른 수단에 의해 측정하는 경우에, Si는 약 51 원자 중량% 내지 약 100 원자 중량%, 또는 약 55 원자 중량% 내지 약 85 원자 중량% 범위이며; C는 약 0 원자 중량% 내지 약 50 원자 중량%, 또는 약 5 원자 중량% 내지 약 25 원자 중량%의 범위이며; N은 약 0 원자 중량% 내지 약 50 원자 중량% 또는 약 0 원자 중량% 내지 25 원자 중량%의 범위이며, x+y+z는 100 원자 중량%이다.
또 다른 양태에서, 본원에 기술된 화학식 A 내지 화학식 D를 갖는 오가노실리콘 전구체 화합물을 저장하고 전달하기 위해 사용되는 용기가 기술된다. 하나의 특정 구체예에서, 용기는 CVD, LPCVD 또는 ALD 공정을 위한 반응기에 적어도 하나의 오가노실리콘 전구체의 전달을 가능하게 하기 위해 적절한 밸브 및 부품(fitting)이 장착된 적어도 하나의 가압 가능한 용기(바람직하게, 스테인레스 스틸의 용기)를 포함한다. 이러한 구체예 또는 다른 구체예에서, 적어도 두 개의 SiH3 기를 갖는 적어도 하나의 오가노실리콘 전구체는 스테인레스 스틸로 이루어진 가압 가능한 용기에 제공되며, 전구체의 순도는 98 중량% 이상 또는 99.5 중량% 이상으로서, 이는 대부분의 반도체 적용에 대해 적합하다. 특정 구체예에서, 이러한 용기는 또한, 요망되는 경우 적어도 하나의 오가노실리콘 전구체를 하나 이상의 추가 전구체와 혼합하기 위한 수단을 가질 수 있다. 이러한 구체예 또는 다른 구체예에서, 용기(들)의 내용물은 추가 전구체와 사전 혼합될 수 있다. 대안적으로, 적어도 하나의 오가노실리콘 전구체 및/또는 다른 전구체는 별도의 용기에 또는 저장 동안 오가노아미노실란 전구체 및 다른 전구체를 별도로 유지시키기 위한 분리 수단을 갖는 단일 용기에 유지될 수 있다.
적어도 하나의 오가노실리콘 전구체(들)가 용매 및 본원에 기술된 적어도 하나의 오가노실리콘 전구체를 포함하는 조성물에서 사용되는 그러한 구체예에 대하여, 선택된 용매 또는 이의 혼합물은 실리콘 전구체와 반응하지 않는다. 조성물 중의 용매의 중량% 양은 0.5 중량% 내지 99.5 중량% 또는 10 중량% 내지 75 중량%의 범위이다. 이러한 구체예 또는 다른 구체예에서, 용매는 적어도 하나의 오가노실리콘의 비등점(b.p.)과 유사한 b.p.를 가지거나, 용매의 b.p.와 적어도 하나의 오가노실리콘 전구체의 b.p.의 차이는 40℃ 이하, 30℃ 이하, 또는 20℃ 이하, 또는 10℃ 이하이다. 대안적으로, 비등점들 간의 차이는 하기 종결점들 중 임의 하나 이상으로부터의 범위이다: 0, 10, 20, 30, 또는 40℃. b.p. 차이의 적합한 범위의 예는 비제한적으로, 0 내지 40℃, 20℃ 내지 30℃, 또는 10℃ 내지 30℃를 포함한다. 조성물에서 적합한 용매의 예는 에테르 (예를 들어, 1,4-디옥산, 부틸 에테르), 3차 아민 (예를 들어, 피리딘, 1-메틸피페리딘, 1-에틸피페리딘, N,N'-디메틸피페라진, N,N,N',N'-테트라메틸에틸렌디아민), 니트를 (예를 들어, 벤조니트릴), 알칸 (예를 들어, 옥탄, 노난, 도데칸, 에틸사이클로헥산), 방향족 탄화수소 (예를 들어, 톨루엔, 메시틸렌), 3차 아미노에테르 (예를 들어, 비스(2-디메틸아미노에틸)에테르), 또는 이들의 혼합물을 포함하지만, 이로 제한되지 않는다.
상술된 바와 같이, 적어도 하나의 오가노실리콘 전구체(들)의 순도 수준은 신뢰성 있는 반도체 제작을 위해 허용될 정도로 충분히 높다. 특정 구체예에서, 본원에 기술된 적어도 하나의 오가노실리콘은 2 중량% 미만, 또는 1 중량% 미만, 또는 0.5 중량% 미만의 하기 불순물들 중 하나 이상을 포함한다: 자유 아민, 자유 할라이드, 또는 할로겐 이온, 및 보다 높은 분자량 종. 보다 높은 순도 수준의 본원에 기술된 오가노실리콘 전구체는 하기 공정들 중 하나 이상을 통해 얻어질 수 있다: 정제, 흡착, 및/또는 증류. 적어도 두 개의 SiH3 기를 갖는 오가노실리콘 전구체의 불순물은 사용된 원료 물질, 사용된 용매, 부반응 또는 부산물로부터 비롯될 수 있다. 예를 들어, 1,4-DSP는 용매 중에서 금속 하이드라이드 또는 리튬 알루미늄 테트라하이드라이드의 존재 하에 1,1,1,4,4,4-헥사클로로디실라부탄 또는 1,4-알콕시디실라부탄의 환원을 통해 제조될 수 있다. 특정 구체예에서, 산소-함유 용매, 예를 들어 테트라하이드로푸란, 글림 또는 임의 다른 부산물은 얻어진 실리콘-기반 필름에 임의 가능한 산소 도입을 제거하기 위해 정제 공정을 통해 제거되어야 한다. 일부 경우에, 부산물은 실리콘-기반 필름을 증착시키기 위해 도펀트(dopant)로서 사용될 수 있는 오가노실리콘 화합물일 수 있다.
본원에 기술된 필름은 패시베이션 층 또는 희생 층, 예를 들어 비제한적으로 에치 스톱(etch stop) 또는 밀폐 배리어(hermetic barrier)로서 사용하기 위해 적합할 수 있다. 본원에 기술된 필름은 또한 고체 상태 전자 기기, 예를 들어 논리, 메모리, 발광 다이오드(LED), 평면, 패턴화된 기기, 컴퓨터 칩, 광학 기기, 자성 정보 저장기, 지지 물질 또는 기판 상의 코팅, 마이크로전자기계 시스템(MEMS), 나노전자기계 시스템, 박막 트랜지스터(TFT), 및 액정 디스플레이(LCD)에 사용될 수 있다.
상술된 바와 같이, 본원에 기술된 방법은 기판의 적어도 일부 상에 실리콘-함유 필름을 증착시키기 위해 사용될 수 있다. 적합한 기판의 예는 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카보니트라이드, 수소화된 실리콘 카보니트라이드, 보로니트라이드, 반사방지 코팅, 포토레지스트, 가요성 기판, 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예를 들어 구리 및 알루미늄, 및 확산 배리어 층, 예를 들어 비제한적으로 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN을 포함하지만, 이로 제한되지 않는다. 필름은 다양한 후속 가공 단계, 예를 들어 화학적 기계적 평탄화(CMP) 및 이방성 에칭 공정과 양립 가능하다.
하기 실시예는 화학식 A 내지 화학식 D로부터 선택된 오가노실리콘 전구체를 제조하는 방법, 뿐만 아니라 본원에 기술된 실리콘-함유 필름을 증착시키는 방법을 예시하고, 어떠한 방식으로도 본 발명을 제한하도록 의도되지 않는다.
실시예
실시예 1. 1-클로로-1,4-디실라펜탄, 4-클로로-1,4-디실라펜탄, 및 1,4-디클로로-1,4-디실라펜탄의 합성
시약 1,4-디실라펜탄 (0.50 g, 4.8 mmol) 및 3차-부틸 클로라이드 (0.25 g, 2.7 mmol)를 FeCl3 촉매 (0.001 g 미만)의 존재 하에 합하였다. 밤새 교반한 후에, 반응 혼합물이 가스 크로마토그래피-질량 분광법(GC-MS)에 의해 하기 생성물을 함유하는 것으로 확인되었다: 다른 생성물들 중 1-클로로-1,4-디실라펜탄, 4-클로로-1,4-디실라펜탄, 및 1,4-디클로로-1,4-디실라펜탄[질량 스펙트럼 데이타에 대한 표 1 참조].
실시예 2. 1-디메틸아미노-1,4-디실라펜탄, 4-디메틸아미노-1,4-디실라펜탄, 및 1,4-비스(디메틸아미노)-1,4-디실라펜탄의 합성
THF (2 mL) 중의 LiNMe2 (0.15 g, 2.9 mmol)의 용액을 1,4-디실라펜탄 (0.30 g, 2.9 mmol)에 빠르게 첨가하고, 밤새 교반하였다. 얻어진 옅은 회색 슬러리를 여과하고, 무색의 여액이 GC-MS에 의해 주요 생성물로서 1-디메틸아미노-1,4-디실라펜탄, 4-디메틸아미노-1,4-디실라펜탄, 및 1,4-비스(디메틸아미노)-1,4-디실라펜탄을 함유하는 것으로 확인되었다[질량 스펙트럼 데이타에 대한 표 1 참조].
실시예 3. 1-디에틸아미노-1,4-디실라펜탄, 4-디에틸아미노-1,4-디실라펜탄, 및 1,4-비스(디에틸아미노)-1,4-디실라펜탄의 합성
시약 1,4-디실라펜탄 (0.22 g, 2.1 mmol) 및 디에틸아민 (0.05 g, 0.68 mmol)을 Ca[N(SiMe3)2]2 촉매 (0.01 g, 0.03 mmol)의 존재 하에 합하였다. 즉각적인 버블링이 관찰되었다. 4시간 후에, 반응 용액을 GC-MS에 의해 조사하고, 이는 주요 생성물로서 1-디에틸아미노-1,4-디실라펜탄 및 4-디에틸아미노-1,4-디실라펜탄을 그리고 소량 생성물로서 1,4-비스(디에틸아미노)-1,4-디실라펜탄을 함유하는 것으로 확인되었다[질량 스펙트럼 데이타에 대한 표 1 참조].
실시예 4. 1-디-이소-프로필아미노-1,4-디실라펜탄 및 4-디-이소-프로필아미노-1,4-디실라펜탄의 합성
시약 1,4-디실라펜탄 (0.16 g, 1.5 mmol) 및 N-이소-프로필리덴-이소-프로필아민 (0.05 g, 0.50 mmol)을 합하고, THF (1 mL) 중의 (Ph3P)3RhCl 촉매 (0.02 g, 0.02 mmol)의 교반된 혼합물에 첨가하였다. 밤새 반응을 교반한 후에, 얻어진 엷은 오렌지색의 용액을 GC-MS에 의해 조사하고, 이는 주요 생성물로서 1-디-이소-프로필아미노-1,4-디실라펜탄 및 4-디-이소-프로필아미노-1,4-디실라펜탄을 함유하는 것으로 확인되었다[질량 스펙트럼 데이타에 대한 표 1 참조].
실시예 5. 1-3차-부틸아미노-1,4-디실라펜탄, 4-3차-부틸아미노-1,4-디실라펜탄, 및 1-3차-부틸-2-메틸-1-아자-2,5-디실라사이클로펜탄의 합성
시약 1,4-디실라펜탄 (0.50 g, 4.8 mmol) 및 3차-부틸아민 (0.35 g, 4.8 mmol)을 THF (1 mL) 중의 Ru3(CO)12 촉매 (0.01 g, 0.02 mmol)의 존재 하에 합하였다. 3일 동안 반응을 교반한 후에, 얻어진 용액을 GC-MS에 의해 조사하였고, 이는 1-3차-부틸아미노-1,4-디실라펜탄, 4-3차-부틸아미노-1,4-디실라펜탄, 및 1-3차-부틸-2-메틸-1-아자-2,5-디실라사이클로펜탄을 함유하는 것으로 확인되었다[질량 스펙트럼 데이타에 대한 표 1 참조].
상술된 화학식 A 내지 화학식 D의 추가 작용화된 오가노실리콘 전구체를 실시예 1 내지 실시예 5와 유사한 방식을 통해 제조하였고, 질량 분광법(MS)에 의해 특징분석하였다. 각 1,4-디실라펜탄-기반 전구체의 분자량(MW), 구조, 및 상응하는 주요 MS 분절화 피크는 이의 식별을 확인하기 위하여 표 1에 제공된다.
표 1. 상술된 화학식 A 내지 화학식 D를 갖는 오가노실리콘 전구체
Figure 112015116061858-pat00006
Figure 112015116061858-pat00007
Figure 112015116061858-pat00008
Figure 112015116061858-pat00009
Figure 112015116061858-pat00010
실시예 6. 저압 화학적 증기 증착 - LPCVD
ATV Inc.(Germany)에 의해 제작된 LPCVD 로(furnace)를 이용하여, 실리콘-기반 필름을 전구체 1,4-디실라부탄 (1,4-DSB) 또는 1,4-디실라펜탄 (1,4-DSP)을 순수한 상태로 또는 하나 이상의 반응물 및/또는 희석제와 함께 사용하여 증착하고 다양한 온도에서 증착하였다. LPCVD 반응기는 3개의 독립적인 온도 제어 구역을 가지고 25개의 웨이퍼를 가공할 수 있는 수평 고온 벽 석영 반응기이다. 전구체 (및 임의 경우 반응물 또는 희석 가스)를 한 측면으로 챔버에 주입하였다. 로로의 전구체의 전달을 관통 증기 배출시키고, 물질 흐름 제어기(MFC)를 사용하여 증기 흐름을 계량하였다. 통상적인 유량은 20 내지 25 sccm의 전구체이다. 전구체 흐름은 또한 제1 증착 후 소비된 액체의 부피를 측정함으로써 물리적으로 확인되었다. 반응기는 증착 동안 고정된 압력, 이러한 경우에 1000 mTorr에서 유지된다. 모든 실리콘-함유 필름을 중간 저항률(8 내지 12
Figure 112015116061858-pat00011
) 단결정 실리콘 웨이퍼 기판 상에 증착하였다. 대량 생산에서 전체 배치를 나타내기 위하여 각 증착은 슬롯 2, 슬롯 10 내지 15, 및 슬롯 23에 배치된 8개의 시험 웨이퍼로 이루어졌다. 나머지 슬롯은 가상의 웨이퍼 및 배플 웨이퍼(baffle wafer)(측정을 위해 사용되지 않음)에 의해 점유되었다. 반응되지 않은 물질 및 임의 부산물을 진공 펌프를 이용하여 펌핑하였다.
각 필름 증착에 대한 데이타는 표 2 내지 표 4에 요약되었다. 표에서, "ND"는 검출되지 않음을 의미한다. 필름을 증착시킨 후에, 유전체 필름의 굴절률 및 두께 둘 모두를 사전-설정 물리적 모델(예를 들어, Lorentz Oscillator 모델)에 필름으로부터의 데이타를 피팅함으로써 Rudolph FOCUS Ellipsometer FE-IVD (Rotating Compensator Ellipsometer)를 사용하여 측정하였다. 이러한 필름의 고도로 흡수하는 특정으로 인한 두께 및 RI를 확인하기 위해 SCI Filmtek 2000 SE 반사계를 또한 사용하였다. 수직 입사, 분극화된 70도 반사, 및 70도 분광학적 타원해석 데이타를 수집하고, 측정된 필름의 두께 및 굴절 지수를 계산하기 위해 사용하였다.
원자 조성 데이트를 Multiple Channels Plates (MCD) 및 포커싱된 Al 모노크로마틱 X-선 소스가 장착된 PHI 5000VersaProbe 분광계 상에서 수행된 XPS에 의해 수집하였다. 저해상도 조사 스캔을 117.4 eV 패스 에너지(Pass Energy), 1.000 eV/Step 및 50 msec/step 체류 시간(dwell time)에서 수행하였다. 고해상도 멀티플렉스 스캔을 23.50 eV 패스 에너지, 0.100 eV/Step 및 100 msec/step 체류 시간에 수행하였다. 분석 면적은 직경이 200 마이크론이며, 45°의 인출각(take-off angle)을 갖는다. 이온 건 설정은 2kV/2uA/4x4 래스터(raster)이다. 데이타를 벤더 공급 소프트웨어를 이용하여 수집하였다. Casa XPS를 사용하여 투과 함수 보정된 구역 민감성 인자(transmission function corrected Area Sensitivity Factors(ASF))를 이용하여 데이타를 처리하였다. 열적으로 성장된 SiO2를 기준물질로서 사용하였고, 이는 시스템의 검출 한계까지 임의 C% 또는 N%를 나타내지 않았다.
모든 밀도 측정을 X-선 반사율(XRR)을 이용하여 달성하였다. 각 샘플을 수직 배향된 Materials Research 회절계(MRD) 웨이퍼 홀더 상에 마운팅하였다. XRR을 X-선 소스로서 Cu-K 방사선, 자동 Ni 빔 감쇠기, 구리 미러, 및 입사 빔에 대한 4-바운스 Ge(311) 결정 모노크로메이터를 사용하여 수행하였다. 입사 빔을 또한 10 mm까지 마스킹하고, 이에 따라 빔 풋프린트는 단지 샘플로부터 반사하도록 하였다. 반사된 빔을 3중 축 광학기를 이용하여 평행하게 하고, 반사된 빔에 대해 가스 이온화 비례 카운트 검출기를 통해 검출하였다. 200 nm 미만의 공칭 층 두께를 갖는 샘플을 저해상도 광학기를 이용하여 스캐닝하였다. 200 nm 초과의 공칭 층 두께를 갖는 샘플을 고해상도 광학기를 이용하여 스캐닝하였다. 샘플을 0.0010의 단차 크기 및 1s/단차의 카운트 시간을 이용하여 범위 0.2000
Figure 112015116061858-pat00012
2q
Figure 112015116061858-pat00013
0.6500에 걸쳐 스캐닝하였다.
표 2 및 표 3은 전구체 1,4-디실라부탄 (1,4-DSB) 및 1,4-디실라펜탄 (1,4-DSP) 각각에 대한 증착 결과를 제공한다. 표 2에 제공된 증착 결과는 반응물이 사용되지 않은 경우에 얻어진 것이다. 표 2에 나타낸 바와 같이, 양호한 증착율이 얻어지며, 3 이상의 높은 굴절률(RI)은 높은 실리콘 함량을 지시하는 것이다(결정상 실리콘 카바이드에 대한 RI는 ~2.8임). 표 3은 유사한 조건 하에서 1,4-디실라펜탄 (1,4-DSP)을 사용한 증착 결과를 나타낸 것이다. 증착은 반응물을 사용하지 않거나, 명시된 바와 같이 반응물로서 N2 또는 H2를 사용하여 수행된 것이다. 표 3에서는, 양호한 증착율이 얻어지며 굴절률이 높은 실리콘 함량을 지시하지 않는 것임을 나타낸다.
표 2: 1,4-디실라부탄 (1,4-DSB)을 사용한 증착으로부터의 결과
Figure 112015116061858-pat00014
표 3: 1,4-디실라펜탄 (1,4-DSP)을 사용한 증착으로부터의 결과
Figure 112015116061858-pat00015
표 4는 1,4-DSP를 사용한 증착에 대한 필름 성질의 세부사항을 나타낸 것이다. 550℃에서, 필름의 탄소 함량은 38% 초과이며, 밀도는 약 2.2 g/cc 이상이다. 필름에서 산소 함량은 주변 공기에 대한 노출로부터 비롯한 것이고, 반응물 또는 희석제의 첨가에 의해 조절될 수 있다. 실리콘 함량은 52% 미만이다(XPS에 의해 측정한 경우).
표 4. 1,4-디실라펜탄 (1,4-DSP)을 사용한 증착으로부터의 결과
Figure 112015116061858-pat00016
푸리에 변환 적외선 분광법(FTIR) 스펙트럼을 표 4에서 모든 샘플에 대해 측정하였다. 스펙트럼은 일반적으로 ~760 cm-1에서의 강한 Si-C-Si 피크; ~1000 cm-1에서의 피크(Si-CH2-Si 및 또한 Si-O-Si로 지시됨) 및 ~2100 cm-1에서의 작은 피크(Si-H로 지시됨)를 나타내었다. 다른 결합이 나타나지 않았다. 에칭율을 300초 동안 0.5% HF 용액 (49% HF: H2O의 1:100 비)에서 표 3에서의 마지막 두 개의 샘플을 딥핑함으로써 측정하였다. 필름 두께의 변화는 측정되지 않았는데, 이는 필름이 HF 에치를 희석시키는 것에 대해 내성적임을 나타낸다.
실시예 6으로부터의 선택된 샘플들(표 4, 조건 1 및 3)은 O2 애싱 처리로 처리된다. 툴(tool)은 PVA TePla MetroLine Etcher M4L Plasma Asher Etcher (에치, 스트립, 세정, 및 표면 처리를 위한 배치-모드 플라즈마 시스템)이다. 사용법은 포토레지스트를 제거하기 위해 표준 베이스라인 산소 애싱 사용법이다.
- 전력: 200 W
- He 흐름: 100 sccm
- O2 흐름: 300 sccm
- 압력: 600 mTorr
- 시간: 10분
각 샘플을 두 조각으로 분할하였다. 절반을 산소 애시 처리로 처리하고, 나머지 샘플을 대조군으로서 유지시켰다. 모든 샘플의 필름 성질들을 X-선 광분광법(XPS) 및 X-선 반사율(XRR)을 이용하여 특징분석하였다. 하기 표 5 및 표 6은 애싱 이전 및 후에 두 개의 필름으로부터의 결과를 나타낸 것이다. 필름 RI에서의 측정 가능한 변화는 관찰되지 않았다. 필름 두께는 다시 실험 오차 내에서 매우 적은 변화를 나타내었다. 유사하게, XPS 조성(O, Si, C%)의 명확한 변화가 존재하지 않고, 밀도 변화는 실험 오차 내에 있다.
표 5: 애싱 전 및 후 1,4-DSP 필름의 RI 및 두께 결과
Figure 112015116061858-pat00017
표 6: 애싱 전 및 후 1,4-DSP 필름의 조성 및 밀도 결과 (표면으로부터 약 10 nm 스퍼터링한 후 조성이 측정되었다)
Figure 112015116061858-pat00018

Claims (23)

  1. 기판의 표면의 일부 또는 전부 상에 실리콘-기반 필름을 형성시키는 방법으로서,
    반응기에 기판의 하나 이상의 표면을 제공하고;
    반응기에 하기 화학식 A 내지 화학식 D 중 하나 이상을 포함하는 오가노실리콘 전구체 화합물을 도입하고;
    화학적 증기 증착 (CVD), 저압 화학적 증기 증착 (LPCVD), 플라즈마 강화 화학적 증기 증착 (PECVD), 사이클릭 화학적 증기 증착 (CCVD), 플라즈마 강화 사이클릭 화학적 증기 증착 (PECCVD), 원자층 증착 (ALD), 및 플라즈마 강화 원자층 증착 (PEALD)으로 이루어진 군으로부터 선택된 증착 공정에 의해 하나 이상의 표면 상에 실리콘-기반 필름을 형성시키는 것을 포함하며,
    실리콘-기반 필름이 X-선 광전자 분광법(XPS)에 의해 측정하는 경우에 0 초과 내지 50 이하 원자 중량%의 실리콘을 포함하는 방법:
    Figure 112017120406117-pat00019

    상기 식에서, X1 및 X2는 각각 독립적으로 수소 원자, 할라이드 원자, 및 화학식 NR1R2를 갖는 오가노아미노 기로부터 선택되며, X1 및 X2 중 적어도 하나는 오가노아미노기이고,
    여기서 R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택되고, R2는 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 C1 내지 C6 불화된 알킬 기, 분지형 C3 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되거나,
    R1 및 R2는 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시키기 위해 함께 연결되며;
    R3, R4, 및 R5는 각각 독립적으로 수소 원자 및 메틸 (CH3) 기로부터 선택되며;
    R6은 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택된다.
  2. 제1항에 있어서, 형성시키는 단계가 100℃ 내지 650℃ 범위의 하나 이상의 온도에서 수행되는 방법.
  3. 제1항에 있어서, 실리콘-기반 필름이 실리콘 카바이드 필름, 실리콘 니트라이드 필름, 및 실리콘 카보니트라이드 필름으로 이루어진 군으로부터 선택되는 방법.
  4. 제1항에 있어서, 증착 공정이 LPCVD인 방법.
  5. 제1항에 있어서, 증착 공정이 PECVD를 포함하는 방법.
  6. 제1항에 있어서, 질소-함유 전구체를 제공하는 것을 추가로 포함하며, 하나 이상의 오가노실리콘 전구체의 양에 대한 질소-함유 전구체의 양의 비율이 0.25 내지 1의 범위인 방법.
  7. 기판의 하나 이상의 표면 상에 실리콘-기반 필름을 형성시키는 방법으로서, 실리콘-기반 필름은 화학식 SixCyNz (여기서, XPS에 의해 측정하는 경우에 x는 0 초과 내지 55 이하 원자 중량%이며, y는 35 내지 100 원자 중량%이며, z는 0 초과 내지 50 이하 원자 중량%임)를 가지며, 상기 방법은,
    반응 챔버에 기판의 하나 이상의 표면을 제공하고;
    반응기에 하기 화학식 A 내지 화학식 D 중 하나 이상을 포함하는 오가노실리콘 전구체 화합물을 도입하고;
    저압 화학적 증기 증착(LPCVD)을 포함하는 증착 공정에 의해 하나 이상의 표면 상에 실리콘-기반 필름을 형성시키는 것을 포함하는 방법:
    Figure 112017120406117-pat00020

    상기 식에서, X1 및 X2는 각각 독립적으로 수소 원자, 할라이드 원자, 및 화학식 NR1R2를 갖는 오가노아미노 기로부터 선택되며, X1 및 X2 중 적어도 하나는 오가노아미노기이고,
    여기서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택되고, R2는 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 C1 내지 C6 불화된 알킬 기, 분지형 C3 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되거나,
    R1 및 R2는 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시키기 위해 함께 연결되며;
    R3, R4, 및 R5는 각각 독립적으로 수소 원자 및 메틸 (CH3) 기로부터 선택되며;
    R6은 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택된다.
  8. 삭제
  9. 제7항에 있어서, 형성시키는 단계가 100℃ 내지 650℃ 범위의 하나 이상의 온도에서 수행되는 방법.
  10. 제7항에 있어서, 방법이 질소-함유 전구체를 제공하는 것을 추가로 포함하며, 하나 이상의 오가노실리콘 전구체의 양에 대한 질소-함유 전구체의 양의 비율이 0.25 내지 20의 범위인 방법.
  11. 제7항에 있어서, 실리콘-기반 필름이 실리콘 카바이드, 실리콘 니트라이드 및 실리콘 카보니트라이드로 이루어진 군으로부터 선택되는 방법.
  12. 제7항에 있어서, 어닐링 단계(annealing step)를 추가로 포함하는 방법.
  13. 기판의 하나 이상의 표면 상에 실리콘-기반 필름을 형성시키는 방법으로서,
    반응 챔버에 기판의 하나 이상의 표면을 제공하고;
    반응기에 하기 화학식 A 내지 화학식 D 중 하나 이상을 포함하는 오가노실리콘 전구체 화합물을 도입하고;
    플라즈마 강화 화학적 증기 증착 (PECVD)을 포함하는 증착 공정에 의해 하나 이상의 표면 상에 실리콘-기반 필름을 형성시키는 것을 포함하며,
    실리콘-기반 필름이 XPS에 의해 측정하는 경우에 0 초과 내지 50 이하 원자 중량% 실리콘을 포함하는 방법:
    Figure 112017120406117-pat00021

    상기 식에서, X1 및 X2는 각각 독립적으로 수소 원자, 할라이드 원자, 및 화학식 NR1R2를 갖는 오가노아미노 기로부터 선택되며, X1 및 X2 중 적어도 하나는 오가노아미노기이고,
    여기서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택되고, R2는 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 C1 내지 C6 불화된 알킬 기, 분지형 C3 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되거나,
    R1 및 R2는 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시키기 위해 함께 연결되며;
    R3, R4, 및 R5는 각각 독립적으로 수소 원자 및 메틸 (CH3) 기로부터 선택되며;
    R6은 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택된다.
  14. 제13항에 있어서, 형성시키는 단계가 100℃ 내지 650℃ 범위의 하나 이상의 온도에서 수행되는 방법.
  15. 실리콘-기반 필름의 증기 증착을 위한 조성물로서,
    1-디메틸아미노-1,4-디실라펜탄, 1-디에틸아미노-1,4-디실라펜탄, 1-디-이소-프로필아미노-1,4-디실라펜탄, 1-디메틸아미노-1,5-디실라헥산, 1-디에틸아미노-1,5-디실라헥산, 1-디-이소-프로필아미노-1,5-디실라헥산, 2-디메틸아미노-2,5-디실라헥산, 2-디에틸아미노-2,5-디실라헥산, 2-디-이소-프로필아미노-2,5-디실라헥산, 2-디메틸아미노-2,6-디실라헵탄, 2-디에틸아미노-2,6-디실라헵탄, 2-디-이소-프로필아미노-2,6-디실라헵탄, 1,4-비스(디메틸아미노)-1,4-디실라펜탄, 1,4-비스(디에틸아미노)-1,4-디실라펜탄, 1,5-비스(디메틸아미노)-1,5-디실라헥산, 1,5-비스(디에틸아미노)-1,5-디실라헥산, 2,5-비스(디메틸아미노)-2,5-디실라헥산, 2,5-비스(디에틸아미노)-2,5-디실라헥산, 2,6-비스(디메틸아미노)-2,6-디실라헵탄, 2,6-비스(디에틸아미노)-2,6-디실라헵탄, 1,2-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-n-프로필-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1-이소-프로필-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1-3차-부틸-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1,2-디메틸-1-아자-2,6-디실라사이클로헥산, 1-n-프로필-2-메틸-1-아자-2,6-디실라사이클로헥산, 1-이소-프로필-2-메틸-1-아자-2,6-디실라사이클로헥산, 1-3차-부틸-2-메틸-1-아자-2,5-디실라사이클로헥산, 1,2,5-트리메틸-1-아자-2,5-디실라사이클로펜탄, 1-n-프로필-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-이소-프로필-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-3차-부틸-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1,2,6-트리메틸-1-아자-2,6-디실라사이클로헥산, 1-n-프로필-2,6-디메틸-1-아자-2,6-디실라사이클로헥산, 1-이소-프로필-2,6-디메틸-1-아자-2,6-디실라사이클로헥산, 및 1-3차-부틸-2,6-디메틸-1-아자-2,6-디실라사이클로헥산으로 이루어진 군으로부터 선택된 두 개의 실리콘 원자를 포함하는 하나 이상의 오가노실리콘 전구체를 포함하는 조성물.
  16. 플라즈마 강화 원자층 증착 및 플라즈마 강화 사이클릭 화학적 증기 증착으로부터 선택된 증착 공정을 이용하여 실리콘 카바이드, 실리콘 니트라이드 및 실리콘 카보니트라이드로 이루어진 군으로부터 선택된 실리콘-함유 필름을 증착시키는 방법으로서,
    a) 20℃ 내지 400℃ 범위의 하나 이상의 온도로 가열된 반응기에 기판을 배치시키고;
    b) 반응기에 하기 화학식 A 내지 화학식 D 중 하나 이상을 포함하는 오가노실리콘 전구체 화합물을 도입하고;
    c) 반응기를 퍼지 가스로 퍼징시키고;
    d) 반응기에 플라즈마 함유 소스를 제공하여 하나 이상의 오가노실리콘 전구체 화합물과 일부 또는 전부 반응시키고 기판 상에 실리콘-함유 필름을 증착시키고;
    e) 반응기를 퍼지 가스로 퍼징시키는 것을 포함하며,
    실리콘 함유 필름의 요망되는 두께가 얻어질 때까지 단계 b) 내지 단계 e)가 반복되는 방법:
    Figure 112017120406117-pat00022

    상기 식에서, X1 및 X2는 각각 독립적으로 수소 원자, 할라이드 원자, 및 화학식 NR1R2를 갖는 오가노아미노 기로부터 선택되며, X1 및 X2 중 적어도 하나는 오가노아미노기이고,
    여기서, R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택되고, R2는 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 C1 내지 C6 불화된 알킬 기, 분지형 C3 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되거나,
    R1 및 R2는 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시키기 위해 함께 연결되며;
    R3, R4, 및 R5는 각각 독립적으로 수소 원자 및 메틸 (CH3) 기로부터 선택되며;
    R6은 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택된다.
  17. 제16항에 있어서, 플라즈마 함유 소스(source)가 수소 플라즈마, 헬륨 플라즈마, 네온 플라즈마, 아르곤 플라즈마, 제논 플라즈마, 수소/헬륨 플라즈마, 수소/아르곤 플라즈마, 질소 플라즈마, 질소/수소, 질소/헬륨, 질소/아르곤 플라즈마, 암모니아 플라즈마, 질소/암모니아 플라즈마, 암모니아/헬륨 플라즈마, 암모니아/아르곤 플라즈마, NF3 플라즈마, 메틸아민 플라즈마, 디메틸아민 플라즈마, 트리메틸아민 플라즈마, 에틸아민 플라즈마, 디에틸아민 플라즈마, 트리메틸아민 플라즈마, 에틸렌디아민 플라즈마 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  18. 제16항에 있어서, 오가노실리콘 전구체가 1-디메틸아미노-1,4-디실라펜탄, 1-디에틸아미노-1,4-디실라펜탄, 1-디-이소-프로필아미노-1,4-디실라펜탄, 1-디메틸아미노-1,5-디실라헥산, 1-디에틸아미노-1,5-디실라헥산, 1-디-이소-프로필아미노-1,5-디실라헥산, 2-디메틸아미노-2,5-디실라헥산, 2-디에틸아미노-2,5-디실라헥산, 2-디-이소-프로필아미노-2,5-디실라헥산, 2-디메틸아미노-2,6-디실라헵탄, 2-디에틸아미노-2,6-디실라헵탄, 2-디-이소-프로필아미노-2,6-디실라헵탄, 1,4-비스(디메틸아미노)-1,4-디실라펜탄, 1,4-비스(디에틸아미노)-1,4-디실라펜탄, 1,5-비스(디메틸아미노)-1,5-디실라헥산, 1,5-비스(디에틸아미노)-1,5-디실라헥산, 2,5-비스(디메틸아미노)-2,5-디실라헥산, 2,5-비스(디에틸아미노)-2,5-디실라헥산, 2,6-비스(디메틸아미노)-2,6-디실라헵탄, 2,6-비스(디에틸아미노)-2,6-디실라헵탄, 1,2-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-n-프로필-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1-이소-프로필-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1-3차-부틸-2-메틸-1-아자-2,5-디실라사이클로펜탄, 1,2-디메틸-1-아자-2,6-디실라사이클로헥산, 1-n-프로필-2-메틸-1-아자-2,6-디실라사이클로헥산, 1-이소-프로필-2-메틸-1-아자-2,6-디실라사이클로헥산, 1-3차-부틸-2-메틸-1-아자-2,5-디실라사이클로헥산, 1,2,5-트리메틸-1-아자-2,5-디실라사이클로펜탄, 1-n-프로필-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-이소-프로필-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1-3차-부틸-2,5-디메틸-1-아자-2,5-디실라사이클로펜탄, 1,2,6-트리메틸-1-아자-2,6-디실라사이클로헥산, 1-n-프로필-2,6-디메틸-1-아자-2,6-디실라사이클로헥산, 1-이소-프로필-2,6-디메틸-1-아자-2,6-디실라사이클로헥산, 및 1-3차-부틸-2,6-디메틸-1-아자-2,6-디실라사이클로헥산으로 이루어진 군으로부터 선택되는 방법.
  19. 제7항 또는 제13항에 있어서, 반응 챔버에 암모니아, 히드라진, 모노알킬히드라진, 디알킬히드라진, 1차 아민, 2차 아민, 3차 아민, 및 이들의 혼합물로 이루어진 군으로부터 선택된 질소-함유 전구체를 제공하는 것을 추가로 포함하는 방법.
  20. 실리콘-기반 필름의 증기 증착을 위한 조성물로서, 상기 조성물은 하기 화학식 A의 화학 구조를 갖는 하나 이상의 오가노실리콘 전구체 화합물을 포함하는 조성물:
    Figure 112017120406117-pat00023

    상기 식에서, X1는 화학식 NR1R2를 갖는 오가노아미노 기이고,
    여기서 R1은 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C10 알케닐 기, 선형 또는 분지형 C3 내지 C10 알키닐 기, C1 내지 C6 디알킬아미노 기, 전자 끄는 기, 및 C6 내지 C10 아릴 기로부터 선택되고, R2는 수소 원자, 선형 C1 내지 C10 알킬 기, 분지형 C3 내지 C10 알킬 기, 환형 C3 내지 C10 알킬 기, 선형 또는 분지형 C3 내지 C6 알케닐 기, 선형 또는 분지형 C3 내지 C6 알키닐 기, C1 내지 C6 디알킬아미노 기, C6 내지 C10 아릴 기, 선형 C1 내지 C6 불화된 알킬 기, 분지형 C3 내지 C6 불화된 알킬 기, 전자 끄는 기, 및 C4 내지 C10 아릴 기로부터 선택되거나,
    R1 및 R2는 치환되거나 비치환된 방향족 고리 또는 치환되거나 비치환된 지방족 고리로부터 선택된 고리를 형성시키기 위해 함께 연결되며;
    R3, R4, 및 R5는 각각 수소 원자이고;
    X2는 수소 원자이다.
  21. 제20항에 있어서, 질소-함유 전구체를 추가로 포함하며, 하나 이상의 오가노실리콘 전구체의 양에 대한 질소-함유 전구체의 양의 비율이 0.25 내지 1의 범위인 조성물.
  22. 제20항에 있어서, 하나 이상의 오가노실리콘 전구체가 1-디메틸아미노-1,4-디실라펜탄, 1-디에틸아미노-1,4-디실라펜탄, 1-메틸에틸아미노-1,4-디실라펜탄, 1-디-n-프로필아미노-1,4-디실라펜탄, 1-디-이소-프로필아미노-1,4-디실라펜탄, 1-이소-프로필아미노-1,4-디실라펜탄, 1-2차-부틸아미노-1,4-디실라펜탄, 1-3차-부틸아미노-1,4-디실라펜탄, 1-(2,6-디메틸피페리디노)-1,4-디실라펜탄, 1-피페리디노-1,4-디실라펜탄, 1-(사이클로헥실-이소-프로필아미노)-1,4-디실라펜탄 및 1-(n-프로필-이소-프로필아미노)-1,4-디실라펜탄으로 이루어진 군으로부터 선택되는 조성물.
  23. 제20항에 있어서, 오가노실리콘 전구체가 1-디-이소-프로필아미노-1,4-디실라펜탄을 포함하는 조성물.
KR1020150153102A 2014-11-03 2015-11-02 실리콘-기반 필름 및 이를 형성시키는 방법 KR101856143B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462074219P 2014-11-03 2014-11-03
US62/074,219 2014-11-03
US14/924,098 US9879340B2 (en) 2014-11-03 2015-10-27 Silicon-based films and methods of forming the same
US14/924,098 2015-10-27

Publications (2)

Publication Number Publication Date
KR20160052418A KR20160052418A (ko) 2016-05-12
KR101856143B1 true KR101856143B1 (ko) 2018-05-10

Family

ID=54476767

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150153102A KR101856143B1 (ko) 2014-11-03 2015-11-02 실리콘-기반 필름 및 이를 형성시키는 방법

Country Status (6)

Country Link
US (2) US9879340B2 (ko)
EP (1) EP3023514B1 (ko)
JP (1) JP6183725B2 (ko)
KR (1) KR101856143B1 (ko)
CN (2) CN105568249A (ko)
TW (1) TWI575099B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI753794B (zh) * 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
WO2018017684A1 (en) * 2016-07-19 2018-01-25 Applied Materials, Inc. Deposition of flowable silicon-containing films
US9865456B1 (en) * 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10464953B2 (en) * 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US20180148833A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Methods for depositing flowable silicon containing films using hot wire chemical vapor deposition
CN106887392A (zh) * 2017-03-21 2017-06-23 南通明芯微电子有限公司 半绝缘多晶硅薄膜的制备方法
CN109585264B (zh) * 2018-08-26 2020-12-22 合肥安德科铭半导体科技有限公司 一种氮化硅薄膜的可流动化学气相沉积方法
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
WO2020068770A1 (en) * 2018-09-24 2020-04-02 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
EP3844318A4 (en) * 2018-10-03 2022-06-01 Versum Materials US, LLC METHODS FOR MAKING FILMS CONTAINING SILICON AND NITROGEN
US11171141B2 (en) 2019-03-04 2021-11-09 Applied Materials, Inc. Gap fill methods of forming buried word lines in DRAM without forming bottom voids
CN113710677A (zh) * 2019-04-02 2021-11-26 盖列斯特有限公司 氧族硅环戊烷

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4923716A (en) 1988-09-26 1990-05-08 Hughes Aircraft Company Chemical vapor desposition of silicon carbide
KR960012710B1 (ko) 1993-10-11 1996-09-24 한국화학연구소 단일 유기규소 화합물을 이용한 탄화규소 막의 제조
TW285753B (ko) 1995-01-04 1996-09-11 Air Prod & Chem
US5879775A (en) 1996-12-12 1999-03-09 Eastman Kodak Compnay Protective inorganic and DLC coatings for plastic media such as plastic cards
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6858548B2 (en) 2002-04-18 2005-02-22 Applied Materials, Inc. Application of carbon doped silicon oxide film to flat panel industry
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US20050227017A1 (en) * 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050277302A1 (en) 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
FR2887252A1 (fr) 2005-06-21 2006-12-22 Air Liquide Procede de formation d'un film dielectrique et nouveaux precurseurs pour la mise en oeuvre de ce procede
BRPI0709819B1 (pt) * 2006-04-13 2018-04-03 Bridgestone Corporation Composição incluindo múltiplos polímeros funcionalizados
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7560167B2 (en) * 2006-09-01 2009-07-14 Momentive Performance Materials Inc. Composition containing anti-misting component
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
JP4379637B1 (ja) 2009-03-30 2009-12-09 Jsr株式会社 有機ケイ素化合物の製造方法
US8440571B2 (en) 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
JP5969253B2 (ja) * 2012-02-10 2016-08-17 東京応化工業株式会社 表面処理剤及び表面処理方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films

Also Published As

Publication number Publication date
EP3023514A1 (en) 2016-05-25
TW201619427A (zh) 2016-06-01
US10422034B2 (en) 2019-09-24
KR20160052418A (ko) 2016-05-12
CN116180042A (zh) 2023-05-30
US20160122869A1 (en) 2016-05-05
JP6183725B2 (ja) 2017-08-23
JP2016135842A (ja) 2016-07-28
EP3023514B1 (en) 2023-10-25
US20180119276A1 (en) 2018-05-03
US9879340B2 (en) 2018-01-30
TWI575099B (zh) 2017-03-21
CN105568249A (zh) 2016-05-11

Similar Documents

Publication Publication Date Title
KR101856143B1 (ko) 실리콘-기반 필름 및 이를 형성시키는 방법
JP7025534B2 (ja) シリコン含有膜堆積用の組成物及び方法
KR101924630B1 (ko) 유기아미노디실란 전구체 및 이를 포함하는 막을 증착시키는 방법
KR101950952B1 (ko) 실리콘 함유 막을 제조하는 방법
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
CN113025992B (zh) 组合物和使用所述组合物沉积含硅膜的方法
KR101651955B1 (ko) 아자-폴리실란 전구체 및 이를 포함하는 막을 증착시키는 방법
TWI623543B (zh) 含硼化合物、組合物及含硼膜的沉積方法
KR20170018872A (ko) 질화규소 막을 증착시키는 방법
KR102153564B1 (ko) 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
TWI750577B (zh) 用於含矽膜的組合物及使用其的方法
EP2363512A1 (en) Methods to prepare silicon-containing films
TWI742777B (zh) 含有矽雜環烷的組合物及使用其沉積含矽膜的方法

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant