CN101124605A - 分配装置及其使用方法 - Google Patents

分配装置及其使用方法 Download PDF

Info

Publication number
CN101124605A
CN101124605A CNA2005800484600A CN200580048460A CN101124605A CN 101124605 A CN101124605 A CN 101124605A CN A2005800484600 A CNA2005800484600 A CN A2005800484600A CN 200580048460 A CN200580048460 A CN 200580048460A CN 101124605 A CN101124605 A CN 101124605A
Authority
CN
China
Prior art keywords
vapor
phase reactant
collecting tank
vessel
liquid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800484600A
Other languages
English (en)
Other versions
CN101124605B (zh
Inventor
D·W·彼得斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Praxair Technology Inc
Original Assignee
Praxair Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Praxair Technology Inc filed Critical Praxair Technology Inc
Publication of CN101124605A publication Critical patent/CN101124605A/zh
Application granted granted Critical
Publication of CN101124605B publication Critical patent/CN101124605B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Clinical Laboratory Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Sampling And Sample Adjustment (AREA)

Abstract

本发明涉及一种包括圆柱形器皿的气相反应物分配装置,圆柱形器皿包括底板以及由内壁和底板面限界的内部体积。器皿(4)设有用于检测器皿内部体积中的液体反应物液位的液体反应物液位传感器(2),并且设有用于检测器皿内部体积中的液体反应物温度的温度传感器(1,11)。该器皿的底板具有设在其中并从底板的表面向下延伸的凹穴(3),并且液体反应物液位传感器(2)和温度传感器(1,11)的下端位于该凹穴内。该分配装置可用于分配反应物,例如用于在半导体材料和器件制造中进行材料沉积的前体。

Description

分配装置及其使用方法
发明领域
本发明涉及一种气相反应物分配装置,其可用于分配气相反应物,例如用于在半导体材料和器件制造中的材料沉积的前体。分配装置具有用于检测装置内部体积中的液体反应物液位的液体反应物液位传感器,以及用于检测装置内部体积中的液体反应物的温度的温度传感器。该装置的底板具有设在其中的从底板面向下延伸的凹穴,而液体反应物液位传感器和温度传感器的下端定位在凹穴中。
发明背景
现代化学气相沉积和原子层沉积设备利用起泡器(bubbler)或安瓿来将前体化学物输送到沉积室中。这些安瓿通过使载气经过液体前体化学物容器并且与气体一起携带前体蒸气而工作。在多数情况下,必需用一些装置加热安瓿,以便增加前体的蒸气压力并且因此增加载气中化学物的量。重要的是,监控安瓿内部的液体前体化学物的温度,以便控制蒸气压力。
知道安瓿内部的液体前体化学物何时接近用完是重要的,这样就可以在化学气相沉积或原子层沉积循环末期对其进行更换。如果安瓿将在该循环的中间用干,则整批的晶片将损毁,造成数百万美元的潜在损失。因此,希望在安瓿内部剩下尽可能少的液体前体化学物,以便避免浪费贵重的液体前体化学物。随着前体化学物的成本增加,浪费尽可能少的化学物变得更加重要。
多数液体液位传感器具有数十英寸或更大的盲区,这在传感器触发时在安瓿中留下太多的化学物(高达15%或更多)。存在着改进安瓿设计的需要,以便降低液位传感器触发时的残余液体前体化学物的量。因为半导体制造过程典型地以批量处理的方式操作,所以,对于组成材料由气化的源材料沉积在晶片衬底上而言,来自供应器皿的未使用的反应物就成为源自半导体制造设备的全部浪费的一部分。
在其中液体前体化学物是高成本的和贵重的情况下,液体前体化学物的这种浪费对工艺制程的经济性有不利的影响,并且在废液和其环境影响的处理方面表现出沉重的负担。
美国专利No.6,077,356公开了一种类型的闭合器皿的液体反应物分配组件,其中液体由来自加压气体器皿的封液管排放管进行分配,并且其中液体液位可由向下延伸到器皿中并且恰好终止于其底板低处的传感器来检测。器皿的底板具有集液池凹穴,其中设置了封液管液体排放管和液体液位传感器的下端。来自器皿的液体反应物传给蒸发器,并且气化而形成流到化学气相沉积室中的源蒸气。
在本领域中希望提供一种气相反应物分配装置和方法,其增加了对装置内液体前体化学物的利用,并且相应地减少了它的浪费,并且不需要额外的步骤和硬件,例如与化学气相沉积室流体连通的现有技术的液体反应物分配器皿所要求的蒸发步骤和蒸发器。
发明概述
本发明涉及一种气相反应物分配装置,其包括:
圆柱形闭合器皿,该器皿在其上端由可移动顶壁部件限界,并且在其下端由底壁部件限界,以便在其中限定内部体积;
底壁部件,其具有主底板面,该底板面在其中包含从主底板面向下延伸的集液池凹穴,集液池凹穴在其下端由次底板面限界,并且集液池凹穴的至少一部分居中地定位在底壁部件上,而集液池凹穴的至少一部分非居中地定位在底壁部件上;
温度传感器,其从器皿外部的上端延伸穿过顶壁部件的居中定位部分,并且大体上垂直向下进入器皿的内部体积中,而到达居中地定位在底壁部件上的集液池凹穴的那部分的下端,并且温度传感器的下端设置成非干涉式地靠近集液池凹穴的次底板面;
液体反应物液位传感器,其从器皿外部的上端延伸穿过顶壁部件的非居中定位部分,并且大体上垂直向下进入器皿的内部体积中,而到达非居中地定位在底壁部件上的集液池凹穴的那部分的下端,并且液体反应物液位传感器的下端设置成非干涉式地靠近集液池凹穴的次底板面;和
温度传感器,其可操作地设置在集液池凹穴内,以便测定器皿内液体反应物的温度,液体反应物液位传感器可操作地设置在集液池凹穴内,以便测定器皿内液体反应物的液位,所述温度传感器和液体反应物液位传感器设置成在集液池凹穴内非干涉式地相互靠近,而温度传感器和液体反应物液位传感器在集液池凹穴内是以液体反应物形成流体连通的。
安瓿或器皿的内部构造具有小井或集液池凹穴,以便液体反应物液位传感器和温度传感器向下伸入其中。该集液池凹穴的截面积远小于器皿或安瓿主体的截面积,这意味着在液体反应物液位传感器断开(trip)时,残余的体积远小于将在安瓿的主体内的残余体积。这有效地消除了在诸如超声波液位传感器或光学液位传感器等其它液位传感器中固有的盲区。
与现有技术的闭合器皿液体反应物分配组件相反,本发明的气相反应物分配装置不需要用于从器皿中排放液体的封液管液体排放管。另外,现有技术公开了在输送液体方面的井,而本发明设计成用于输送气相反应物。而且,本发明将液体反应物液位传感器和温度传感器一起结合在一个集液池凹穴内,因此使器皿的操作在本质上更安全。
如以上所指示的那样,集液池凹穴已经扩展到包括温度传感器,例如热电偶套管和热电偶,使得液体反应物液位传感器和温度传感器均在同一水平上。这样,只要液体反应物液位传感器是湿的,则温度传感器就是湿的。这是重要的安全考虑。如果温度传感器是干的,而液体反应物液位传感器指示化学物还存在,那么这将导致将安瓿加热到不安全的温度。本发明的安瓿设计确保了即使在液位传感器指示安瓿应更换之后,温度传感器仍是湿的。
典型地为不锈钢容器的安瓿输送在室温下是固体或液体的化学物的90%到99%。安瓿被加热,以便以蒸气形式输送化学物,并且包括:在其底板内的集液池凹穴,用于填充容器的装置,用于引入气体而与气-液界面上方的液面上空间内的化学物蒸气混合的装置,用于抽出气体和蒸气的所得混合物的装置,用于温度和液体反应物液位测量的装置,和用于将它与环境隔离的装置。器皿或安瓿的特征在于集液池凹穴的截面积远小于主体的截面积,并且它同时定位温度传感器和液体反应物液位传感器,并且它的尺寸设置成使得这些传感器总是浸没在液态或液化的化学物中,并且温度传感器和液体反应物液位传感器定位成远离容器的壁并且更朝向其中心。温度传感器居中地定位在器皿内,而液体反应物液位传感器非居中地定位在器皿内。
本发明还涉及一种以上介绍的气相反应物分配装置,其还包括:
具有载气供应入口的顶壁部件的非居中定位部分;
从载气供应入口向上延伸并且从顶壁部件向外延伸的载气供应管线,用于将载气输送到器皿的内部体积,载气供应管线包含设在其中的载气流量控制阀,用于控制从中通过的载气的流量;
具有气相反应物输出口的顶壁部件的非居中定位部分;和
气相反应物排放管线,其从气相反应物输出口向上延伸并且从顶壁部件向外延伸,用于将气相反应物从器皿的内部体积移除,气相反应物排放管线包含设在其中的气相反应物流量控制阀,用于控制从中通过的气相反应物的流量。
本发明还涉及一种以上介绍的气相反应物分配装置,其还包括:
选自化学气相沉积室和原子层沉积室的沉积室;
将该装置连接到沉积室的气相反应物排放管线;
可加热的基座,其包含在沉积室内,并且设置成与气相反应物排放管线形成接受的关系;和
连接到沉积室上的流出物排放管线,使得气相反应物经过气相反应物排放管线而进入沉积室中,用于与可加热的基座上的衬底接触,而任何残余的流出物通过流出物排放管线排出。
本发明进一步涉及一种用于将气相反应物输送到沉积室的方法,其包括:
(a)提供如以上所介绍的气相反应物分配装置;
(b)将在环境温度下是液体或固体的反应物添加给所述气相反应物分配装置;
(c)将在所述气相反应物分配装置内的反应物加热到足够以蒸发反应物的温度,以便提供气相反应物;
(d)供应载气到所述气相反应物分配装置内;
(e)从所述气相反应物分配装置中通过所述气相反应物排放管线抽出气相反应物和载气;和
(f)将气相反应物和载气供应到所述沉积室内。
本发明的气相反应物分配装置可用在较宽范围的处理系统中,例如包括化学气相沉积系统,其中,来自供应器皿的气相反应物输送给化学气相沉积室,用于从源蒸气中将材料层沉积在沉积室内的衬底上。
本发明还涉及一种以上介绍的用于将气相反应物输送到沉积室的方法,其包括:
(g)使气相反应物与沉积室内的可加热基座上的衬底接触;和
(h)通过连接到沉积室上的流出物排放管线,来排出任何残余的流出物。
本发明在液体反应物液位传感器已发出信号以指示内含物到达结束末端时,允许最小量的半导体前体化学物残留在安瓿或起泡器内。这是非常重要的,因为半导体前体的复杂性和成本升高了。为了降低成本,半导体制造商希望浪费的前体尽可能少。另外,本发明将温度传感器放置在与液体反应物液位传感器相同的凹入式集液池凹穴内。这确保了液体半导体前体的真实温度将被读出,只要液体反应物液位传感器指示存在前体。这从安全立场来看是重要的。如果温度传感器是在液体半导体前体的外部,它将给加热装置发送错误的低温信号。这可导致给安瓿过度加热的情况,这可造成不安全的状态和半导体前体的分解。
本发明允许半导体制造商使用前体的最大比例量,并且在换出安瓿之前的浪费非常少。这减少了浪费,并且最大程度地提升了对半导体前体投资的回报。
通过随后的公开内容和所附的权利要求,可以更全面地清楚了解本发明的其它方面、特征和实施例。
附图简介
图1是以局部剖视图显示的气相反应物分配装置的示意图。
图2是器皿的底壁部件表面的俯视平面图,显示了集液池凹穴的不同的构造。在图2A和图2B中,两个或多个相切的圆形凹陷可以用作集液池凹穴。在图2C中,由连接沟槽相连的两个或多个圆形凹陷可以用作集液池凹穴。
发明详述
器皿或安瓿典型地由316L不锈钢加工而成,并且进行电解抛光,以便防止前体化学物受到污染。盖子是可拆卸的,以便于清洁和再使用。温度传感器处于安瓿的中央,以保证一致的热传导。阀和液位传感器通过面密封连接件而相连,以便保证洁净、防漏的密封。一旦在清洁室内组装好,即可调节安瓿,以除去吸附的水,并用氦检漏仪进行检漏。安瓿设计成可在几托的压力到稍高于大气压的压力下使用。
参见图1,在不锈钢安瓿4底部内加工出的沟槽3提供了集液池凹穴,该集液池凹穴减少了液体反应物液位传感器2进行检测所必需的材料量。沟槽还将液体反应物液位传感器和温度传感器1定位在同一个集液池凹穴内,使得这两个检测器总是湿的。在图1中,安瓿的底板具有朝向中心点的3度斜度,使得任何残余的材料汇集到沟槽内,从而进一步降低了化学物的浪费。
在一个实施例中,集液池凹穴构造成为在器皿的底板部件中的双井结构,其中一个井包含温度传感器的下端,而另一个井包含液体反应物液位传感器元件的下端。
集液池凹穴可适当地占据较小的部分,例如器皿底板截面积的20%或更小,并且易于通过对器皿的底板部件进行加工、铣削、钻孔或特形铣而构成。
图1中所示的热电偶套管可由0.375英寸的管子制成,以便容纳很多种热电偶。将少量的导热油放置在热电偶套管内,以便确保热适当地传输到热电偶。对于一般用于化学气相沉积的温度类型而言,K-类型的热电偶是最常用的。
沟槽的尺寸应足够深,以便允许液体反应物液位传感器检测流体,并且允许在液体反应物液位传感器和沟槽的底部之间存在少量的间隙。在温度和液体反应物液位传感器它们自身周围还应存在间隙,使得沟槽的侧面不与传感器干涉。大约0.125英寸的间隙对多数传感器来说是足够的。
在图1中,液体反应物液位传感器是超声波类型的传感器。该传感器具有仅0.3英寸的盲区。超声波传感器还具有仅0.5英寸的直径,使得沟槽的直径减小了。使用这些数量的尺寸并且假定安瓿为一公升,则安瓿可以构造成使得液位传感器在仅残余1%的材料时将发出信号以指示快达到材料的结束末端。
尽管已经特别说明了沟槽,但是,在这种情况下,由于易于加工,可以使用其它备选几何形状的集液池。如图2A和图2B中所示,两个或多个相切的圆形凹陷可用作集液池凹穴。或者,如图2C中所示,通过连接沟槽相连的两个或多个圆形凹陷可以用作集液池凹穴。这些构造将允许最小的截面积,因此浪费的材料最少。
在优选的实施例中,本发明的气相反应物分配装置的集液池凹穴在底壁部件表面的俯视平面图中可具有哑铃形状。集液池凹穴还可包括互相流体连通的两个横向隔开的井,其中一个并使温度传感器的下端设置在其中,而其中另一个井使液体反应物液位传感器的下端设置在其中。另外,液体反应物液位传感器井可通过轭状通道与温度传感器井连接,从而限定了集液池凹穴的哑铃构形。
输送在室温下为固体或液体的化学物的90%到99%的方法包括,通过从侧壁以及器皿或容器的底部提供热量,而将器皿中的化学物加热到高于其熔点的温度,并且优选加热到适合用于其化学气相沉积或原子层沉积工艺中所用的温度,连续地监控两个温度和在容器底部的集液池凹穴中的液体液位;调节热量输入,以便控制液体反应物温度低于正常沸点、在容器压力下的沸点和液体反应物的分解温度中的最低者,将惰性气体传输到容器中,以便与气-液界面上方的蒸气混合,并抽出气体和蒸气的混合物,以便输送到化学气相沉积或原子层沉积工艺中。
安瓿通过连接到两个阀5和6上而安装在化学气相沉积或原子层沉积设备上。这两个阀5和6是在传送期间使用的隔离阀。一旦阀安装在设备上,阀即被打开,热电偶11放置在热电偶套管1中,并且将足够的导热流体加到热电偶套管中,以便覆盖热电偶。安瓿放置在加热罩、加热块或加热浴槽9内,并且升高到输送温度。半导体前体的温度通过使用热电偶套管内的热电偶进行监控。载气通过输入口7引入并且经过在液气界面12上方的液面上空间,液面上空间使载气与半导体前体混合至饱和。前体饱和气体通过输出端口8排出安瓿并且被携带到沉积设备中。当液体液位低于液位传感器2中的超声波换能器时,就会导致发出警告信号。该信号可以是音频信号、视频信号或逻辑信号。逻辑信号允许液体反应物液位传感器直接与沉积设备通信。
在沉积过程中,一般需要通过一些装置来加热器皿或安瓿,以便增加前体的蒸气压力,并且因此而增加载气中化学物的量。重要的是,监控安瓿内的液体前体化学物的温度,以便控制蒸气压力。对半导体前体温度的这种监控可以借助热电偶套管中的热电偶来完成。随着半导体前体被消耗,则它只需要较少的热输入以便保持其处于目标温度下。用于安瓿的热源将需要用热电偶监控,因此就相应地调节加热块、加热罩或加热浴槽的温度。
必需将热电偶套管设置成离集液池凹穴的底板有一定距离,使得它在液位传感器指示到达化学物的结束末端时仍浸没在液体半导体前体内。保证这一点的一种方式是,使液位传感器和热电偶套管从盖子向下突出一段相同距离。这种构造利用了液位检测器件上的盲区,以便保证热电偶套管总是湿的。这是重要的,不仅是因为出于安全考虑,而且还因为这样可确保前体温度不超过分解温度。
所介绍的系统用于具有液体反应物液位传感器和温度传感器这二者的器皿或安瓿。也可以将液位传感器和热电偶结合在一个探头中。在这种情况下,集液池将仅仅需要单个圆形凹陷。还可能的是,安瓿将不需进行加热,因此避免了对温度传感器的需要。在这种情况下,集液池将仅仅需要单个圆形凹陷。
固体嵌件可设计成用以产生集液池凹穴,以便更改现有的安瓿。嵌件将必须通过焊接或一些其它方法与安瓿固定连接,以便防止嵌件在运行期间的移动,并且保证沟槽与液位传感器和温度传感器对准。
图1中所示的系统是与超声波液位传感器一同使用的。可使用光学液位传感器,但可能需要更深的井。也可使用磁性浮子型传感器,但可能需要更大直径的集液池凹穴,以便容纳磁性浮子的直径。
尽管只是论述了仅具有一个检测点的端点液体反应物液位传感器,但是,也可以使用多检测点的或连续的液体反应物液位传感器,并且当其在使用时,可监控半导体前体的消耗。必须保证检测的最末点在井内,以便得到本发明的好处。
图1中所示的系统是与热电偶套管1和热电偶11一起使用的。应当理解,其它类型的温度检测器件可用于本发明的实践中,并且可在实践中广泛地变化。
图1中所示的系统可用于具有液体反应物液位传感器和温度传感器这二者的安瓿。因此,沟槽已经设计成可应付两个管状探头。该系统还可与连接在载气供应入口上的管一起使用,因此使安瓿变成起泡器。还可能希望使入口管向下延伸到集液池凹穴内,以便增长气泡的路径长度。这将增大起泡器内溶解的化学物的量,并且使起泡器的效率更高。如果添加了起泡器管,则可能需要添加第三凹穴到集液池凹穴内,或者是可能需要延长沟槽。
该器皿或安瓿包括侧壁部件、顶壁部件和底壁部件或底板部件,侧壁部件例如可包括共同限定了封闭的例如方形或其它非圆形截面的侧壁结构的圆柱形壁或壁段。侧壁、顶壁和底壁或底板部件限定了器皿的封闭的内部体积,其在操作中可包含气体空间,该气体空间位于在气-液界面12处形成了液体表面的液体上方。
根据本发明,底板部件具有主底板面,并且在其中设有集液池凹穴。集液池凹穴从主底板面向下延伸到具有凹穴的边界侧壁面的次底板面内。
器皿4配备有包括载气入口7的载气引入装置,载气入口7具有与之相连的载气流量控制阀5,以便控制载气流入器皿的内部体积内的流量。载气供应入口7通过联接到来自载气供给单元(图中未示出)的供给管线上,而使得载气从供给单元流过供给管线而到达载气供应入口7,并且排放到器皿内部。气体供给单元可为任何合适的类型,例如高压气瓶、低温空气分离设备或压力摆动空气分离单元,从而将载气如氮、氩、氦等供应给供给管线。
气相反应物排放管线8接收从器皿的内部体积排出的气相或蒸气反应物,并且使之流到化学气相沉积室(图中未示出)中。在化学气相沉积室内,晶片(例如图案化的晶片或其它衬底元件)安装在可加热的基座或其它支座结构上,所述基座与成从气相反应物排放管线8引入沉积室内的源蒸气形成接受的关系。
蒸气与晶片接触,以便在其上沉积所希望的源蒸气成分,并且在晶片上形成最终的材料层或者沉积物。来自化学气相沉积的废气流出物从沉积室排放到流出物排放管线中,并且可传递给再循环装置、回收装置、废物处理装置、处理装置或其它处置装置(图中未示出)。
再次参见器皿或安瓿,器皿配备有液体反应物液位传感器2,其从位于器皿外部的上部分向下延伸穿过器皿顶壁部件的非居中定位部分,而到达非居中地定位在底板部件上并且紧邻器皿集液池凹穴3次底板面的下端,以便在器皿内包含液体反应物时,可允许利用液体反应物的至少95%。液体反应物液位传感器2的上部分可通过液体反应物液位检测信号传输线连接到中央处理单元上,用于在系统的操作期间将测得的液体反应物液位信号从液体反应物液位传感器传输给中央处理单元。
以类似的方式,器皿配备有温度传感器,也就是热电偶套管1和热电偶11,其从位于器皿外部的上部分向下延伸穿过器皿顶壁部件的居中定位部分,而到达居中地定位在底壁部件上并且紧邻器皿集液池凹穴3次底板面的下端。温度传感器11的上部分可通过温度检测信号传输线连接到中央处理单元上,用于在系统的操作期间将测得的温度信号从温度传感器传输给中央处理单元。
中央处理单元可包括合适的微处理器、计算机或其它合适的控制装置,并且还可通过控制信号传输线连在阀5上(例如通过图中未示出的合适的阀促动器元件),以便选择性地调节阀5和控制载气流到器皿中的流量。中央处理单元还可通过控制信号传输线连到阀6上(例如通过图中未示出的合适的阀促动器元件),以便选择性地调节阀6和控制气相反应物从器皿中的排放。
集液池凹穴可优选地占据器皿底板截面积的一小部分。一般而言,集液池凹穴的平面图截面积优选小于器皿底板总截面积的约25%,并且更优选小于器皿底板总截面积的约15%。例如集液池凹穴的截面积可为器皿(底板面积)的总截面积的约5%到约20%。集液池凹穴的侧壁可以是倾斜的、平直的或具有任何其它的几何形状或定向。
应该明白的是,在本发明的实施中,集液池凹穴的构造,包括其形状、几何形状和尺寸,可在实施中较大程度地变化。
例如,集液池凹穴可包括分开的、不连续的且互连的井,以用于各自的温度传感器和液体反应物液位传感器的下端部分。这些井应通过通道相互连通,该通道延伸穿过供应器皿的底板部件并且在各自的端部在井的次底板面附近与井连通。这种互连的通道例如可为一般水平地延伸的通道,或者是例如可包括在器皿底板部件的相应井之间的U形或测压型通道,或者是,这种通道可具有任何其它合适的形状和构造,以用于连通集液池凹穴的井或组成部分。
集液池凹穴可通过任何适当的制造方法在液体反应物供应器皿的底板部件中形成,这些方法包括铸造、模制、蚀刻、加工(钻孔、铣削、电弧加工等)或在底板部件内提供凹穴结构的任何其它方法,这些方法在器皿或安瓿的内部体积的下部分中提供了较小截面积的液体保持体积,使得在这种情形下,与在其整个垂直长度上具有一致截面积的内部体积的情形相比,给定体积的液体将占据更大的高度。
在系统的说明性操作中,将液体反应物放置在器皿4中进行加热,并且载气从载气供给单元流经载气供给管线而到达气体供应入口7,载气从该气体供应入口7排放到器皿的内部体积内。通过一些装置来加热器皿是必要的,以便增加前体的蒸气压力并且因此而增加载气中化学物的量。所得的蒸气和载气通过气相反应物排放管线从器皿排放出并流到化学气相沉积室中,用于在衬底上沉积所希望的材料层或沉积物。蒸气和载气的废气从沉积室中排放到流出物排放管线内。
在此操作期间,器皿4内液体的液体反应物液位通过液体反应物液位传感器2进行检测。知道器皿内的液体前体化学物何时接近用完是很重要的,这样就可以在化学气相沉积或原子层沉积循环的末期更换器皿内的液体前体化学物。液体反应物液位逐渐下降,并且最终降低到集液池凹穴3内达到最低液头(集液池凹穴内液体的高度),此时,中央处理单元通过液体液位检测信号传输线接收相应的测得的液体液位信号。中央处理单元作出响应,从而在控制信号传输线中传输控制信号给载气流量控制阀5,以便闭合控制阀5,并切断载气流到器皿的流量,并且还同时在控制信号传输线中传输控制信号,以便闭合气相反应物流量控制阀6,从而切断气相反应物从器皿中流出的流量。
而且,在此操作的期间,器皿4内液体的温度由温度传感器11进行检测。监控器皿内液体前体化学物的温度是很重要的,以便控制蒸气压力。如果器皿内液体反应物的温度变得太高,则中央处理单元通过温度检测信号传输线接收相应的测得的温度信号。中央处理单元作出响应,而在控制信号传输线中将控制信号传输至载气流量控制阀5,以便关闭控制阀5并切断载气流到器皿的流量,并且还同时在控制信号传输线中传输控制信号,以便闭合气相反应物流量控制阀6,从而切断气相反应物从器皿中流出的流量。
通过在气相反应物分配操作的末期产生的截面减小的效果,则根据本发明的集液池凹穴内的液体体积的高度会增加,因此,液体反应物液位传感器和温度传感器就能够监控液体反应物液位和温度,以便更接近对液体的完全利用。
本发明的装置和方法因此在本领域中实现了较大的技术进步,在所提供的用于供给和分配气相反应物的系统中,它允许最初供应的液体反应物体积的95-98%在选择性地分配气相反应物的应用场合中得到利用。
相应地,在诸如制造半导体和超导体产品的操作中,可利用本发明的装置和方法将液体反应物的浪费降低到最初装载到分配器皿中的体积的2-5%。
因此,本发明的实施显著地改进了液体反应物供给和气相反应物分配系统、以及采用分配的气相反应物的工艺的经济性。本发明在某些情形下可允许液体反应物的较高成本效益的利用,这是现有技术实践的浪费程度特性不可能实现的实际内容。
作为本发明的另一好处是,在气相反应物分配操作的末期器皿中液体反应物总量的减少将允许切换时间(在此切换期间,将耗尽的供应器皿从处理系统中换出并更换成另一器皿,以用于进一步的加工工艺)相对于这种现有技术的实践而减少了,这是因为对来自供应器皿的最初装载的液体的利用得以增加,而导致供应器皿更长的运转时间的结果。
适用于本发明中的液体反应物前体优选是有机金属化合物前体。有机金属前体可包括贵金属,例如钌、铪、钽、钼、铂、金、钛、铅、钯、锆、铋、锶、钡、钙、锑和铊。优选的有机金属前体化合物包括含钌、含铪、含钽和/或含钼的有机金属前体化合物。
在本发明的一个实施例中,有机金属化合物可用在用于形成粉末、膜或涂层的气相沉积技术中。有机金属化合物可以作为单个源前体使用,或可与一种或多种其它前体一起使用,例如与通过加热至少一种其它有机金属化合物或金属络合物所产生的蒸气一起使用。
沉积可在有其它气相组分参与的情况下进行。在本发明的一个实施例中,膜沉积在有至少一种非反应性载气参与的情况下进行。非反应性气体的示例包括惰性气体,例如氮、氩、氦以及在工艺条件下不会与有机金属化合物前体反应的其它气体。在其它实施例中,薄膜沉积在有至少一种反应性气体参与的情况下进行。可以采用的其中一些反应性气体包括但不限于联氨、氧、氢、空气、富氧空气、臭氧(O3)、一氧化二氮(N2O)、水蒸气、有机物蒸气、氨和其它气体。如本领域所已知的那样,氧化性气体(例如空气、氧、富氧空气、O3、N2O或氧化有机物化合物的蒸气)的存在有利于金属氧化物膜的形成。
可执行本文中介绍的沉积方法,以便形成包括一种金属的膜、粉末或涂层,或形成包括一种金属氧化物的膜、粉末或涂层。也可以沉积混合的膜、粉末或涂层,例如混合的金属氧化物膜。可以形成混合的金属氧化物膜,例如通过使用若干种有机金属前体,其中至少一种有机金属前体选自以上所述的有机金属化合物。
可进行气相膜沉积,以便形成所需厚度的膜层,例如厚度在从约1nm到1mm以上的范围内。本文中介绍的前体尤其用于制造薄膜,例如具有在约10nm到约100nm范围内的厚度的膜。本发明的膜例如可以考虑用于制备金属电极,尤其是作为逻辑电路中的n-通道型金属电极、作为用于DRAM应用的电容器电极和作为电介质材料。
该沉积方法还适用于制备分层的膜,其中,这些层中的至少两层在相或成分上是不同的。分层的膜的示例包括金属-绝缘体-半导体和金属-绝缘体-金属。
有机金属化合物前体可用在化学气相沉积中,或者更具体而言,可用于本领域已知的金属有机物化学气相沉积工艺中。例如,以上所述的有机金属化合物前体可用在大气压化学气相沉积工艺以及低压化学气相沉积工艺中。所述的化合物可用在其中整个反应室被加热的热壁式化学气相沉积方法中,以及用在其中仅衬底被加热的冷壁型或暖壁型化学气相沉积工艺中。
以上所述的有机金属化合物前体还可用在等离子体或光辅助的化学气相沉积工艺中,其中,来自等离子体或电磁能的能量分别用来激活化学气相沉积前体。这些化合物还可用在离子束、电子束辅助的化学气相沉积工艺中,其中,离子束或电子束分别被引导到衬底上,以便提供用于分解化学气相沉积前体的能量。还可使用激光辅助的化学气相沉积工艺,其中激光被引导到衬底上,以便影响化学气相沉积前体的光分解反应。
该沉积方法可在各种化学气相沉积反应器内进行,例如本领域已知的热壁或冷壁式反应器、等离子体辅助的、射束辅助的或激光辅助的反应器。
可以使用沉积方法来施加涂层的衬底实例包括固体衬底,例如金属衬底,例如Al、Ni、Ti、Co、Pt、Ta;金属硅化物,例如TiSi2、CoSi2、NiSi2;半导体材料,例如Si、SiGe、GaAs、InP、钻石、GaN、SiC;绝缘体,例如SiO2、Si3N4、HfO2、Ta2O5、Al2O3、钛酸钡锶(BST);阻挡层材料,例如TiN、TaN;或者在包括材料组合的衬底上。另外,膜或涂层可以形成在玻璃、陶瓷、塑料、热固性聚合材料和其它涂层或膜层上。在一个优选的实施例中,膜沉积是在用于电子元件的制造或处理的衬底上。在其它实施例中,衬底用来支撑低电阻导体沉积物或光传输膜,该低电阻导体沉积物在高温下、在有氧化剂存在的情形下是稳定的。
可执行本发明的沉积方法,以便在具有光滑、平坦表面的衬底上沉积膜。在一个实施例中,执行本方法,以便在用于晶片制造或处理的衬底上沉积膜。例如,可执行本方法,以便在包括诸如沟槽、洞、或通路等特征的图案化衬底上沉积膜。此外,本沉积方法还可以与晶片制造或加工中的其它步骤(例如掩模、蚀刻和其它步骤)结合在一起。
化学气相沉积膜可以沉积成所需的厚度。例如,所形成的膜可以小于1微米厚,优选小于500纳米,并且更优选小于200纳米厚。也可以形成小于50纳米厚的膜,例如,可以形成具有约0.1纳米与约20纳米之间的厚度的膜。
以上介绍的有机金属化合物前体也可以用在本发明的方法中,以便通过原子层沉积或原子层形核工艺来形成膜,在此期间,衬底暴露在前体、氧化剂和惰性气流的交替脉冲下。例如,在美国专利No.6,287,965和6,342,277中,介绍了连续的层沉积技术。这两件专利的公开内容通过引用而整体地结合于本文中。
例如,在一个原子层沉积循环中,衬底逐步地暴露于:a)惰性气体;b)携带有前体蒸气的惰性气体;c)惰性气体;和d)单独的或与惰性气体一起存在的氧化剂。一般而言,每个步骤都可以如设备所允许的那样短(例如若干毫秒)并且如工艺所要求的那样长(例如达若干秒或分钟)。一个循环的持续时间可以短至若干毫秒,并且长至若干分钟。该循环可以几分钟到几小时的周期内而重复。所形成的膜可以为几个纳米那么薄,或者更厚,例如1毫米(mm)。
本发明的各种改进和变型对于本领域的技术人员而言将是显而易见的,并且可以理解,这些改进和变型都将包含在本申请的范围和权利要求的精神和范围内。
示例1
在环境温度下的固体:四(二甲胺基)铪(TDMAH)在大约29℃时熔化。合适的传输温度将在40℃和100℃之间。载气可为任何惰性气体,例如氦、氮或氩。气体的压力可在几托到几psi(磅/平方英寸)之间变化。
示例2
在环境温度下的液体:四(二乙胺基)铪(TDEAH)即使在其为液体时也具有较低的蒸气压力。合适的输送温度将在80℃和120℃之间。载气可为任何惰性气体,例如氦、氮或氩。气体的压力可在几托到几psi(磅/平方英寸)之间变化。

Claims (20)

1.一种气相反应物分配装置,包括:
圆柱形闭合器皿,所述器皿在其上端由可移动顶壁部件限界,并且在其下端由底壁部件限界,以便在其中限定内部体积;
所述底壁部件具有主底板面,所述主底板面在其中包含从所述主底板面向下延伸的集液池凹穴,所述集液池凹穴在其下端由次底板面限界,其中,所述集液池凹穴的至少一部分居中地定位在所述底壁部件上,而所述集液池凹穴的至少一部分非居中地定位在所述底壁部件上;
温度传感器,其从所述器皿外部的上端延伸穿过所述顶壁部件的居中定位部分并且大体上垂直地向下进入所述器皿的所述内部体积,而到达居中地定位在所述底壁部件上的所述集液池凹穴的那部分的下端,其中,所述温度传感器的下端设置成非干涉式地靠近所述集液池凹穴的所述次底板面;
液体反应物液位传感器,其从所述器皿外部的上端延伸穿过所述顶壁部件的非居中定位部分并且大体上垂直地向下进入所述器皿的所述内部体积,而到达非居中地定位在所述底壁部件上的所述集液池凹穴的那部分的下端,其中,所述液体反应物液位传感器的下端设置成非干涉式地靠近所述集液池凹穴的所述次底板面;以及
所述温度传感器可操作地设置在所述集液池凹穴内,以便测定所述器皿内液体反应物的温度,所述液体反应物液位传感器可操作地设置在所述集液池凹穴内,以便测定所述器皿内液体反应物的液位,所述温度传感器和液体反应物液位传感器在所述集液池凹穴内设置成非干涉式地相互靠近,并且所述温度传感器和液体反应物液位传感器在所述集液池凹穴内是以液体反应物形成流体连通的。
2.根据权利要求1所述的气相反应物分配装置,其特征在于,还包括:
具有载气供应入口的所述顶壁部件的非居中定位部分;
载气供应管线,其从所述载气供应入口向上延伸并且从所述顶壁部件向外延伸,用于输送载气进入所述器皿的所述内部体积,所述载气供应管线在其中包含载气流量控制阀,用于控制从中通过的所述载气的流量;
具有气相反应物输出口的所述顶壁部件的非居中定位部分;和
气相反应物排放管线,其从所述气相反应物输出口向上延伸并且从所述顶壁部件向外延伸,用于从所述器皿的所述内部体积中移除气相反应物,所述气相反应物排放管线在其中包含气相反应物流量控制阀,用于控制从中通过的所述气相反应物的流量。
3.根据权利要求1所述的气相反应物分配装置,其特征在于,还包括与气相输送沉积系统以气相反应物进行流体连通的气相反应物排放管线,所述沉积系统选自化学气相沉积系统和原子层沉积系统。
4.根据权利要求1所述的气相反应物分配装置,其特征在于,所述集液池凹穴包括所述底壁部件的面积的小部分。
5.根据权利要求1所述的气相反应物分配装置,其特征在于,所述集液池凹穴占据了所述底壁部件表面积的不到20%。
6.根据权利要求1所述的气相反应物分配装置,其特征在于,所述集液池凹穴在所述底壁部件表面的俯视平面图上具有哑铃形状。
7.根据权利要求1所述的气相反应物分配装置,其特征在于,所述集液池凹穴包括互相流体连通的两个横向隔开的井,其中,所述井中的一个井使所述温度传感器的下端设置在其中,而所述井中的另一个井使所述液体反应物液位传感器的下端设置在其中。
8.根据权利要求1所述的气相反应物分配装置,其特征在于,所述液体反应物液位传感器的下端充分靠近所述集液池凹穴的所述次底板面,以便在液体反应物包含在所述闭合器皿内时允许利用液体反应物的至少95%。
9.根据权利要求1所述的气相反应物分配装置,其特征在于,所述液体反应物液位传感器选自超声波传感器、光学传感器和浮子型传感器,而所述温度传感器包括热电偶套管和热电偶。
10.根据权利要求1所述的气相反应物分配装置,其特征在于,所述气相反应物包括用于选自钌、铪、钽、钼、铂、金、钛、铅、钯、锆、铋、锶、钡、钙、锑和铊的金属的前体。
11.根据权利要求7所述的气相反应物分配装置,其特征在于,所述液体反应物液位传感器井通过轭状通道与温度传感器并连接,从而限定了所述集液池凹穴的哑铃构形。
12.根据权利要求1所述的气相反应物分配装置,其特征在于,所述集液池凹穴至少部分地由倾斜的壁面限定。
13.根据权利要求2所述的气相反应物分配装置,其特征在于,还包括与所述载气供应管线相连的载气源。
14.根据权利要求13所述的气相反应物分配装置,其特征在于,所述载气源选自高压气瓶、低温空气分离设备和压力摆动空气分离单元。
15.根据权利要求2所述的气相反应物分配装置,其特征在于,还包括:
选自化学气相沉积室和原子层沉积室的沉积室;
所述气相反应物排放管线将所述分配装置连接到所述沉积室上;
可加热的基座,其包含在所述沉积室内,并且设置成与所述气相反应物排放管线形成接受的关系;以及
连接到所述沉积室上的流出物排放管线;
使得气相反应物经过所述气相反应物排放管线并且进入所述沉积室中,用于与在所述可加热的基座上的衬底接触,并且任何残余的流出物通过所述流出物排放管线排出。
16.一种用于将气相反应物输送到沉积室中的方法,包括:
(a)提供根据权利要求2所述的气相反应物分配装置;
(b)将在环境温度下是液体或固体的反应物添加到所述气相反应物分配装置中;
(c)将所述气相反应物分配装置中的所述反应物加热到足以蒸发所述反应物的温度,以便提供气相反应物;
(d)供应载气到所述气相反应物分配装置内;
(e)从所述气相反应物分配装置中通过所述气相反应物排放管线抽出所述气相反应物和载气;以及
(f)将所述气相反应物和载气供应到所述沉积室中。
17.根据权利要求16所述的方法,其特征在于,还包括:
(g)使所述气相反应物与所述沉积室内的可加热的基座上的衬底接触;以及
(h)通过连接到所述沉积室上的流出物排放管线而排放任何残余的流出物。
18.根据权利要求16所述的方法,其特征在于,所述沉积室选自化学气相沉积室和原子层沉积室
19.根据权利要求17所述的方法,其特征在于,所述衬底由选自金属、金属硅化物、半导体、绝缘体和阻挡层材料的材料组成。
20.根据权利要求17所述的方法,其特征在于,所述衬底是图案化的晶片。
CN2005800484600A 2004-12-17 2005-12-08 分配装置及其使用方法 Expired - Fee Related CN101124605B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/013,434 US20060133955A1 (en) 2004-12-17 2004-12-17 Apparatus and method for delivering vapor phase reagent to a deposition chamber
US11/013,434 2004-12-17
PCT/US2005/044479 WO2006065627A2 (en) 2004-12-17 2005-12-08 Dispensing apparatus and method of use thereof

Publications (2)

Publication Number Publication Date
CN101124605A true CN101124605A (zh) 2008-02-13
CN101124605B CN101124605B (zh) 2011-09-14

Family

ID=36588390

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800484600A Expired - Fee Related CN101124605B (zh) 2004-12-17 2005-12-08 分配装置及其使用方法

Country Status (9)

Country Link
US (1) US20060133955A1 (zh)
EP (1) EP1839253A2 (zh)
JP (1) JP2008524443A (zh)
KR (2) KR20130018958A (zh)
CN (1) CN101124605B (zh)
IL (1) IL183971A0 (zh)
SG (1) SG161287A1 (zh)
TW (1) TWI408250B (zh)
WO (1) WO2006065627A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103397307A (zh) * 2010-02-04 2013-11-20 气体产品与化学公司 制备含硅膜的方法
CN106500798A (zh) * 2016-12-16 2017-03-15 宁波奥崎自动化仪表设备有限公司 一种通过导热块导热的分段加热式多点热电偶液位探测器
CN107008180A (zh) * 2017-06-09 2017-08-04 大唐环境产业集团股份有限公司 一种凹槽式箱体与顶进式搅拌器的组合装置
CN108495708A (zh) * 2016-01-25 2018-09-04 英斯迪罗有限公司 用于制备乳剂的方法
CN109545708A (zh) * 2017-09-22 2019-03-29 Asm Ip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US8137462B2 (en) * 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
US7753095B2 (en) * 2006-12-15 2010-07-13 Helicos Biosciences Corporation Storing and handling liquid reagents
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US20090214777A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US20090255466A1 (en) * 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
US20090258143A1 (en) * 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
US20120121464A1 (en) * 2009-01-29 2012-05-17 Hitachi High-Technologies Corporation Apparatus for pretreating biological samples, and mass spectrometer equipped with same
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10151618B2 (en) * 2014-01-24 2018-12-11 Versum Materials Us, Llc Ultrasonic liquid level sensing systems
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6301867B2 (ja) * 2015-03-31 2018-03-28 東芝メモリ株式会社 気化システム
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10481055B2 (en) * 2016-08-26 2019-11-19 Simple Origin, Inc. System and method for refilling cryogen in microscope cryogen holders
CN109314057B (zh) * 2016-09-21 2023-08-25 株式会社国际电气 基板处理装置、液体原料补充系统、半导体装置的制造方法、存储介质
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR20200020608A (ko) 2018-08-16 2020-02-26 에이에스엠 아이피 홀딩 비.브이. 고체 소스 승화기
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) * 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) * 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3266311A (en) * 1964-05-12 1966-08-16 Delavan Mfg Company Inc Cryogenic liquid level sensing apparatus
US3329447A (en) * 1965-01-18 1967-07-04 Gifford L Hitz Self-energizing seal for high pressure flanged connections
DE2219870C2 (de) * 1972-04-22 1974-05-02 Troisdorfer Bau- Und Kunststoff Gmbh, 5210 Troisdorf Behälter, insbesondere Kunststoffoder Stahlbehälter
US3826139A (en) * 1973-03-19 1974-07-30 Laval Turbine Liquid level indicating apparatus
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4676404A (en) * 1983-10-17 1987-06-30 Nippon Zeon Co., Ltd. Method and apparatus for feeding drug liquid from hermetic returnable can
US5102010A (en) * 1988-02-16 1992-04-07 Now Technologies, Inc. Container and dispensing system for liquid chemicals
US4899585A (en) * 1988-07-19 1990-02-13 Semi-Gas Systems, Inc. Liquid level detector and method for a vapor deposition container
DE69218152T2 (de) * 1991-12-26 1997-08-28 Canon Kk Herstellungsverfahren einer niedergeschlagenen Schicht mittels CVD, unter Verwendung von flüssigem Rohstoff und dazu geeignete Vorrichtung
JPH06291040A (ja) * 1992-03-03 1994-10-18 Rintetsuku:Kk 液体気化供給方法と液体気化供給器
JP2896268B2 (ja) * 1992-05-22 1999-05-31 三菱電機株式会社 半導体基板の表面処理装置及びその制御方法
JPH0610144A (ja) * 1992-06-29 1994-01-18 Matsushita Electric Ind Co Ltd 低蒸気圧材料供給装置
US5526956A (en) * 1992-09-11 1996-06-18 Now Technologies, Inc. Liquid chemical dispensing and recirculating system
US5335821A (en) * 1992-09-11 1994-08-09 Now Technologies, Inc. Liquid chemical container and dispensing system
US6029717A (en) * 1993-04-28 2000-02-29 Advanced Delivery & Chemical Systems, Ltd. High aspect ratio containers for ultrahigh purity chemicals
US5366119A (en) * 1993-05-26 1994-11-22 Kline James B Dispenser bottle with internal pump
US5388574A (en) * 1993-07-29 1995-02-14 Ingebrethsen; Bradley J. Aerosol delivery article
JPH07211646A (ja) * 1994-01-14 1995-08-11 Mitsubishi Electric Corp 材料供給装置
US5366120A (en) * 1994-04-19 1994-11-22 Tonis Tollasepp Paint pump
US5749500A (en) * 1996-04-23 1998-05-12 Kraus; Joey Liquid retrieving adaptor for cylindrical containers
EP0953064B1 (en) * 1996-12-17 2007-09-12 Advanced Technology Materials, Inc. Reagent supply vessel for chemical vapor deposition
TW432120B (en) * 1998-06-13 2001-05-01 Applied Materials Inc Controlled addition of water during chemical vapor deposition of copper to improve adhesion
US6245151B1 (en) * 1998-07-17 2001-06-12 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
US6257446B1 (en) * 1999-02-18 2001-07-10 Advanced Micro Devices, Inc. Liquid chemical container with integrated fluid reservoir
KR100389913B1 (ko) * 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6682636B2 (en) * 2000-08-18 2004-01-27 Honeywell International Inc. Physical vapor deposition targets and methods of formation
JP2002162285A (ja) * 2000-10-31 2002-06-07 Applied Materials Inc 液体収容装置および液面検知方法
US6609632B2 (en) * 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6736154B2 (en) * 2001-01-26 2004-05-18 American Air Liquide, Inc. Pressure vessel systems and methods for dispensing liquid chemical compositions
US6578634B2 (en) * 2001-09-05 2003-06-17 Key Energy Services, Inc. Method of monitoring pumping operations of a service vehicle at a well site
DE10200786B4 (de) * 2002-01-11 2004-11-11 Dockweiler Ag Sicherheitsbehälter
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
TW589396B (en) * 2003-01-07 2004-06-01 Arima Optoelectronics Corp Chemical vapor deposition reactor
JP4556205B2 (ja) * 2003-03-28 2010-10-06 ニチアス株式会社 金属ガスケット

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103397307A (zh) * 2010-02-04 2013-11-20 气体产品与化学公司 制备含硅膜的方法
US9502234B2 (en) 2010-02-04 2016-11-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
CN103397307B (zh) * 2010-02-04 2017-07-07 弗萨姆材料美国有限责任公司 制备含硅膜的方法
CN108495708A (zh) * 2016-01-25 2018-09-04 英斯迪罗有限公司 用于制备乳剂的方法
CN108495708B (zh) * 2016-01-25 2021-07-30 英斯迪罗有限公司 用于制备乳剂的方法
CN106500798A (zh) * 2016-12-16 2017-03-15 宁波奥崎自动化仪表设备有限公司 一种通过导热块导热的分段加热式多点热电偶液位探测器
CN106500798B (zh) * 2016-12-16 2024-01-05 宁波奥崎自动化仪表设备有限公司 一种通过导热块导热的分段加热式多点热电偶液位探测器
CN107008180A (zh) * 2017-06-09 2017-08-04 大唐环境产业集团股份有限公司 一种凹槽式箱体与顶进式搅拌器的组合装置
CN109545708A (zh) * 2017-09-22 2019-03-29 Asm Ip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法
CN109545708B (zh) * 2017-09-22 2024-04-05 Asmip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法

Also Published As

Publication number Publication date
EP1839253A2 (en) 2007-10-03
TWI408250B (zh) 2013-09-11
SG161287A1 (en) 2010-05-27
JP2008524443A (ja) 2008-07-10
KR20070097038A (ko) 2007-10-02
TW200624596A (en) 2006-07-16
IL183971A0 (en) 2007-10-31
CN101124605B (zh) 2011-09-14
WO2006065627A3 (en) 2006-10-26
KR20130018958A (ko) 2013-02-25
WO2006065627A2 (en) 2006-06-22
US20060133955A1 (en) 2006-06-22

Similar Documents

Publication Publication Date Title
CN101124605B (zh) 分配装置及其使用方法
CN102272351B (zh) 试剂分配装置及输送方法
US8518483B2 (en) Diptube apparatus and method for delivering vapor phase reagent to a deposition chamber
EP0953064B1 (en) Reagent supply vessel for chemical vapor deposition
CN101608734B (zh) 试剂分配装置及输送方法
CN101514446A (zh) 多安瓿输送系统
CN101569841B (zh) 试剂分配装置及输送方法
KR20090108556A (ko) 반응물 분배 장치 및 송출 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110914

Termination date: 20191208