JP2008524443A - 分配装置及び該装置の使用方法 - Google Patents

分配装置及び該装置の使用方法 Download PDF

Info

Publication number
JP2008524443A
JP2008524443A JP2007546764A JP2007546764A JP2008524443A JP 2008524443 A JP2008524443 A JP 2008524443A JP 2007546764 A JP2007546764 A JP 2007546764A JP 2007546764 A JP2007546764 A JP 2007546764A JP 2008524443 A JP2008524443 A JP 2008524443A
Authority
JP
Japan
Prior art keywords
gas phase
phase reagent
container
reagent
tank
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007546764A
Other languages
English (en)
Inventor
ピータース、デーヴィッド、ウォルター
Original Assignee
プラクスエア・テクノロジー・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by プラクスエア・テクノロジー・インコーポレイテッド filed Critical プラクスエア・テクノロジー・インコーポレイテッド
Publication of JP2008524443A publication Critical patent/JP2008524443A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Clinical Laboratory Science (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Sampling And Sample Adjustment (AREA)

Abstract

本発明は、内壁及び床面によって画定される床と内部容量とを含む円筒形の容器を備える気相試薬分配装置に関する。容器4は、容器の内部容積内の液体試薬レベルを検出する液体試薬レベルセンサ2と、容器の内部容積内の液体試薬の温度を検出する温度センサ1及び11とを備える。容器の床は、床の表面から下向きに延在する空腔3を有し、液体試薬レベルセンサ2の下端と温度センサ1及び11とがその空腔の中に配置される。この分配装置は、半導体材料及び装置の製造において、物質の堆積のための前駆体などの試薬を分配するために用いられてよい。

Description

本発明は、半導体材料及び装置の製造において、材料の堆積のための前駆体などの気相試薬の分配に用いられてよい気相試薬分配装置に関する。分配装置は、装置の内部容積内の液体試薬レベルを検出する液体試薬レベルセンサと、装置の内部容積内の液体試薬の温度を検出する温度センサとを有する。装置の床は、床の表面から下向きに延在する空腔を有し、液体試薬レベルセンサの下端と温度センサとがその空腔の中に配置される。
最近の化学蒸着法及び原子層堆積法の装置は、前駆体化学物質を成膜室に送るバブラー又はアンプルを使用する。このアンプルは、キャリヤーガスを液体前駆体化学物質のコンテナを介して通し、前駆体の蒸気をこのガスと共に送ることによって機能する。ほとんどの場合では、前駆体の蒸気圧力を上げ、それによってキャリヤーガス内の化学物質の量を増すために、何らかの手段によってアンプルを加熱することが必要である。蒸気の圧力を制御するために、アンプルの内部の液体前駆体化学物質の温度をモニタすることが重要である。
化学蒸着法又は原子層堆積法のサイクルの終わりに交換できるように、アンプル内の液体前駆体化学物質がいつ空に近くなるのかを知ることが重要である。サイクルの途中でアンプルが空になると、そのバッチのウエハがすべて台無しになり、その結果、数百万ドルの損失をする可能性がある。したがって、貴重な液体前駆体化学物質を無駄にしないために、アンプルの内部にできるだけ少ない液体前駆体化学物質を残すことが望まれる。化学物質前駆体のコストが高くなるにつれ、化学物質の無駄をできるだけ少なくすることがさらに重要になる。
ほとんどの液体レベルセンサは、数10分の1インチ以上のデッド・スペースを有し、そのため、センサが起動したときにアンプルの中に残る化学物質が多くなりすぎる(最大15%以上)。レベルセンサが起動したときに残っている液体前駆体化学物質の量を最少にするためには、アンプルの構成を改善する必要がある。半導体製造工程は、典型的に、気化した原材料からウエハ基板上への成分物質の堆積に関して、バッチ・プロセスのやり方で行うため、供給容器からの未使用の試薬は、半導体製造設備から出る総体的な廃棄物の一部となる。
液体前駆体化学物質が高価で貴重な場合、液体前駆体化学物質のそのような無駄は、工程の経済的な側面に悪影響を及ぼし、また、廃液の処分及びそれによる環境への影響の点において、大きな負担となる。
米国特許第6,077,356号は、ガス加圧容器からの浸液チューブ放出管から液体が分配され、その容器の中に下向きに延在し、容器の床にはほんのわずかに足りないところで終わるセンサによって、液体のレベルが検出されてよいタイプの閉鎖容器式の液体試薬分配アセンブリを開示している。容器の床は、浸液チューブ液体放出管と液体レベルセンサとがその中に配設される槽状の空腔を有する。容器からの液体試薬は気化器に移され、気化されて原料となる蒸気を形成し、それが化学蒸着室へ流される。
当技術分野においては、気相試薬分配装置において液体前駆体化学物質の使用を増す気相試薬分配装置及び方法をもたらし、それによって液体前駆体化学物質の無駄を減らし、化学蒸着室と流体連通している従来技術の液体試薬分配容器によって要求される追加のステップ及びハードウエア(たとえば気化のステップ及び気化器)の必要を排除することが望まれるであろう。
本発明は、気相試薬分配装置に関し、
取り外し可能な頂壁部材によって上端を限られ、底壁部材によって下端を限られて、その中に内部容積を画定する円筒形の閉鎖容器であって、
上記底壁部材が、主床面から下向きに底壁部材の中に延在する槽状の空腔を含む主床面を有し、該槽状の空腔が、その下端を副床面によって限られ、該槽状の空腔の少なくとも一部が底壁部材の中央に配置され、該槽状の空腔の少なくとも一部が底壁部材の中央以外に配置された閉鎖容器と、
頂壁部材の中央に配置された部分を介して容器の上端外部から、概ね垂直下向きに容器の内部容積の中へ、底壁部材の中央に配置された槽状の空腔の部分の下端まで延在し、槽状の空腔の副床面に干渉しない近傍にその下端が配置された温度センサと、
容器の上端外部から、頂壁部材の中央以外に配置された部分を介して、概ね垂直下向きに容器の内部容積の中へ、底壁部材の中央以外に配置された槽状の空腔の部分の下端まで延在し、槽状の空腔の副床面に干渉しない近傍にその下端が配置された液体試薬レベルセンサとを備え、
上記温度センサが、容器内の液体試薬の温度を判定するために槽状の空腔の中に作動的に配置され、上記液体試薬レベルセンサが、容器内の液体試薬のレベルを判定するために槽状の空腔の中に作動的に配置され、上記温度センサと液体試薬レベルセンサとが、槽状の空腔の中で互いに干渉しない近傍に配置され、上記温度センサと液体試薬レベルセンサとが、槽状の空腔の中で液体試薬によって流体連通された気相試薬分配装置に関する。
アンプル又は容器の内部形状は、液体試薬レベルセンサ及び温度センサがその中に突出する小さい穴又は槽状の空腔を有する。この槽状の空腔の断面積は、容器又はアンプルの本体の断面積より大幅に小さく、それは、液体試薬レベルセンサがトリップしたときの残りの容積が、アンプルの本体に残っているであろう容積より大幅に少ないことを意味する。これは、超音波又は光学レベルセンサなどの他のレベルセンサに固有のデッド・スペースを効果的に除去する。
従来技術の閉鎖容器の液体試薬分配アセンブリとは対照的に、本発明の気相試薬分配装置は、容器から液体を放出するための浸液チューブ液体放出管を必要としない。さらに、従来技術は、液体を送る状況における穴を開示するが、一方、本発明は、気相試薬を送るように構成される。また、本発明は、液体試薬レベルセンサと温度センサとを1つの槽状の空腔内で連結し、それによって、容器の操作が本質的により安全となる。
上記のように、槽状の空腔が温度センサ(たとえばサーモウエル及び熱電対)を含むように延在されており、それによって、液体試薬レベルセンサと温度センサとが、両方同じレベルとなる。このようにして、液体試薬レベルセンサが濡れている限り、温度センサが濡れている。これは、重要な安全上の配慮である。液体試薬レベルセンサが化学物質の存在を指示しているときに温度センサが乾いていると、アンプルを危険な温度まで加熱してしまうことになりかねない。本発明のアンプル構成によって、アンプルの交換が必要なことをレベルセンサが指示した後も、温度センサが確実にまだ濡れているようになる。
典型的にステンレス鋼のコンテナであるこのアンプルは、室温で固体又は液体である化学物質の90%から99%を送る。アンプルは、化学物質を蒸気の形態で送るために加熱され、その床にある槽状の空腔と、コンテナを充填する手段と、気体/液体界面上の上部空き高で化学物質の蒸気と混合するためのガスを導入する手段と、結果として生じるガスと蒸気の混合物を回収する手段と、温度及び液体試薬レベルの測定のための手段と、アンプルをその環境から隔離する手段とを備える。この容器又はアンプルの特徴は、本体の寸法よりも断面積が大幅に小さい槽状の空腔が、温度センサと液体試薬レベルセンサとを両方配置し、その寸法が、温度センサと液体レベルセンサとが常に液体又は液化された化学物質の中に沈められ、温度センサと液体試薬レベルセンサとがコンテナの壁から離れて、容器のより中央寄りに配置されるようになっていることである。温度センサは、容器の中の中央に配置され、液体試薬レベルセンサは、容器の中の中央以外に配置される。
本発明は、また、
キャリヤーガス供給入口開口部を有する、頂壁部材の中央以外に配置された部分と、
キャリヤーガスを容器の内部容積の中へ送るために、キャリヤーガス供給入口開口部から上向きに、頂壁部材から外向きに延在するキャリヤーガス供給管であって、その中を通るキャリヤーガスの流れを制御するためのキャリヤーガス流れ制御弁をその中に含むキャリヤーガス供給管と、
気相試薬出口開口部を有する、頂壁部材の中央以外に配置された部分と、
気相試薬出口開口部から上向きに、容器の内部容積からの気相試薬の除去のために頂壁部材から外向きに延在する気相試薬放出管であって、その中を通る気相試薬の流れを制御するための気相試薬流れ制御弁をその中に含む気相試薬放出管とをさらに備える、上述の気相試薬分配装置に関する。
本発明は、気相試薬が、気相試薬放出管を介して、加熱可能サセプタ上の基板と接触するように成膜室へ流れ、残ったすべての排出物が排出物放出管を介して排出されるように、
化学蒸着室及び原子層堆積室から選択される成膜室と、
装置を成膜室へ連結する気相試薬放出管と、
成膜室の中に収容され、気相試薬放出管を受けるように配置される加熱可能サセプタと、
成膜室に連結された排出物放出管とをさらに備える上述の気相試薬分配装置にさらに関する。
本発明は、気相試薬を成膜室へ送る方法にさらに関し、本方法は、
(a)上述のような気相試薬分配装置を用意するステップと、
(b)環境温度で液体又は固体である試薬を、前記気相試薬分配装置に加えるステップと、
(c)前記気相試薬分配装置内の試薬を、試薬を気化させて気相試薬をもたらすのに十分である温度まで加熱するステップと、
(d)キャリヤーガスを前記気相試薬分配装置の中へ供給するステップと、
(e)気相試薬及びキャリヤーガスを、気相試薬放出管を介して前記気相試薬分配装置から除去するステップと、
(f)気相試薬及びキャリヤーガスを前記成膜室へ供給するステップとを含む。
本発明の気相試薬分配装置は、たとえば、供給容器からの気相試薬が、原料蒸気から物質層を化学蒸着室内の基板上へ堆積させるために化学蒸着室へ通される化学蒸着システムを含む、多様な加工システムに使用可能である。
本発明は、
(g)気相試薬を、成膜室内で、加熱可能サセプタ上の基板に接触させるステップと、
(h)残ったすべての排出物を、成膜室に連結された排出物放出管を介して排出するステップとを含む、気相試薬を成膜室へ送る上述の方法にさらに関する。
本発明は、液体試薬レベルセンサが内容物の終了の信号を出したときに、最小限の量の半導体前駆体化学物質がアンプル又はバブラーの中に残っているようにすることができる。これは、半導体前駆体の複合度及びコストが大きくなるにつれて、非常に重要となる。コストを最小限にするために、半導体製造業者は、前駆体の無駄をできるだけ少なくすることを欲するであろう。さらに、本発明は、温度センサを液体試薬レベルセンサと同じ窪んだ槽状の空腔に配置する。これによって、液体試薬レベルセンサが前駆体の存在を指示する限りは、液体半導体前駆体の真の温度が確実に読み取れるようになる。これは、安全上の観点から重要である。温度センサが液体半導体前駆体の外側にあると、温度センサは、間違った低い温度信号を加熱装置に送ることになるであろう。これによって、アンプルに過剰な温度を加えることにつながりかねず、それによって、危険な状況、及び半導体前駆体の分解を引き起こすことがある。
本発明は、アンプルの交換までの無駄を非常に少なくしながら、半導体製造業者が最大量の前駆体を使用できるようにする。これによって、無駄を最小にし、半導体前駆体の投資に対する収益率を最大にする。
本発明の他の態様、特徴、及び実施例は、以下の開示及び添付の特許請求の範囲からさらに完全に明らかとなろう。
容器またアンプルは、典型的に、前駆体化学物質の汚染を防ぐために、316Lステンレス鋼から機械加工され、電解研磨される。カバーは、清掃及び再使用を容易にするために取り外し可能である。温度センサは、均一な熱伝導を確保するためにアンプルの中央にある。弁及びレベルセンサは、汚染のない、漏れ止め封止を確保するために端面シールを介して取り付けられる。アンプルは、クリーンルームで組み立てられた後、吸収された水分を除去するために状態調整され、ヘリウム漏出検出器によって漏れが調べられる。アンプルは、数torrから環境圧力より少し上までの圧力で用いられるように構成されている。
図1を参照して、溝(3)がステンレス鋼のアンプル(4)の底部に機械加工されて、液体試薬レベルセンサ(2)が検出するために必要な原料の量を最小限にする槽状の空腔をなす。またこの溝が、液体試薬レベルセンサ及び温度センサ(1)を同じ槽状の空腔に配置することで、両方の検出器が常に濡れているようになる。図1では、アンプルの床が中央の点に向かって3度の斜面を有しており、それによって、残った材料がすべて溝の中に集中して、化学物質の無駄をさらに少なくする。
一実施例では、槽状の空腔は、容器の床部材に2つ穴の構造として構成され、一方の穴が温度センサの下端を含み、他方の穴が液体試薬レベルセンサ要素の下端を含む。
槽状の空腔は、容器の断面床面積の、たとえば20%以下という少ない部分を占め、容器の床部材を機械加工、フライス削り、中ぐり加工、又は外形加工によって容易に作製されることが適当である。
図1に示したサーモウエルは、多様な熱電対を収容するために、9.525mm(0.375インチ)の管からできていてよい。熱電対への正確な熱伝導を確保するために、少量の熱伝導油がサーモウエルの中に入れられる。化学蒸着法で通常用いられる温度のタイプでは、Kタイプの熱電対が最も普通に用いられる。
溝の寸法は、液体試薬レベルセンサが液体を検出するのに十分である深さに、液体試薬レベルセンサと溝の底との間に隙間ができるようにわずかな量を加えた深さであるべきである。溝の側面がセンサと干渉しないように、温度センサ及び液体試薬レベルセンサそれ自体の回りにも隙間があるべきである。ほとんどのセンサでは、約3.175mm(0.125インチ)の隙間があれば十分である。
図1では、液体試薬レベルセンサは、超音波タイプのセンサである。このセンサはわずか7.62mm(0.3インチ)のデッド・スペースを有する。また、超音波センサは、わずか12.7mm(0.5インチ)の直径を有し、それによって、溝の直径が最小となる。これらの数字を用いて、1リットルのアンプルと仮定すると、わずか1%が残っているときにレベルセンサが材料終了の信号を出すように、アンプルが構成可能である。
この場合では機械加工を容易にするための溝が特定されてきたが、別の構成の空腔を用いてもよい。図2A及び図2Bに示すように、2つ以上の交わる円状の窪みが、槽状の空腔の役目をしてもよい。代替として、図2Cに示すように、2つ以上の円状の窪みが結合した溝によって連結されて、槽状の空腔の役目をしてもよい。これらの構成によって、断面積が最小となり、したがって、材料の無駄を最も少なくすることができる。
好ましい実施例では、本発明の気相試薬分配装置の槽状の空腔が、底壁部材面の平面図において亜鈴型を有していてよい。槽状の空腔は、また、互いに液体流体連通した2つの横方向に離間した穴を備え、一方の穴がその中に配設された温度センサの下端を有し、他方の穴がその中に配設された液体試薬レベルセンサの下端を有していてよい。さらに、液体試薬レベルセンサ用の穴は、連結通路によって温度センサ用の穴に連結していてよく、それによって、亜鈴形の形状の槽状の空腔を画定してよい。
室温で固体又は液体である化学物質の90%から99%を送る方法は、容器又はコンテナの側壁並びに底部から熱を与えることによって、その融点を超える温度まで、好ましくは化学蒸着法又は原子層堆積法での使用に適切な温度まで、容器の中の化学物質を加熱するステップと、コンテナの底部の槽状の空腔の温度及び液体レベルの両方を継続的にモニタするステップと、液体試薬温度を、通常の沸点、コンテナの圧力での沸点、及び液体試薬の分解温度のうちの最も低い温度よりも低い温度に制御するように加熱を調整するステップと、気体/液体界面の上にある蒸気と混合するために、不活性ガスをコンテナの中に通すステップと、化学蒸着法又は原子層堆積法の工程に送るために、気体と蒸気の混合物を回収するステップとを含む。
アンプルは、2つの弁(5及び6)に連結することによって、化学蒸着又は原子層堆積装置に取り付けられる。2つの弁(5及び6)は、運搬の際に用いられる遮蔽弁である。装置に取り付けられた後、弁が開かれ、熱電対(11)がサーモウエル(1)の中に置かれ、熱電対を覆うのに十分な熱伝導液がサーモウエルに加えられる。アンプルは、加熱用マントル、ブロック、又は浴(9)の内部におかれ、送り温度まで上げられる。サーモウエルの中の熱電対を使用して、半導体前駆体の温度がモニタされる。キャリヤーガスが、入力部(7)を介して導入され、液体/気体界面(12)の上の上部空き高を介して通り、それによってキャリヤーガスを半導体前駆体で飽和状態にさせる。前駆体で飽和状態になったガスは、出口ポート(8)を介してアンプルを出て、成膜装置へと運ばれる。液体のレベルが、レベルセンサ(2)の超音波変換器より下になると、それによって警告信号が送られる。この信号は、音声、視覚、又は論理的な信号であってよい。論理信号では、液体試薬レベルセンサが成膜装置と直接通信できるようになる。
成膜工程では、前駆体の蒸気の圧力を増し、それによってキャリヤーガス内の化学物質の量を増すために、何らかの手段によって容器又はアンプルを加熱することが通常必要である。蒸気圧力を制御するために、アンプル内部の液体前駆体化学物質の温度をモニタすることが重要である。半導体前駆体の温度をこのようにモニタすることは、サーモウエルの中の熱電対によって達成可能である。半導体前駆体が消費されるにつれ、半導体前駆体を目標温度に保つのに要する加熱が少なくなる。アンプルの加熱源は、熱電対によってモニタされる必要があり、加熱用ブロック、マントル、又は浴の温度が、それに従って調節される。
レベルセンサが化学物質の終了を指示したときに、サーモウエルが液体半導体前駆体の中にまだ浸かっているように、サーモウエルは槽状の空腔の床から離れている必要がある。これを確実にする1つの方法は、レベルセンサとサーモウエルとをカバーから同じ距離だけ下へ突出させることである。この構成は、サーモウエルが常に確実に濡れるように、レベル検出装置上のデッド・スペースを利用する。これは、安全上の配慮として重要であるだけでなく、前駆体の温度が分解温度を超えないことも確実になる。
上述のシステムは、液体試薬レベルセンサ及び温度センサの両方を備えた容器又はアンプルのためのものである。レベルセンサと熱電対とを1つのプローブに組み合わせることも可能である。その場合、単一の円形窪みが、唯一必要な槽状の空腔となろう。また、アンプルを加熱する必要のないこともあり得、それによって温度センサの必要がなくなる。そのような場合、単一の円形窪みが、唯一必要な槽状の空腔となろう。
現存するアンプルを改造するために、槽状の空腔を作る堅固な挿入物が考案されてもよい。この挿入物は、運搬の際に挿入物が動くのを防止するために溶接又は何らかの他の方法でアンプルに永久に取り付けられなければならず、溝がレベルセンサ及び温度センサと確実に一列になるようにする。
図1に示すシステムは、超音波レベルセンサを備えた使用のためのものである。光学レベルセンサが使用されることもできるが、より深い穴が必要となろう。磁気フロート式のセンサも使用可能であるが、磁気フロートの直径を収容するために、より大きい直径の槽状の空腔を必要とするであろう。
1つのみの検出点を有する終点式液体試薬レベルセンサのみを述べてきたが、複数点式又は連続式液体試薬レベルセンサを用い、使用中に半導体前駆体の消費をモニタすることも可能である。本発明の利益を得るためには、検出の最終点が、確実に穴の内側にあるようにすることが必要である。
図1に示したシステムは、サーモウエル(1)及び熱電対(11)を備えた使用のためのものである。本発明の実施において、他のタイプの温度検出装置が使用可能であり、実施において多様にわたってよいことが理解されよう。
図1に示したシステムは、液体試薬レベルセンサ及び温度センサの両方を備えたアンプルのためのものである。この結果として、溝は2つの管状プローブを扱うように構成されている。このシステムは、また、キャリヤーガス供給入口開口部に取り付けられた管と共に使用されてもよく、したがってアンプルをバブラーに変えてもよい。気泡の通路の長さを最長にするために、槽状の空腔の中へ下向きに延在する入口管を有することが望ましいであろう。これによって、バブラーの中の溶解した化学物質の量が最大になり、バブラーがより効率的になる。バブラー管が加えられた場合、槽状の空腔に第3の空腔が加えられる必要があるか、又は溝が延長される必要があろう。
容器又はアンプルは側壁部材を含み、側壁部材は、たとえば円筒形の壁、又はたとえば四角形又は他の非円状の断面の、取り囲む側壁構造を共に形成する壁部分と、頂壁部材と、底壁部材又は床部材とを含む。側壁と、頂壁と、底壁又は床部材とが、容器の囲まれた内部容積を画定し、この内部容積は、作動時には、気体/液体界面(12)に液面を画定する液体の上に存在する気体空間を含んでよい。
本発明に従って、床部材は主床面を有し、その中に槽状の空腔を備える。槽状の空腔は、その空腔を取り囲む側壁面を有して、主床面から副床面の中へ下向きに延在する。
容器(4)は、キャリヤーガス導入手段を備え、この手段は、容器の内部容積に入るキャリヤーガスの流れを制御するようにキャリヤーガス入力部(7)に連結されたキャリヤーガス流れ制御弁(5)を有するキャリヤーガス入力部(7)を備える。キャリヤーガス供給入口(7)は、カップリングによってキャリヤーガス供給ユニット(図示せず)からの供給管に連結され、それによって、供給ユニットからのキャリヤーガスが供給管を介してキャリヤーガス供給入口(7)へと流れ、容器の内部へ放出される。ガス供給ユニットは、キャリヤーガス(たとえば窒素、アルゴン、ヘリウムなど)を供給管へ供給する任意の適切なタイプのもの、たとえば、高圧ガスシリンダ、深冷空気分離設備、又は圧力変動空気分離装置であってよい。
気相試薬放出管(8)が、容器の内部容積から放出される気相又は蒸気の試薬を受け取り、それを化学蒸着室(図示せず)へ流す。化学蒸着室では、ウエハ(たとえばパターン付きウエハ)又は他の基板要素が、気相試薬放出管(8)から化学蒸着室に導入された原料蒸気を受けるように、加熱可能サセプタ又は他の装着構造物に装着される。
ウエハの上に原料蒸気の所期の構成要素が堆積するように蒸気がウエハに接触されて、ウエハの上に、結果としての物質層又は堆積物を形成する。化学蒸着からの排出ガスは、蒸着室から排出物放出管に排出され、再生、回収、廃棄物処理、処分、又は他の処理手段(図示せず)へと通されてよい。
容器又はアンプルに再び言及すると、容器は液体試薬レベルセンサ(2)を備え、液体試薬が容器の中に収容されている場合、少なくとも95%の液体試薬を使用できるように、このセンサは、容器の上部分外側から下向きに、容器の頂壁部材の中央以外に配置された部分を介して、底床部材の中央でない場所に配置された、容器の槽状の空腔(3)の副床面近くの下端まで延在する。液体試薬レベルセンサ(2)の上部は、システムの作動中に、検出された液体試薬レベルの信号を液体試薬レベルセンサから中央処理装置へ伝達するように、液体試薬レベル検出信号伝達線によって中央処理装置に接続される。
同様に、容器は温度センサ(すなわちサーモウエル(1)及び熱電対(11))を備え、このセンサは、容器の上部分外側から下向きに、容器の頂壁部材の中央に配置された部分を介して、底床部材の中央に配置された、容器の槽状の空腔(3)の副床面近くの下端まで延在する。温度センサ(11)の上部は、システムの作動中に、検出された温度信号を温度センサから中央処理装置へ伝達するように、温度検出信号伝達線によって中央処理装置に接続されてよい。
適切なマイクロプロセッサ、コンピュータ又は他の適切な制御手段を備えてよい中央処理装置は、弁(5)を選択的に調節し、容器へのキャリヤーガスの流れを制御するように、制御信号伝達線によって、(たとえば適切な弁作動要素(図示せず)を介して)弁(5)へ連結されてもよい。中央処理装置は、弁(6)を選択的に調節し、容器からの気相試薬の放出を制御するように、制御信号伝達線によって、(たとえば適切な弁作動要素(図示せず)を介して)弁(6)へ連結されてもよい。
槽状の空腔は、好ましくは、容器の断面床面積の小さい部分を占めてよい。通常、槽状の空腔の平面図での断面積は、好ましくは、容器の床の合計断面積の約25%より小さく、より好ましくは、容器の床の合計断面積の約15%より小さい。たとえば、槽状の空腔の断面積は、容器(床面積)の合計断面積の約5から約20%の範囲であってよい。槽状の空腔の側壁は、傾斜しているか、まっすぐであるか、又は任意の他の外形又は配置であってよい。
本発明の実施における槽状の空腔の形状、外形及び寸法を含む構造は、実施において多様にわたってよいことが理解されよう。
たとえば、槽状の空腔は、温度センサ及び液体試薬レベルセンサのそれぞれの下端部分のために、別々に分離し相互接続した穴を含んでよい。これらの穴は、供給容器の床部材を介して延在し、それぞれの端部が穴の副床面の近傍で穴と連通する通路によって、互いに連通していなければならない。そのような相互連結した通路は、たとえば、概ね水平に延在する通路であってよく、又は、たとえば、容器の床部材のそれぞれの穴の間のU形状又はマノメータ形の通路を含んでよく、又は穴又は槽状の空腔の構成部分を連通させる目的のための任意の他の適切な形状又は構成を有してよい。
槽状の空腔は、液体試薬供給容器の床部材に、鋳造、成形、エッチング、機械加工(穴開け、フライス削り、電気アーク機械加工など)、又は任意の他の方法を含む任意の適切な製造方法によって、容器又はアンプルの内部容積の下部分に小さい断面積の液体保持容積を与える、床部材の中の空腔構造をなすように形成されてよく、それによって、垂直範囲全体にわたって一定の断面積の内部容積の場合よりも、所与の容積の液体の高さがより高くなる。
システムの図示された作動においては、液体試薬が容器(4)の中に置かれて加熱され、キャリヤーガスがキャリヤーガス供給ユニットからキャリヤーガス供給管を介してガス供給入口(7)に流され、そこから容器の内部容積の中に放出される。前駆体の蒸気圧力を増し、それによってキャリヤーガスの中の化学物質の量を増すために、何らかの手段によって容器を加熱することが必要である。結果として生じる蒸気及びキャリヤーガスは、容器から気相試薬放出管を介して放出され、所期の物質層の堆積又は基板上への堆積のために化学蒸着室へと流される。排出された蒸気及びキャリヤーガスは、蒸着室から排出物放出管の中へ排出される。
この作業の間、容器(4)内の液体の液体試薬レベルが、液体試薬レベルセンサ(2)によって検出される。化学蒸着法又は原子層堆積法のサイクルの終わりに容器を交換することができるように、容器の内部の液体前駆体化学物質がいつ空に近くなるかを知ることが重要である。液体試薬レベルは次第に減少し、最終的に最少液端(槽状の空腔内の液体の高さ)まで槽状の空腔(3)の中へ下がり、その時点で、中央処理装置が液体レベル検出信号伝達線によって、対応する検出された液体レベル信号を受信する。中央処理装置は、それに応答して、制御信号伝達線でキャリヤーガス流れ制御弁(5)へと制御信号を送り、弁を閉めて、容器へのキャリヤーガスの流れを止め、また同時に、制御信号伝達線で制御信号を送って気相試薬流れ制御弁(6)を閉め、容器からの気相試薬の流れを止める。
また、この作業の間に、容器(4)内の液体の温度が温度センサ(11)によって検出される。蒸気圧力を制御するために、容器内部の液体前駆体化学物質の温度をモニタすることは重要である。容器の中の液体試薬の温度が高くなりすぎると、中央処理装置が、温度検出信号伝達線によって対応する検出された温度信号を受信する。中央処理装置は、それに応答して、制御信号伝達線でキャリヤーガス流れ制御弁(5)へと制御信号を送り、弁を閉めて、容器へのキャリヤーガスの流れを止め、また同時に、制御信号伝達線で制御信号を送って気相試薬流れ制御弁(6)を閉め、容器からの気相試薬の流れを止める。
気相試薬分配操作の最後に、槽状の空腔の中の小さい断面積の、本発明に従って高くなった液体容積に作用することによって、液体試薬レベルセンサ及び温度センサが、液体を全部使用してしまう状態に近くなるまで、液体試薬レベル及び温度をモニタすることができる。
このようにして、本発明の手段及び方法は、気相試薬の供給及び分配のためのシステムの提供において、気相試薬が選択的に分配される用途で、最初に備えられた液体試薬の容積の95〜98%が使用されるという、当技術分野における大幅な進歩を遂げた。
同様に、半導体及び超伝導製品の製造などの作業において、本発明の手段及び方法によって、分配容器の中に最初に装填された量の2〜5%という低いレベルまで、液体試薬の無駄を減らすことが可能である。
したがって、本発明の実施は、液体試薬供給及び気相試薬分配システム、並びに分配気相試薬が用いられる工程の経済的な側面を顕著に改善する。いくつかの例において本発明は、実用上の問題として従来技術の実施における廃棄レベルの特性によって妨げられていた液体試薬を、コスト効率よく使用することが可能になる。
本発明のさらなる利点は、気相試薬分配作業の終わりにおける容器内の液体試薬の量を減らすことによって、そのような従来の実施と比較して、供給容器からの最初に装填された液体の使用が増えることによって、供給容器の操業時間が長くなる結果として、空になった供給容器を加工システムから外して、さらなる加工のために別の容器と交換する段替えの時間を、最少にすることができる。
本発明において有用である液体試薬前駆体は、好ましくは、有機金属化合物前駆体である。有機金属前駆体は、たとえば、ルテニウム、ハフニウム、タンタル、モリブデン、プラチナ、金、チタン、鉛、パラジウム、ジルコニウム、ビスマス、ストロンチウム、バリウム、カルシウム、アンチモン、及びタリウムである高価な金属からなってよい。好ましい有機金属前駆体化合物は、ルテニウム含有、ハフニウム含有、タンタル含有、及び/又はモリブデン含有の有機金属前駆体化合物を含む。
本発明の一実施例では、有機金属化合物は、粉体、薄膜、又は被覆を形成する気相堆積技術で用いられる。化合物は、単一原料の前駆体として用いられてもよく、又は、1つ又は複数の他の前駆体と共に、たとえば、少なくとも1つの他の有機金属化合物又は金属複合体を加熱することによって発生された蒸気と共に用いられてもよい。
堆積は、他の気相構成要素の存在下で行われてよい。本発明の一実施例では、少なくとも1つの非反応性のキャリヤーガスの存在下で薄膜堆積が行われる。非反応性ガスの例は、たとえば窒素、アルゴン、ヘリウムなどの不活性ガス並びに、加工条件下において有機金属化合物前駆体と反応しない他のガスを含む。他の実施例では、薄膜堆積は、少なくとも1つの反応性ガスの存在下で行われる。用いられてよい反応性ガスのいくつかは、ヒドラジン、酸素、水素、空気、酸素富化空気、オゾン(O)、亜酸化窒素(NO)、水蒸気、有機蒸気、アンモニア、及びその他を含むが、これに限定されない。当技術分野で既知のように、たとえば空気、酸素、酸素富化空気、O、NOなどの酸化気体、又は酸化有機化合物の蒸気の存在が、酸化金属薄膜の形成に有利である。
本明細書に述べられる堆積方法は、単一の金属を含む薄膜、粉体、又は被覆、或いは単一の金属酸化物を含む薄膜、粉体、又は被覆を形成するように行われてよい。たとえば複合酸化金属薄膜のような、複合の薄膜、粉体、又は被覆も堆積可能である。複合酸化金属薄膜は、たとえば、少なくとも一方が上記の有機金属化合物から選択される複数の有機金属前駆体を用いて形成可能である。
気相薄膜の堆積は、所望の厚さ、たとえば1nmから1mmを超える範囲の薄膜層を形成するように行われることができる。本明細書に述べられる前駆体は、たとえば約10nmから約100nmの範囲の厚さを有する薄膜の製造に特に有用である。本発明の薄膜は、たとえば、特に論理回路のnチャンネル金属電極として、DRAM用途のキャパシタ電極として、及び誘電性材料として、金属電極を作製するために考慮可能である。
この堆積法は、層のうちの少なくとも2つが相又は構成の異なる、層状の薄膜を作製するのにも適している。層状の薄膜の例は、金属/絶縁体/半導体、及び金属/絶縁体/金属を含む。
有機金属化合物前駆体が、当業界で既知の化学蒸着法、又はより具体的には、有機金属化学蒸着法用の工程で用いられてよい。たとえば、上述の有機金属化合物前駆体は、大気圧、並びに低圧での化学蒸着法工程において用いられてよい。反応室全体が加熱されるホット・ウオール化学蒸着法、並びに基板のみが加熱される技術である、コールド・ウオール又はウオーム・ウオール式の化学蒸着法においては、この化合物が用いられてよい。
上述の有機金属化合物前駆体は、プラズマからのエネルギー、又は電磁エネルギーがそれぞれ化学蒸着法の前駆体の活性化に用いられる、プラズマ又は光励起式の化学蒸着法の工程でも使用可能である。化合物は、化学蒸着法の前駆体を分解するエネルギーを供給するために、それぞれイオン・ビーム又は電子ビームが基板に向けられるイオン・ビーム、電子ビーム励起式の化学蒸着法の工程でも使用可能である。化学蒸着法の前駆体の光分解反応を作用させるためにレーザ光が基板に向けられるレーザ励起式の化学蒸着法の工程も使用可能である。
堆積方法は、当業界で既知の、たとえばホット・ウオール式又はコールド・ウオール式の反応炉、プラズマ励起式、ビーム励起式又はレーザ励起式の反応炉などの様々な化学蒸着法の反応炉の中で行われてよい。
この堆積法を用いて被覆されてよい基板の例は、金属基板(たとえばAl、Ni、Ti、Co、Pt、Ta)、金属シリサイド(たとえばTiSi、CoSi、NiSi)、半導体材料(たとえばSi、SiGe、GaAs、InP、ダイヤモンド、GaN、SiC)、絶縁体(たとえばSiO、Si、HfO、Ta、たとえばAl、バリウムチタン酸ストロンチウム(BST))、バリア材料(たとえばTiN、TaN)などの固体基板、又は原料の組合せを含む基板上である。さらに、薄膜又は被覆は、ガラス、セラミックス、プラスチック、熱硬化性高分子材料の上、及び他の被覆又は薄膜層の上に形成可能である。好ましい実施例では、薄膜の堆積は、電子構成要素の製造又は加工に用いられる基板の上である。他の実施例では、基板は、高温で酸化剤の存在下で安定している低抵抗導体の堆積物、又は光透過性薄膜を支持するように用いられる。
堆積方法は、滑らかで、平坦な表面を有する基板の上に薄膜を堆積するように行われてよい。一実施例では、本方法は、ウエハの製造又は加工に用いられる基板の上に薄膜を堆積するように行われてよい。たとえば、本方法は、溝、穴又はビアなどの特徴を含むパターン基板の上に薄膜を堆積するように行われてよい。さらに、本堆積法は、たとえばマスキング、エッチング、及びその他のウエハの製造又は加工における他のステップと一体化されてもよい。
化学蒸着法の薄膜は、所望の厚さまで堆積されてよい。たとえば、形成される薄膜は、1μよりも薄く、好ましくは500nmより薄く、より好ましくは200nmより薄くてよい。50nmより薄い薄膜、たとえば約0.1から約20nmの間の厚さを有する薄膜も製造可能である。
上記の有機金属化合物の前駆体も、前駆体、酸化剤、及び不活性ガスの流れの交互のパルスに基板がさらされる原子層堆積法又は原子層核形成法の技術によって薄膜を形成する本発明の方法において使用可能である。連続した層の堆積技術は、たとえば、米国特許第6,287,965号及び米国特許第6,342,277号に述べられている。両特許の開示内容は、参照によりその全体が本明細書に援用される。
たとえば、原子層堆積法の一サイクルにおいて、基板は段階的に、a)不活性ガス、b)前駆体の蒸気を運ぶ不活性ガス、c)不活性ガス、及びd)酸化剤(単独で或いは不活性ガスと共に)にさらされる。通常、各段階は、装置が許容する限り短く(たとえば数ミリ秒)、工程が要する限り長く(たとえば数秒又は数分)することができる。一サイクルの長さは、数ミリ秒の短さ、及び数分の長さであることができる。サイクルは、数分から数時間までに及んでよい時間にわたって繰り返される。製造される薄膜は、数ナノメートルの薄さ又はそれより厚く(たとえば1mm)てもよい。
当業者には、本発明の様々な修正例及び変更例が明らかであろうし、そのような修正例及び変更例が本出願の範囲並びに特許請求の範囲の精神及び範囲に含まれることを理解されたい。
「実施例1」
環境温度で固体:テトラキスジメチルアミノハフニウム(Tetrakis Dimethyl Amino Hafnium)(TDMAH)は約29℃で融解する。適切な送出温度は、約40〜100℃になる。キャリヤーガスは、ヘリウム、窒素、又はアルゴンなどの任意の不活性ガスであってよい。ガスの圧力は、数torrから数psiの間であってよい。
「実施例2」
環境温度で液体:テトラキスジエチルアミノハフニウム(Tetrakis Diethyl Amino Hafnium)(TDEAH)は液体であるにもかかわらず低い気化圧力を有する。適切な送出温度は、約80〜120℃の間になる。キャリヤーガスは、ヘリウム、窒素、又はアルゴンなどの任意の不活性ガスであってよい。ガスの圧力は、数torrから数psiの間であってよい。
部分的に断面を示す気相試薬分配装置の概略図である。 2つ以上の交わった円状の窪みが、槽状の空腔として働くことができる、槽状の空腔の別の構成を示す、容器の底壁部材表面の平面図である。 2つ以上の交わった円状の窪みが、槽状の空腔として働くことができる、槽状の空腔の別の構成を示す、容器の底壁部材表面の平面図である。 結合した溝によって連結された2つ以上の円状の窪みが、槽状の空腔として働くことができる、槽状の空腔の別の構成を示す、容器の底壁部材表面の平面図である。

Claims (20)

  1. 気相試薬分配装置であって、
    取り外し可能な頂壁部材によって上端を限られ、底壁部材によって下端を限られて、内部容積を中に画定した円筒形の閉鎖容器において、
    前記底壁部材が主床面から下向きに前記底壁部材の中に延在する槽状の空腔を含む前記主床面を有し、前記槽状の空腔が、その下端を副床面によって限られ、前記槽状の空腔の少なくとも一部が、前記底壁部材の中央に配置され、前記槽状の空腔の少なくとも一部が、前記底壁部材の中央以外に配置された閉鎖容器と、
    前記頂壁部材の中央に配置された部分を介して前記容器の上端外部から、概ね垂直下向きに前記容器の前記内部容積の中へ、前記底壁部材の中央に配置された前記槽状の空腔の部分の下端まで延在し、前記槽状の空腔の前記副床面に干渉しない近傍に下端が配置された温度センサと、
    前記容器の上端外部から、前記頂壁部材の中央以外に配置された部分を介して、概ね垂直下向きに前記容器の前記内部容積の中へ、前記底壁部材の中央以外に配置された槽状の空腔の部分の下端まで延在し、前記槽状の空腔の前記副床面に干渉しない近傍に下端が配置された液体試薬レベルセンサとを備え、
    前記温度センサが、前記容器内の液体試薬の温度を判定するために前記槽状の空腔の中に作動的に配置され、前記液体試薬レベルセンサが、前記容器内の液体試薬のレベルを判定するために前記槽状の空腔の中に作動的に配置され、前記温度センサと前記液体試薬レベルセンサとが、前記槽状の空腔の中で互いに干渉しない近傍に配置され、前記温度センサと前記液体試薬レベルセンサとが、前記槽状の空腔の中で液体試薬によって流体連通した気相試薬分配装置。
  2. キャリヤーガス供給入口開口部を有する、前記頂壁部材の中央以外に配置された部分と、
    キャリヤーガスを前記容器の前記内部容積の中へ送るために、前記キャリヤーガス供給入口開口部から上向きに、前記頂壁部材から外向きに延在するキャリヤーガス供給管であって、中を通るキャリヤーガスの流れを制御するためのキャリヤーガス流れ制御弁を中に含むキャリヤーガス供給管と、
    気相試薬出口開口部を有する、前記頂壁部材の中央以外に配置された部分と、
    前記気相試薬出口開口部から上向きに、前記容器の前記内部容積からの気相試薬の除去のために前記頂壁部材から外向きに延在する気相試薬放出管であって、中を通る前記気相試薬の流れを制御するための気相試薬流れ制御弁を中に含む気相試薬放出管とをさらに備える請求項1に記載の気相試薬分配装置。
  3. 気相送出堆積システムに気相試薬によって流体連通する前記気相試薬放出管をさらに備え、前記堆積システムが化学蒸着システム及び原子層堆積システムから選択される請求項1に記載の気相試薬分配装置。
  4. 前記槽状の空腔が、前記底壁部材の面積のうちの少ない部分を含む請求項1に記載の気相試薬分配装置。
  5. 前記槽状の空腔が、前記底床部材の表面積の20%より少ない面積を占める請求項1に記載の気相試薬分配装置。
  6. 前記槽状の空腔が、底床部材面の平面図では亜鈴形を有する請求項1に記載の気相試薬分配装置。
  7. 前記槽状の空腔が、互いに流体連通した2つの横方向に離間した穴を備え、前記穴の一方が中に配設された前記温度センサの下端を有し、前記穴の他方が中に配設された前記液体試薬レベルセンサの下端を有する請求項1に記載の気相試薬分配装置。
  8. 液体試薬が前記閉鎖容器に収容されているとき、液体試薬の少なくとも95%を使用できるように、前記液体試薬レベルセンサの下端が、前記槽状の空腔の前記副床面に十分近接している請求項1に記載の気相試薬分配装置。
  9. 前記液体試薬レベルセンサが、超音波センサ、光学センサ、及びフロート式センサからなる群から選択され、前記温度センサが、サーモウエル及び熱電対を備える請求項1に記載の気相試薬分配装置。
  10. 前記気相試薬が、ルテニウム、ハフニウム、タンタル、モリブデン、プラチナ、金、チタン、鉛、パラジウム、ジルコニウム、ビスマス、ストロンチウム、バリウム、カルシウム、アンチモン、及びタリウムからなる群から選択される金属のための前駆体を含む請求項1に記載の気相試薬分配装置。
  11. 液体試薬レベルセンサ用の穴が、温度センサ用の穴と連結通路によって連結され、それによって、亜鈴形の形状の前記槽状の空腔を画定する請求項7に記載の気相試薬分配装置。
  12. 前記槽状の空腔が、少なくとも部分的に傾斜した壁面によって画定される請求項1に記載の気相試薬分配装置。
  13. 前記キャリヤーガス供給管に連結されたキャリヤーガス源をさらに備える請求項2に記載の気相試薬分配装置。
  14. 前記キャリヤーガス源が、高圧ガスシリンダ、深冷空気分離設備及び圧力変動空気分離装置からなる群から選択される請求項13に記載の気相試薬分配装置。
  15. 気相試薬が、前記気相試薬放出管を介して、加熱可能サセプタ上の基板と接触するように成膜室へ流れ、残ったすべての排出物が排出物放出管を介して排出されるように、
    化学蒸着室及び原子層堆積室から選択される成膜室と、
    前記装置を前記成膜室へ連結する前記気相試薬放出管と、
    前記成膜室の中に収容され、前記気相試薬放出管を受けるように配置される前記加熱可能サセプタと、
    前記成膜室に連結された前記排出物放出管とをさらに備える請求項2に記載の気相試薬分配装置。
  16. 気相試薬を成膜室へ送る方法であって、
    (a)請求項2に従った気相試薬分配装置を用意するステップと、
    (b)環境温度で液体又は固体である試薬を、前記気相試薬分配装置に加えるステップと、
    (c)前記気相試薬分配装置内の前記試薬を、前記試薬を気化させて気相試薬をもたらすのに十分である温度まで加熱するステップと、
    (d)キャリヤーガスを前記気相試薬分配装置の中へ供給するステップと、
    (e)前記気相試薬及びキャリヤーガスを、気相試薬放出管を介して前記気相試薬分配装置から除去するステップと、
    (f)前記気相試薬及びキャリヤーガスを前記成膜室へ供給するステップとを含む方法。
  17. (g)前記気相試薬を、前記成膜室内で加熱可能サセプタ上の基板に接触させるステップと、
    (h)残ったすべての排出物を、前記成膜室に連結された排出物放出管を介して排出するステップとをさらに含む、請求項16に記載の方法。
  18. 前記成膜室が、化学蒸着室及び原子層堆積室から選択される請求項16に記載の方法。
  19. 前記基板が、金属、金属シリサイド、半導体、絶縁体、及びバリア材料からなる群から選択される材料からなる請求項17に記載の方法。
  20. 前記基板がパターン付きウエハである請求項17に記載の方法。
JP2007546764A 2004-12-17 2005-12-08 分配装置及び該装置の使用方法 Pending JP2008524443A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/013,434 US20060133955A1 (en) 2004-12-17 2004-12-17 Apparatus and method for delivering vapor phase reagent to a deposition chamber
PCT/US2005/044479 WO2006065627A2 (en) 2004-12-17 2005-12-08 Dispensing apparatus and method of use thereof

Publications (1)

Publication Number Publication Date
JP2008524443A true JP2008524443A (ja) 2008-07-10

Family

ID=36588390

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007546764A Pending JP2008524443A (ja) 2004-12-17 2005-12-08 分配装置及び該装置の使用方法

Country Status (9)

Country Link
US (1) US20060133955A1 (ja)
EP (1) EP1839253A2 (ja)
JP (1) JP2008524443A (ja)
KR (2) KR20130018958A (ja)
CN (1) CN101124605B (ja)
IL (1) IL183971A0 (ja)
SG (1) SG161287A1 (ja)
TW (1) TWI408250B (ja)
WO (1) WO2006065627A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009260349A (ja) * 2008-04-11 2009-11-05 Praxair Technol Inc 試薬送出装置と送出方法
WO2018056346A1 (ja) * 2016-09-21 2018-03-29 株式会社日立国際電気 基板処理装置、液体原料補充システム、半導体装置の製造方法、プログラム

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US8137462B2 (en) * 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
US7753095B2 (en) * 2006-12-15 2010-07-13 Helicos Biosciences Corporation Storing and handling liquid reagents
US7790628B2 (en) * 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
US7964515B2 (en) * 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US20090214777A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US20090258143A1 (en) * 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
US20120121464A1 (en) * 2009-01-29 2012-05-17 Hitachi High-Technologies Corporation Apparatus for pretreating biological samples, and mass spectrometer equipped with same
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10151618B2 (en) * 2014-01-24 2018-12-11 Versum Materials Us, Llc Ultrasonic liquid level sensing systems
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6301867B2 (ja) * 2015-03-31 2018-03-28 東芝メモリ株式会社 気化システム
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
DE102016101232A1 (de) * 2016-01-25 2017-07-27 Instillo Gmbh Verfahren zum Herstellen von Emulsionen
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10481055B2 (en) * 2016-08-26 2019-11-19 Simple Origin, Inc. System and method for refilling cryogen in microscope cryogen holders
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
CN106500798B (zh) * 2016-12-16 2024-01-05 宁波奥崎自动化仪表设备有限公司 一种通过导热块导热的分段加热式多点热电偶液位探测器
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN107008180A (zh) * 2017-06-09 2017-08-04 大唐环境产业集团股份有限公司 一种凹槽式箱体与顶进式搅拌器的组合装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10844484B2 (en) * 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR20200020608A (ko) 2018-08-16 2020-02-26 에이에스엠 아이피 홀딩 비.브이. 고체 소스 승화기
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) * 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) * 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001503106A (ja) * 1996-12-17 2001-03-06 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 化学蒸着のための試薬供給容器
JP2002162285A (ja) * 2000-10-31 2002-06-07 Applied Materials Inc 液体収容装置および液面検知方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3266311A (en) * 1964-05-12 1966-08-16 Delavan Mfg Company Inc Cryogenic liquid level sensing apparatus
US3329447A (en) * 1965-01-18 1967-07-04 Gifford L Hitz Self-energizing seal for high pressure flanged connections
DE2219870C2 (de) * 1972-04-22 1974-05-02 Troisdorfer Bau- Und Kunststoff Gmbh, 5210 Troisdorf Behälter, insbesondere Kunststoffoder Stahlbehälter
US3826139A (en) * 1973-03-19 1974-07-30 Laval Turbine Liquid level indicating apparatus
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4676404A (en) * 1983-10-17 1987-06-30 Nippon Zeon Co., Ltd. Method and apparatus for feeding drug liquid from hermetic returnable can
US5102010A (en) * 1988-02-16 1992-04-07 Now Technologies, Inc. Container and dispensing system for liquid chemicals
US4899585A (en) * 1988-07-19 1990-02-13 Semi-Gas Systems, Inc. Liquid level detector and method for a vapor deposition container
DE69218152T2 (de) * 1991-12-26 1997-08-28 Canon Kk Herstellungsverfahren einer niedergeschlagenen Schicht mittels CVD, unter Verwendung von flüssigem Rohstoff und dazu geeignete Vorrichtung
JPH06291040A (ja) * 1992-03-03 1994-10-18 Rintetsuku:Kk 液体気化供給方法と液体気化供給器
JP2896268B2 (ja) * 1992-05-22 1999-05-31 三菱電機株式会社 半導体基板の表面処理装置及びその制御方法
JPH0610144A (ja) * 1992-06-29 1994-01-18 Matsushita Electric Ind Co Ltd 低蒸気圧材料供給装置
US5526956A (en) * 1992-09-11 1996-06-18 Now Technologies, Inc. Liquid chemical dispensing and recirculating system
US5335821A (en) * 1992-09-11 1994-08-09 Now Technologies, Inc. Liquid chemical container and dispensing system
US6029717A (en) * 1993-04-28 2000-02-29 Advanced Delivery & Chemical Systems, Ltd. High aspect ratio containers for ultrahigh purity chemicals
US5366119A (en) * 1993-05-26 1994-11-22 Kline James B Dispenser bottle with internal pump
US5388574A (en) * 1993-07-29 1995-02-14 Ingebrethsen; Bradley J. Aerosol delivery article
JPH07211646A (ja) * 1994-01-14 1995-08-11 Mitsubishi Electric Corp 材料供給装置
US5366120A (en) * 1994-04-19 1994-11-22 Tonis Tollasepp Paint pump
US5749500A (en) * 1996-04-23 1998-05-12 Kraus; Joey Liquid retrieving adaptor for cylindrical containers
TW432120B (en) * 1998-06-13 2001-05-01 Applied Materials Inc Controlled addition of water during chemical vapor deposition of copper to improve adhesion
US6245151B1 (en) * 1998-07-17 2001-06-12 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
US6257446B1 (en) * 1999-02-18 2001-07-10 Advanced Micro Devices, Inc. Liquid chemical container with integrated fluid reservoir
KR100389913B1 (ko) * 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6682636B2 (en) * 2000-08-18 2004-01-27 Honeywell International Inc. Physical vapor deposition targets and methods of formation
US6609632B2 (en) * 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6736154B2 (en) * 2001-01-26 2004-05-18 American Air Liquide, Inc. Pressure vessel systems and methods for dispensing liquid chemical compositions
US6578634B2 (en) * 2001-09-05 2003-06-17 Key Energy Services, Inc. Method of monitoring pumping operations of a service vehicle at a well site
DE10200786B4 (de) * 2002-01-11 2004-11-11 Dockweiler Ag Sicherheitsbehälter
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
TW589396B (en) * 2003-01-07 2004-06-01 Arima Optoelectronics Corp Chemical vapor deposition reactor
JP4556205B2 (ja) * 2003-03-28 2010-10-06 ニチアス株式会社 金属ガスケット

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001503106A (ja) * 1996-12-17 2001-03-06 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 化学蒸着のための試薬供給容器
JP2002162285A (ja) * 2000-10-31 2002-06-07 Applied Materials Inc 液体収容装置および液面検知方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009260349A (ja) * 2008-04-11 2009-11-05 Praxair Technol Inc 試薬送出装置と送出方法
WO2018056346A1 (ja) * 2016-09-21 2018-03-29 株式会社日立国際電気 基板処理装置、液体原料補充システム、半導体装置の製造方法、プログラム
US10876207B2 (en) 2016-09-21 2020-12-29 Kokusai Electric Corporation Substrate processing apparatus, liquid precursor replenishment system, and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
EP1839253A2 (en) 2007-10-03
TWI408250B (zh) 2013-09-11
SG161287A1 (en) 2010-05-27
KR20070097038A (ko) 2007-10-02
TW200624596A (en) 2006-07-16
IL183971A0 (en) 2007-10-31
CN101124605B (zh) 2011-09-14
WO2006065627A3 (en) 2006-10-26
KR20130018958A (ko) 2013-02-25
WO2006065627A2 (en) 2006-06-22
CN101124605A (zh) 2008-02-13
US20060133955A1 (en) 2006-06-22

Similar Documents

Publication Publication Date Title
JP2008524443A (ja) 分配装置及び該装置の使用方法
KR101485615B1 (ko) 반응물 분배 장치 및 전달 방법
KR20110088564A (ko) 반응물 분배 장치 및 전달 방법
KR101152715B1 (ko) 증기 이송 장치, 기화기, 기화기 유닛 및 기화된 소스 물질 이송 방법
KR101585242B1 (ko) 반응물 분배 장치 및 송출 방법
US20090214779A1 (en) Multiple ampoule delivery systems
EP2108616B1 (en) Delivery method for a reagent using a reagent dispensing apparatus
KR20090108556A (ko) 반응물 분배 장치 및 송출 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111021

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120123

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120221

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120228

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130108