KR20110088564A - 반응물 분배 장치 및 전달 방법 - Google Patents

반응물 분배 장치 및 전달 방법 Download PDF

Info

Publication number
KR20110088564A
KR20110088564A KR1020117013279A KR20117013279A KR20110088564A KR 20110088564 A KR20110088564 A KR 20110088564A KR 1020117013279 A KR1020117013279 A KR 1020117013279A KR 20117013279 A KR20117013279 A KR 20117013279A KR 20110088564 A KR20110088564 A KR 20110088564A
Authority
KR
South Korea
Prior art keywords
reactant
wall member
port portion
port
carrier gas
Prior art date
Application number
KR1020117013279A
Other languages
English (en)
Inventor
데메트리우스 사리기안니스
무스타크 엠 아흐메드
Original Assignee
프랙스에어 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 프랙스에어 테크놀로지, 인코포레이티드 filed Critical 프랙스에어 테크놀로지, 인코포레이티드
Publication of KR20110088564A publication Critical patent/KR20110088564A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

본 발명은 반도체 물질 및 디바이스의 제조에서 물질의 증착을 위한 전구체와 같은 기상 또는 액상 반응물을 분배하는 데 사용할 수도 있는 기상 또는 액상 반응물 분배 장치에 관한 것이다. 기상 반응물 분배 장치는, 운반 기체를 수용할 수 있고, 기상 반응물을 분배할 수 있는 단일 포트를 구비한다. 액상 반응물 분배 장치는, 불활성 기체를 수용할 수 있고, 액상 반응물을 분배할 수 있는 단일 포트를 구비한다.

Description

반응물 분배 장치 및 전달 방법{REAGENT DISPENSING APPARATUSES AND DELIVERY METHODS}
본 발명은 반도체 물질 및 디바이스의 제조에서 물질의 증착을 위한 전구체와 같은 기상 및 액상 반응물을 각각 분배하기 위하여 사용할 수도 있는 기상 및 액상 반응물 분배 장치에 관한 것이다.
반도체 산업과 제약 산업에 사용하는 고순도 화학물질은 저장 시 순도를 유지하도록 특수 포장을 필요로 한다. 이는, 특히 공기 및/또는 공기 중의 수분과 반응하는 화학물질의 경우에 해당된다. 이러한 고순도 화학물질은 일반적으로 버블러(bubbler) 또는 앰풀(ampoule)과 같은 용기에 공급한다.
최신 화학 증착 기구 및 원자층 증착 기구는 버블러 또는 앰풀을 사용하여 전구체 화학물질을 증착 챔버로 전달한다. 이러한 버블러 또는 앰풀은, 운반 기체(carrier gas)를 고순도 액체 전구체 화학물질의 용기에 통과시키고, 운반 기체와 함께 전구체 증기를 증착 챔버로 운반함으로써 동작한다.
집적회로의 크기가 작아짐에 따라 내부 컴포넌트 또는 특징부의 치수도 작아진다. 크기가 작아짐에 따라 불순물의 효과를 최소화하도록 더욱 순수한 화학물질에 대한 필요성이 증가한다. 그러므로 제조업자는 고순도 화학물질을 제조할 수 있어야할 뿐만 아니라 고순도를 유지시킬 용기에 화학물질을 전달할 수 있어야 한다.
이러한 용기의 표준 구성 물질은 1990년대 후반에 정교한 석영 용기로부터 스테인리스강으로 변경되었다. 예를 들어 미국특허번호 5,607,002를 참조한다. 이러한 용기는 당업계에서 버블러 또는 앰풀로서 알려져 있고, 요즘에는 일상적으로 스테인리스강, 예를 들어 316SS로 구성된다. 예를 들어 미국특허번호 3,930,591, 6,029,717 및 7,077,388을 참조한다.
또한, 대부분의 경우, 전구체의 증기압을 증가시켜 운반 기체 중의 화학물질의 양을 증가시키기 위하여 특정 수단으로 앰풀을 가열할 필요가 있다. 앰풀 내부의 액체 전구체 화학물질의 온도를 모니터하여 증기압을 제어하는 것이 중요하다.
액체 전구체 화학물질이 화학 증착 또는 원자층 증착 공정의 말엽에 변경될 수 있도록 앰풀 내부의 액체 전구체 화학물질이 언제 거의 소진되는지를 아는 것이 또한 중요하다. 공정 도중에 앰풀이 마르면, 전체 웨이퍼 배치(batch)는 못쓰게 될 것이고 수백만 달러의 잠재적인 손실을 초래한다. 그러므로 앰풀 내부에 액체 전구체 화학물질을 가능한 한 적게 남겨 값비싼 액체 전구체 화학물질의 낭비를 방지하는 것이 바람직하다. 화학 전구체의 비용이 증가함에 따라 화학물질을 가능한 한 적게 낭비하는 것이 더욱 중요해진다.
세정이 쉽고, 전구체 화학물질의 고순도를 유지할 수 있고, 장치에서 전구체 화학물질의 사용을 또한 증가시킬 수 있고, 전구체 화학물질의 낭비를 줄일 수 있는 기상 또는 액상 반응물 분배 장치를 제공하는 것이 본 기술분야에서 바람직하다.
또한, 장치의 기계가공 및 용접 작업을 줄일 수 있고, 상이한 앰풀 유입구 대 출구 거리를 필요로 하는 다양한 고객을 위하여 장치를 사용할 수 있도록 융통성을 부가할 수 있는 간소화한 기상 또는 액상 반응물 분배 장치 디자인을 제공하는 것이 바람직하다.
본 발명은 부분적으로는 기상 반응물 분배 장치로서,
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
운반 기체를 수용할 수 있고, 기상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 버블러가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 버블러로서, 튜브를 통해 운반 기체가 소스 화학물질에 버블링하여 소스 화학물질 증기의 적어도 일부가 상기 운반 기체 중에 비말 동반하게 하여 충전 레벨 위의 상기 내부 기체 공간으로의 기상 반응물의 흐름을 생성할 수 있고, 상기 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 유입구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 출구 단부를 갖는, 상기 버블러; 및
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 기상 반응물을 상기 용기의 내부 기체 공간으로부터 분배할 수 있는, 상기 제1 포트 부분
을 포함하는 기상 반응물 분배 장치에 관한 것이다.
본 발명은 또한 부분적으로는 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
(a) 기상 반응물 분배 장치를 제공하는 단계로서,
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
운반 기체를 수용할 수 있고, 기상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 버블러가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 버블러로서, 튜브를 통해 운반 기체가 소스 화학물질에 버블링하여 소스 화학물질 증기의 적어도 일부가 상기 운반 기체 중에 비말 동반하게 하여 충전 레벨 위의 상기 내부 기체 공간으로의 기상 반응물의 흐름을 생성할 수 있고, 상기 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 유입구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 출구 단부를 갖는, 상기 버블러;
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 기상 반응물을 상기 용기의 내부 기체 공간으로부터 분배할 수 있는, 상기 제1 포트 부분;
운반 기체 공급 유입구 피팅이 연결되어 있는 상기 버블러;
버블러의 위쪽으로 그리고 외부로 운반 기체 공급 유입구 피팅으로부터 연장되어 운반 기체를 용기의 내부 공간으로 전달하기 위한 운반 기체 공급 라인으로서, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는 운반 기체 공급 라인;
기상 반응물 출구 피팅이 연결되어 있는 상기 제3 포트 부분; 및
제3 포트 부분의 위쪽으로 그리고 외부로 기상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 기상 반응물을 이동시키기 위한 기상 반응물 방출 라인으로서, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는 기상 반응물 방출 라인
을 포함하는 기상 반응물 분배 장치를 제공하는 단계;
(b) 소스 화학물질을 상기 기상 반응물 분배 장치에 첨가하는 단계;
(c) 소스 화학물질을 기화시키기 충분한 온도까지 상기 기상 반응물 분배 장치 내 소스 화학물질을 가열하여 기상 반응물을 제공하는 단계;
(d) 운반 기체를 상기 운반 기체 공급 라인 및 상기 튜브를 통해 상기 기상 반응물 분배 장치로 공급하는 단계;
(e) 상기 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 상기 기상 반응물 분배 장치로부터 인출하는 단계; 및
(f) 기상 반응물과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것이다.
본 발명은 또한 부분적으로는 액상 반응물 분배 장치로서,
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
불활성 기체를 수용할 수 있고, 액상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 침지 튜브가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 침지 튜브로서, 튜브를 통해 액상 반응물을 상기 장치로부터 분배할 수 있고, 상기 침지 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 출구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 유입구 단부를 갖는, 상기 침지 튜브; 및
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 불활성 기체를 충전 레벨 위의 내부 기체 공간으로 공급하여 충전 레벨 위의 내부 기체 공간을 가압할 수 있는, 상기 제1 포트 부분
을 포함하는 액상 반응물 분배 장치에 관한 것이다.
본 발명은 또한 부분적으로는 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
(a) 액상 반응물 분배 장치를 제공하는 단계로서,
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
불활성 기체를 수용할 수 있고, 액상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 침지 튜브가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 침지 튜브로서, 튜브를 통해 액상 반응물을 상기 장치로부터 분배할 수 있고, 상기 침지 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 출구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 유입구 단부를 갖는, 상기 침지 튜브;
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 불활성 기체를 충전 레벨 위의 내부 기체 공간으로 공급하여 충전 레벨 위의 내부 기체 공간을 가압할 수 있는, 상기 제1 포트 부분;
불활성 기체 공급 유입구 피팅이 연결되어 있는 상기 제3 포트 부분;
제3 포트 부분의 위쪽으로 그리고 외부로 불활성 기체 공급 유입구 피팅으로부터 연장되어 불활성 기체를 용기의 내부 공간으로 전달하기 위한 불활성 기체 공급 라인으로서, 통과하는 불활성 기체의 흐름을 제어하기 위한 불활성 기체 흐름 제어 밸브를 포함하는 불활성 기체 공급 라인;
액상 반응물 출구 피팅이 연결되어 있는 상기 침지 튜브; 및
제1 포트 부분의 위쪽으로 그리고 외부로 액상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 액상 반응물을 이동시키기 위한 액상 반응물 방출 라인으로서, 통과하는 액상 반응물의 흐름을 제어하기 위한 액상 반응물 흐름 제어 밸브를 임의로 포함하는 액상 반응물 방출 라인
을 포함하는 액상 반응물 분배 장치를 제공하는 단계;
(b) 액상 반응물을 상기 액상 반응물 분배 장치에 첨가하는 단계;
(c) 고체 소스 화학물질을 용융시키기 충분한 온도까지 상기 액상 반응물 분배 장치 내 고체 소스 화학물질을 임의로 가열하여 액상 반응물을 제공하는 단계;
(d) 불활성 기체를 상기 불활성 기체 공급 라인을 통해 상기 액상 반응물 분배 장치로 공급하는 단계;
(e) 상기 튜브와 상기 액상 반응물 방출 라인을 통해 액상 반응물을 상기 액상 반응물 분배 장치로부터 인출하는 단계;
(f) 기화 장치를 제공하는 단계로서,
액상 반응물을 기화시키기 위해 내부 용기 구획을 형성하도록 구성된 용기;
액상 반응물 분배 장치를 상기 기화 장치에 연결하는 상기 액상 반응물 방출 라인;
운반 기체 공급 유입구가 있는 기화 장치의 한 부분으로서, 운반 기체 공급 유입구를 통해 운반 기체를 상기 기화 장치에 공급하여 상기 액상 반응물의 증기가 상기 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있는, 기화 장치의 한 부분;
기상 반응물 출구가 있는 기화 장치의 한 부분으로서, 기상 반응물 출구를 통해 상기 기상 반응물을 상기 기화 장치로부터 분배할 수 있는, 기화 장치의 한 부분;
기화 장치의 외부로 운반 기체 공급 유입구로부터 연장되어 운반 기체를 상기 기화 장치에 전달하기 위한 운반 기체 공급 라인으로서, 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브를 포함하는 운반 기체 공급 라인; 및
기화 장치의 외부로 기상 반응물 출구로부터 연장되어 기상 반응물을 상기 기화 장치로부터 상기 증착 챔버로 이동시키기 위한 기상 반응물 방출 라인으로서, 통과하는 기상 반응물의 흐름을 제어하기 위한 하나 이상의 기상 반응물 흐름 제어 밸브를 포함하는 기상 반응물 방출 라인
을 포함하는 기화 장치를 제공하는 단계;
(g) 액상 반응물을 상기 기화 장치에 공급하는 단계;
(h) 액상 반응물을 기화시키기 충분한 온도까지 상기 기화 장치 내 액상 반응물을 가열하여 상기 기상 반응물을 제공하는 단계;
(i) 운반 기체를 상기 운반 기체 공급 라인을 통해 상기 기화 장치에 공급하는 단계;
(j) 상기 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 상기 기화 장치로부터 인출하는 단계; 및
(k) 기상 반응물과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것이다.
본 발명은 또한 부분적으로는 기상 반응물 분배 장치로서,
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
운반 기체를 수용할 수 있고, 기상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 튜브가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 상기 내부 기체 공간으로 연장되는 상기 튜브로서, 상기 튜브를 통해 운반 기체를 충전 레벨 위의 상기 내부 기체 공간으로 공급하여 상기 소스 화학물질의 증기가 상기 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있고, 상기 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 유입구 단부 및 상기 내부 기체 공간에 위치한 출구 단부를 갖는, 상기 튜브; 및
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 기상 반응물을 상기 용기의 내부 기체 공간으로부터 분배할 수 있는, 상기 제1 포트 부분
을 포함하는 기상 반응물 분배 장치에 관한 것이다.
본 발명은 또한 부분적으로는 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
(a) 기상 반응물 분배 장치를 제공하는 단계로서,
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
운반 기체를 수용할 수 있고, 기상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 튜브가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 상기 내부 기체 공간으로 연장되는 상기 튜브로서, 상기 튜브를 통해 운반 기체를 충전 레벨 위의 상기 내부 기체 공간으로 공급하여 상기 소스 화학물질의 증기가 상기 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있고, 상기 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 유입구 단부 및 상기 내부 기체 공간에 위치한 출구 단부를 갖는, 상기 튜브;
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 기상 반응물을 상기 용기의 내부 기체 공간으로부터 분배할 수 있는, 상기 제1 포트 부분;
운반 기체 공급 유입구 피팅이 연결되어 있는 상기 튜브;
튜브의 위쪽으로 그리고 외부로 운반 기체 공급 유입구 피팅으로부터 연장되어 운반 기체를 용기의 내부 공간으로 전달하기 위한 운반 기체 공급 라인으로서, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는 운반 기체 공급 라인;
기상 반응물 출구 피팅이 연결되어 있는 상기 제3 포트 부분;
제3 포트 부분의 위쪽으로 그리고 외부로 기상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 기상 반응물을 이동시키기 위한 기상 반응물 방출 라인으로서, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는 기상 반응물 방출 라인
을 포함하는 기상 반응물 분배 장치를 제공하는 단계;
(b) 소스 화학물질을 상기 기상 반응물 분배 장치에 첨가하는 단계;
(c) 소스 화학물질을 기화시키기 충분한 온도까지 상기 기상 반응물 분배 장치 내 소스 화학물질을 가열하여 기상 반응물을 제공하는 단계;
(d) 운반 기체를 상기 운반 기체 공급 라인 및 상기 튜브를 통해 상기 기상 반응물 분배 장치로 공급하는 단계;
(e) 상기 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 상기 기상 반응물 분배 장치로부터 인출하는 단계; 및
(f) 기상 반응물과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것이다.
본 발명의 기상 반응물 분배 장치 또는 조립체는, 예를 들어 공급 용기로부터의 기상 반응물을 화학 증착 챔버로 전달하여 소스 증기로부터 화학 증착 챔버 내 기판상에 물질층을 증착시키기 위한 화학 증착 시스템을 비롯한 매우 다양한 공정 시스템에 사용할 수도 있다.
본 발명의 기상 또는 액상 반응물 분배 장치 또는 조립체는 세정이 쉽고, 액체 전구체 화학물질의 순도를 유지하고, 액체 또는 고체 전구체 화학물질의 사용률을 증가시켜 낭비를 줄인다.
본 발명의 다른 양상, 특징 및 실시양태는 후술하는 내용 및 첨부한 특허청구범위로부터 더욱 명백해질 것이다.
도 1은 버블러가 있는 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. C-C 거리는 상벽 부재의 기계 가공에 좌우된다.
도 2는 버블러가 있는 통상적인 2-포트 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. C-C 거리는 상벽 부재의 기계 가공에 좌우된다.
도 3은 버블러가 있는 통상적인 2-포트 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 장치는 화학물질 전달 매니폴드에 연결한다. 이 경우, 우회 및 자동 제어 밸브는 매니폴드의 부분이다.
도 4는 버블러가 있는 통상적인 2-포트 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 장치는 화학물질 전달 매니폴드에 연결한다. 이 경우, 우회 및 자동 제어 밸브는 제거가능한 버블러의 부분이다.
도 5는 유입구 및 출구에 대한 복수의 밸브를 구비한 기상 또는 액상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다.
도 6은 침지 튜브가 있는 액상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 푸시 기체(push gas)는 외부 튜브로부터 앰풀 헤드 공간으로 들어가고, 액체 유기금속 전구체를 침지 튜브 위로 그리고 앰풀 외부로 밀어낸다.
도 7은 튜브가 있는 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 단일 포트는 한 단부상의 앰풀 헤드 공간에 운반 기체를 도입하여, 액체 표면 위의 구역을 충분히 스윕(sweep)하고, 유기금속 전구체 증기를 포트의 환형 공간을 통해 앰풀 외부로 운반하는 데 사용한다.
도 8은 튜브가 있는 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 밸브는 용기의 측부에 있다.
도 9는 침지 튜브가 있는 액상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 앰풀은 측부에 있다.
도 10은 침지 튜브가 있는 액상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 장치는 수직 액체 전달 모드이다. 침지 튜브는 운반 기체를 도입하여 액체를 앰풀 외부로 밀어낸다. 이는 높은 액체 활용을 가능하게 한다. 레벨 센서는 상부 또는 하부로부터 장착할 수 있거나 액체 아웃 라인상에 장착할 수 있다.
도 11은 튜브가 있는 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 앰풀 설계는 충전 모드이다. 단일 포트는 액체를 앰풀에 도입하고, 동시에 헤드 공간을 통기시켜 과도한 헤드 압력이 생성되는 것을 방지하는 데 사용한다.
도 12는 버블러가 있는 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 이 단일 포트 앰풀 설계는 90o 연장부를 구비한 침지 튜브를 통해 운반 기체를 액체에 도입한다. 침지 튜브상의 굴곡부는 운반 기체를 단일 포트 유입구/출구로부터 먼 지점으로 전달하게 하고, 이어서 운반 기체가 액체를 통해 흘러 헤드 공간에 걸쳐 최대로 포화된다.
도 13은 유입구 및 출구에 대한 복수의 밸브를 구비한 기상 또는 액상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다.
도 14는 범용 화학물질 전달 매니폴드에 연결한 기상 또는 액상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다.
본 발명에 따르면, 선행기술에서 개시한 2개 이상의 개별적인 포트 대신 하나의 결합된 유입구 및 출구 포트를 구비한 앰풀을 개발한다. 단일 포트는 앰풀과 관련된 기계 가공 및 용접 작업을 감소시킨다. 본 발명은, 단일 표준 뚜껑을 사용할 수 있고, 상이한 유입구 대 출구 거리를 필요로 하는 다양한 고객이 사용하도록 융통성을 갖도록 설계한 앰풀을 또한 제공한다. 본 발명은 앰풀과 화학물질 전달 매니폴드 간의 유체 전달을 가능하게 하는 2개의 포트를 하나로 결합하는 것에 관한 것이다. 앰풀은 임의로 개별적인 충전 포트 또는 레벨 센서 포트 또는 열전쌍 포트를 구비할 수도 있거나, 이러한 포트는 단일 포트로도 포함될 수도 있다.
버블러 구성의 단일-포트 앰풀 설계는 도 1에 도시한다. 이 앰풀은 단일 포트를 사용하여 운반 기체(CG)를 앰풀 버블러 튜브에 도입하고, 유기금속 전구체 화합물(MO)을 포함하는 증기 스트림을 이동시킨다. 이 경우, 단일 피드-스루(feed-through) 포트를 구비한 단일 뚜껑은 다양한 중심 대 중심 거리(C-C) 및 유입구와 출구 사이의 수직 오프셋 거리(V)를 수용하도록 제조할 수도 있다. 중심 대 중심 거리는 튜브 길이(HT)를 변경함으로써 조정할 수도 있고, 유입구 대 출구 수직 오프셋은 튜브 높이(VT)를 변경함으로써 조정할 수도 있다. 이 경우, 부품들의 통합 및 재고 감소를 가능하게 하는, 주어진 앰풀 직경에 대한 단일 뚜껑 설계를 이용할 수 있다. 추가로, 튜브 길이에 대한 변경은 앰풀 본체 자체가 제조된 후 이루어질 수 있는데, 이는 앰풀 본체를 보관하거나 기존 앰풀에 대한 C-C 거리를 변경하게 한다. 본 발명의 앰풀은 표준 밸브를 사용할 수 있고, 기존 매니폴드를 연결할 수 있다.
통상적인 2-포트 버블러 구성은 도 2에 도시한다. 도 2는 버블러가 있는 통상적인 2-포트 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. C-C 거리는 상벽 부재의 기계 가공에 좌우된다. 버블러는 역으로 출구 밸브를 통해 들어와 액체를 튜브 위와 밖으로 그리고 기화기로 밀어내는 푸시 기체(push gas)와 함께 사용할 수 있다.
본 발명이 제공하는 장점은 통상적인 2-포트 버블러 구성에 비해 누출을 일으키는 앰풀에 대한 포트가 적다는 점이다. 통상적인 앰풀이 구비하는 2개의 포트 대신, 누출을 일으키는 뚜껑에는 앰풀과 매니폴드 간의 유체 전달을 가능하게 하는 하나의 포트만이 있다. 통상적인 앰풀의 이러한 포트는 앰풀의 상부에 튀어나오는 밸브 조립체의 높이 때문에 누출에 더욱 위험하다. 단일 포트 해결책은 1) 단일 포트는 일반적으로 구멍에 용접된 더 큰 직경의 튜브이고, 2) 분기 지지체(branch support)를 용접하여 그 자체의 개별적인 포트를 다른 상태로 구비하는 하나의 밸브를 지지하고, 3) 주어진 튜브 크기 및 투하중의 충돌의 경우, 2개의 포트를 구비한 앰풀은 하나의 포트와 블라인드 지지체(blind support)를 구비한 앰풀보다 누출을 일으킬 가능성이 2배인 점에서 선적에 더욱 강하다.
본 발명이 제공하는 또 다른 장점은 미리 제조된 부품들로 맞춤형 연결 치수(custom connection dimension)를 충족시키는 신속한 응답 시간이다.
또 다른 장점은 부품 통합 및 기계 가공 공정의 감소에 관한 것이다. 전술한 바와 같이, 본 발명은 2개의 구멍(유입구를 위한 하나 및 출구를 위한 하나)보다는 유입구와 출구 둘 다를 위한 하나의 구멍이 있는 뚜껑을 사용하게 한다. 이러한 설계로 제조 작업장에는 하나의 뚜껑과 하나의 침지 튜브 조립체를 보관할 수 있고, 이어서 최종 제작 전의 길이에 대한 침지 튜브 조립체의 수평 성분을 절단할 수 있다. 환형 침지 튜브 조립체에 대한 밸브들 간의 이러한 수평 거리의 제작은 배관을 절단 및 용접하는 것을 포함한다. 빈 뚜껑에 2개의 포트를 기계 가공함으로써 거리를 변경하는 것은 더 두꺼운 (일반적으로 ¼ 내지 1" 두께) 스테인리스강 플레이트를 통한 밀링(milling) 및 최종 연마(polishing)를 포함한다.
빠른 제조 시간의 장점은 판매 합계처럼 정량하기 어렵지만, 반도체 산업의 고객은 공급자로부터 빠른 응답을 기대하고 있다. 앰풀상의 맞춤형 유입구 대 출구 거리를 제공하는 가치는 경쟁 상대보다 빠른 응답 시간으로 인해 점령한 시장처럼 경제적으로 증명할 수 있다.
도 5에 예시한 본 발명의 한 실시양태에서, 흔한 실시로서, 앰풀은 모두 용접하거나, 서로 볼트로 죄는 복수의 컴포넌트일 수도 있는 바닥(base), 벽(들) 및 뚜껑을 포함하는 본체(200)로 이루어진다. 앰풀로의 단일 포트(202)는 침지 튜브(201)와 환형 공급 튜브(231)로 이루어진다. 환형 튜브(250)는 헤드 공간 및 푸시 기체 밸브(V4)와 직접적으로 흐름 소통하고, 피팅(fitting)(241)을 경유하여 푸시 기체의 공급원에 연결한다. 이 실시양태에서, 밸브(V4)는 수동 밸브이지만, 쉽게 (공압식 또는 전기식으로 작동하는) 자동식이 될 수 있다. 단일 포트 앰풀의 침지 튜브(201)는 액체를 피팅(204)에 있는 출구로 흐르게 하는 밸브(V1)와 흐름 소통한다. 이 경우, 밸브(V1)는 수동 밸브이지만, 또한 공압식 또는 전기식 구동기를 구비한 자동 밸브일 수 있다. 유입구(241)와 출구(204) 사이의 수평 거리는 수평 환형 튜브(250)의 길이를 변경함으로써 조정할 수 있다.
출구 조립체상에서, 피팅(222)은 퍼지 기체, 진공, 용매 또는 라인으로부터 전구체를 퍼징하거나 세정하는 데 사용하는 세 가지 모두의 조합에 연결할 수 있다. 밸브(V2)는 (204)와 (222) 사이의 이러한 흐름 소통을 튜브(230)를 통해 가능하게 한다. 밸브(V2)는 우선적으로는 공압 구동을 이용하는 자동화된 밸브이지만, 전기 또는 수동 밸브일 수 있다. 밸브 (V1)과 (V2)는 2개의 개별적인 밸브일 수도 있거나, 우선적으로는 Swagelok, AP Tech, Fujikin, 등과 같은 밸브 제조업체에서 통상적으로 제조한 단일 본체 이중 대향 구동기 다중-포트 블록 밸브(203)일 수도 있다. 예시적인 밸브는 미국특허번호 6,966,348에 상세하게 기술되어 있다.
피팅 (204), (222) 및 (241)은 Swagelok(이의 VCR 라인) 또는 Fujikin(이의 UJR 면 시일(face seal) 또는 더 낮은 데드-스페이스(dead-space) UPG 피팅)과 같은 회사에서 제조한 면-시일 타입일 수도 있다. 밸브 (V1), (V2) 및 (V4)는 반도체 산업에 사용하기 적합하고, 일반적으로는 Swagelok, Fujikin, Ap Tech, Parker, 등과 같은 회사에서 제조한 다이어프램(diaphragm), 벨로스(bellows), 볼(ball) 등의 스타일이다.
앰풀은 내부에 포함된 화학물질 전구체의 증기압에 따라 가열하거나 냉각할 수도 있다. 단일 포트 설계이기 때문에, 수평 튜브(250)의 가열에 대한 주의는 그 레그(leg)에서 전구체의 우선적인 응축이 존재하지 않음을 보장하는 특별한 주의이어야 한다. 이는, 앰풀이 역으로 버블러와 (204)로서, 운반 기체 유입구와 (241)로서, 운반 기체/전구체 출구로서 사용되는 경우 특히 중요하다. 임의의 가열 또는 냉각 시간이 필요한데, 밸브로부터 상대적으로 균일한 온도를 유지하여, 분해를 야기할 수 있는 열점(hot spot) 또는 응축 및 이어서 막힘(clogging)을 야기할 수 있는 냉점(cold spot)을 방지하는 것이 중요하다.
상술한 도 1의 버블러 모드 외에 실시할 수 있는 복수의 모드가 있다. 예를 들어 도 6은 침지 튜브가 있는 액상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 푸시 기체는 외부 튜브로부터 앰풀 헤드 공간으로 들어와 액체 유기금속 전구체를 침지 튜브 위로 그리고 앰풀의 외부로 밀어낸다. 도 7은 튜브가 있는 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 단일 포트는 운반 기체를 한 단부상의 앰풀 헤드 공간에 도입하여, 액체 표면 위의 구역을 충분히 스윕(sweep)하고, 유기금속 전구체 증기를 포트의 환형 공간을 통해 앰풀 외부로 운반하는 데 사용한다.
본 발명에는 다양한 앰풀 구성을 또한 사용할 수 있다. 예를 들어 도 8은 튜브가 있는 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 밸브는 용기의 측부에 있다. 도 9는 침지 튜브가 있는 액상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 앰풀은 측부에 있다. 도 10은 침지 튜브가 있는 액상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 장치는 수직 액체 전달 모드이다. 침지 튜브는 운반 기체를 도입하여 액체를 앰풀 외부로 밀어낸다. 이는 높은 액체 활용을 가능하게 한다. 레벨 센서는 상부 또는 하부로부터 장착할 수 있거나 액체 아웃 라인상에 장착할 수 있다.
상술한 다양한 동작 모드 외에, 본 발명의 앰풀은 용기 형상의 융통성, 예를 들어 원통형, 정육면체형, 직사각형 프리즘, 등을 제공한다. 모든 동작 모드에서, 연속적이거나 이산적인 레벨 센서는 용기 내의 적절한 위치에 장착하여 액체 레벨을 감지할 수 있다. 화학물질 분배 외에, 본 발명의 앰풀은 화학물질을 수집하는 반대 모드에서 사용할 수 있다. 예로는 폐기물 수집 탱크, 오버플로 저장소, 기구상의 또는 공장에서의 재충전 공정으로서의 사용이 있다.
이들이 충전되는 예 중 하나를 도 11에 도시한다. 도 11은 튜브가 있는 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 앰풀 설계는 충전 모드이다. 단일 포트는 액체를 앰풀에 도입하고, 동시에 헤드 공간을 통기시켜 과도한 헤드 압력이 생성되는 것을 방지하는 데 사용한다.
실시하는 또 다른 대안은 도 12에 도시한다. 도 12는 버블러가 있는 기상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 이 단일 포트 앰풀 설계는 90o 연장부를 구비한 침지 튜브를 통해 운반 기체를 액체에 도입한다. 침지 튜브상의 굴곡부는 운반 기체를 단일 포트 유입구/출구로부터 먼 지점으로 전달하게 하고, 이어서 운반 기체가 액체를 통해 흘러 헤드 공간에 걸쳐 최대로 포화된다. 침지 튜브의 단부는 앰풀 전역에 이르도록 연장되고, 침지 튜브의 출구상의 운반 기체를 위하여 전구체 전역에 더 긴 흐름 경로를 제공한다. 이 단일 포트 앰풀은 고체 소스 앰풀 설계에 또한 사용할 수 있는데, 기체는 미국특허번호 7,300,038에서 기술한 바와 같이 트레이 스택의 바닥으로 전달하거나, 다공성 프릿(frit) 또는 샤워 헤드(showerhead)를 통해 분배할 필요가 있다.
추가로, 단일 포트 앰풀은 일반적으로 도 13에 도시한 구성이다. 도 13은 유입구 및 출구에 대한 복수의 밸브를 구비한 기상 또는 액상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 도 13에서, 흔한 실시로서, 앰풀은 모두 용접하거나, 서로 볼트로 죄는 복수의 컴포넌트일 수도 있는 바닥, 벽(들) 및 뚜껑을 포함하는 본체(200)로 이루어진다. 앰풀로의 단일 포트(202)는 침지 튜브(201)와 환형 공급 튜브(231)로 이루어진다. 환형 튜브(250)는 헤드 공간 및 이중 구동기 블록 밸브(240)와 직접적으로 흐름 소통한다. 유입구 및 출구 각각은, 독립적인 밸브이거나 단일 본체 이중 구동기 블록 밸브일 수도 있고, 유입구(241) 또는 출구(204)를 튜브(230)를 통해 퍼지/진공/용매 플러시/재충전 라인(222)과 흐름 소통하게 할 수도 있는 2개의 구동기와 함께 도시한다. 바람직하게는, 이들은 단일 본체 이중 대향 구동기 다중-포트 블록 밸브 (203) 및 (240)이다. 이러한 블록 밸브 (밸브 (V1)과 (V2)를 구비한) (203) 및 (밸브 (V3)과 (V4)를 구비한) (240)은 제3 포트(222)에 대한 액세스가 필요하지 않다면 표준 단일 구동기 2 포트 밸브로 대체할 수도 있다.
본 발명에서 기술한 단일 포트 앰풀은 도 14에 도시한 바와 같이 복잡한 화학물질 전달 매니폴드에 연결할 수도 있다. 도 14는 범용 화학물질 전달 매니폴드에 연결한 기상 또는 액상 반응물 분배 장치의 단면을 도시한 개략적인 도면이다. 도 14에서, 한 쌍의 밸브는 단일 본체 이중 대향 구동기 다중-포트 블록 밸브, 즉 밸브 (V1)과 (V2)를 구비하는 (203); 밸브 (V5)와 (V6)을 구비하는 (205); 밸브 (V9)와 (V10)을 구비하는 (207); 밸브 (V13)과 (V14)를 구비하는 (209); 밸브 (V3)과 (V4)를 구비하는 (240); 밸브 (V7)과 (V8)을 구비하는 (242); 밸브 (V11)과 (V12)를 구비하는 (244); 및 밸브 (V15)와 (V16)을 구비하는 (246)과 연관될 수 있다. 도 14에 도시한 바와 같은 매니폴드는 예를 들어 미국특허번호 6,648,034에 기술되어 있다. 용기(200)를 액체 전달 모드에 사용하는 경우, 도관(243)으로부터의 푸시 기체는 블록 다이어프램 밸브 조립체 (242) 와 (240)을 통해, 튜브(250)를 통해 단일 포트(202)의 환형 유입구(231)로 전달하여 헤드 공간을 가압하고, 액체 화학물질을 침지 튜브(201)(단일 포트(202)의 내부 튜브) 위로 블록 다이어프램 밸브 조립체(203)를 통해, 낮은 데드-공간 커넥터(204)를 통해, 블록 다이어프램 밸브 조립체(205)를 통해 화학물질 분배 도관(206)으로 밀어낼 수 있다. 버블러 모드에서, 운반 기체는 도관(206)을 통해, 블록 다이어프램 밸브 조립체 (205)와 (203)을 통해, 단일 포트(202)의 내부 침지 튜브(201)를 통해 전달하고, 기체는 용기(200) 내 화학물질 전역에 버블링하여 단일 포트(202)의 환형 출구(231)를 통해 그리고 블록 다이어프램 밸브 조립체(240), 낮은 데드 공간 커넥터(241), 블록 다이어프램 밸브 조립체(242)를 통해 도관(243) 외부로 증기로서 이동할 수 있다.
도 14에 도시한 매니폴드의 원하는 기능에 따라, 매니폴드는 도시한 것 초과 또는 미만의 블록 다이어프램 밸브 조립체를 구비할 수도 있다. 예를 들어, 도관 (211), (210) 및 (208)은 폐기물 라인에 연결된 도관(220) 및 액체 기화기에 연결된 (206)과 함께 퍼지 기체, 용매 및 벌크 재충전에 각각 연결할 수도 있다. 퍼지 기체와 용매 교체를 위하여 매니폴드를 세정하는 것은 밸브 (V14) 및 (V13)을 각각 사용할 수 있고, (V2)를 통해 낮은 데드-볼륨 커넥터(222), 체크 밸브(221) 및 폐기물(220)로 흘려보낼 수 있다. 퍼지 기체 스윕에 이어서 용매 린스(rinse) 및 퍼지 기체 건조가 세정 사이클의 예일 것이다. 전구체 재충전은 도관(208)에 대한 벌크 시스템으로부터의 전구체를 밸브(V9), 블록 다이어프램 밸브 조립체 (205)와 (203)을 통해 단일 포트(202) 내 침지 튜브(201)를 경유하여 용기(200)로 전달함으로써 이루어질 수 있다.
앰풀의 침지 튜브가 없는 측부상의 도관 (248), (247) 및 (245)와 밸브 조립체 (246) 및 (244)는 원하는 기능에 따라 퍼지, 진공, 용매 린스의 유사한 기능을 가질 수 있다.
본 발명의 다양한 수정 및 변경은 앰풀 및 어댑터를 위한 구성의 상이한 재료(예를 들어, 구리, 스테인리스강, 알루미늄, 니켈, 테플론, 등)의 사용; 피팅과 밸브를 결합하는 상이한 방법(예를 들어, 용접, 기계 가공, 수축 피팅, 등)의 이용; 상이한 밸브 스타일(예를 들어, 평평하거나 윤곽이 있음) 및 제조업체(예를 들어, Parker, Hy-Tech, Swagelok, Fujikin, 등)의 이용; 상이한 크기의 밸브 및 튜브(예를 들어, ⅛인치, ¼인치, ½인치, 등)의 사용; 튜브의 길이가 다양할 수 있는 것; 튜브가 임의로 길이를 따라 구멍을 가질 수 있는 것; 및 튜브의 길이(즉, 균일하지 않은 단면)를 따라 튜브를 변경할 수 있는 것을 포함한다.
도 1, 5, 12, 13 및 14를 참조하여 상술한 바와 같이, 본 발명은
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
운반 기체를 수용할 수 있고, 기상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 버블러가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 버블러로서, 튜브를 통해 운반 기체가 소스 화학물질에 버블링하여 소스 화학물질 증기의 적어도 일부가 상기 운반 기체 중에 비말 동반하게 하여 충전 레벨 위의 상기 내부 기체 공간으로의 기상 반응물의 흐름을 생성할 수 있고, 상기 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 유입구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 출구 단부를 갖는, 상기 버블러; 및
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 기상 반응물을 상기 용기의 내부 기체 공간으로부터 분배할 수 있는, 상기 제1 포트 부분
을 포함하는, 부분적으로는 기상 반응물 분배 장치에 관한 것이다.
기상 반응물 분배 장치는 운반 기체 공급 유입구 피팅이 연결되어 있는 버블러, 및 버블러의 위쪽으로 그리고 외부로 운반 기체 공급 유입구 피팅으로부터 연장되어 운반 기체를 용기의 내부 공간으로 전달하기 위한 운반 기체 공급 라인을 더 포함하고, 운반 기체 공급 라인은 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함한다. 기상 반응물 분배 장치는 기상 반응물 출구 피팅이 연결되어 있는 제3 포트 부분, 및 제3 포트 부분의 위쪽으로 그리고 외부로 기상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 기상 반응물을 이동시키기 위한 기상 반응물 방출 라인을 더 포함하고, 기상 반응물 방출 라인은 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함한다.
기상 반응물 분배 장치는 기상 전달 증착 시스템과 기상 반응물 흐름 소통하는 기상 반응물 방출 라인을 더 포함하고, 상기 증착 시스템은 화학 증착 시스템 및 원자층 증착 시스템으로부터 선택한다.
도 5, 6, 9, 10, 13 및 14를 참조하여 상술한 바와 같이, 본 발명은
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
불활성 기체를 수용할 수 있고, 액상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 침지 튜브가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 침지 튜브로서, 튜브를 통해 액상 반응물을 상기 장치로부터 분배할 수 있고, 상기 침지 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 출구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 유입구 단부를 갖는, 상기 침지 튜브; 및
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 불활성 기체를 충전 레벨 위의 내부 기체 공간으로 공급하여 충전 레벨 위의 내부 기체 공간을 가압할 수 있는, 상기 제1 포트 부분
을 포함하는, 부분적으로는 액상 반응물 분배 장치에 관한 것이다.
액상 반응물 분배 장치는 불활성 기체 공급 유입구 피팅이 연결되어 있는 제3 포트 부분; 및 제3 포트 부분의 위쪽으로 그리고 외부로 불활성 기체 공급 유입구 피팅으로부터 연장되어 불활성 기체를 용기의 내부 공간으로 전달하기 위한 불활성 기체 공급 라인을 더 포함하고, 불활성 기체 공급 라인은 통과하는 불활성 기체의 흐름을 제어하기 위한 불활성 기체 흐름 제어 밸브를 포함한다. 액상 반응물 분배 장치는 액상 반응물 출구 피팅이 연결되어 있는 침지 튜브; 및 상기 제1 포트 부분의 위쪽으로 그리고 외부로 액상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 액상 반응물을 이동시키기 위한 액상 반응물 방출 라인을 더 포함하고, 액상 반응물 방출 라인은 통과하는 액상 반응물의 흐름을 제어하기 위한 액상 반응물 흐름 제어 밸브를 임의로 포함한다.
액상 반응물 분배 장치는 기화 장치와 액상 반응물 흐름 소통하는 액상 반응물 방출 라인을 더 포함하고, 상기 기화 장치는 기상 전달 증착 시스템과 기상 반응물 흐름 소통하고, 상기 증착 시스템은 화학 증착 시스템 및 원자층 증착 시스템으로부터 선택한다.
도 7 및 8을 참조하여 상술한 바와 같이, 본 발명은
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
운반 기체를 수용할 수 있고, 기상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 튜브가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 상기 내부 기체 공간으로 연장되는 상기 튜브로서, 상기 튜브를 통해 운반 기체를 충전 레벨 위의 상기 내부 기체 공간으로 공급하여 상기 소스 화학물질의 증기가 상기 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있고, 상기 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 유입구 단부 및 상기 내부 기체 공간에 위치한 출구 단부를 갖는, 상기 튜브; 및
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 기상 반응물을 상기 용기의 내부 기체 공간으로부터 분배할 수 있는, 상기 제1 포트 부분
을 포함하는, 부분적으로는 기상 반응물 분배 장치에 관한 것이다.
기상 반응물 분배 장치는 운반 기체 공급 유입구 피팅이 연결되어 있는 튜브, 및 튜브의 위쪽으로 그리고 외부로 운반 기체 공급 유입구 피팅으로부터 연장되어 운반 기체를 용기의 내부 공간으로 전달하기 위한 운반 기체 공급 라인을 더 포함하고, 운반 기체 공급 라인은 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함한다. 기상 반응물 분배 장치는 기상 반응물 출구 피팅이 연결되어 있는 제3 포트 부분, 및 제3 포트 부분의 위쪽으로 그리고 외부로 기상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 기상 반응물을 이동시키기 위한 기상 반응물 방출 라인을 더 포함하고, 기상 반응물 방출 라인은 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함한다.
기상 반응물 분배 장치는 기상 전달 증착 시스템과 기상 반응물 흐름 소통하는 기상 반응물 방출 라인을 더 포함하고, 상기 증착 시스템은 화학 증착 시스템 및 원자층 증착 시스템으로부터 선택한다.
용기 또는 앰풀은 일반적으로 스테인리스강, 예컨대 316L로부터 기계 가공하고, 용이한 세정 및 전구체 액체 또는 고체 소스 화학물질의 오염을 방지하도록 전자 연마한다. 커버 또는 상벽 부재는 세정 및 재사용을 용이하게 하도록 임의로 제거가능하다. 용기는 원통형 측벽 부재 또는 비원통형 측벽 부재를 포함할 수 있다.
체결 수단은 피팅을 대향 표면을 통해 단일 포트 개구에 고정하는 데 사용한다. 적절한 체결 수단은 예를 들어 수형(male) 너트 또는 본체 육각형과 암형(female) 너트의 맞물림을 포함한다.
용기는 전구체와 반응하지 않는 재료를 사용하여 제조해야 한다. 상술한 바와 같이, 스테인리스강은 통상적으로 전구체 용기를 제조하기 위한 재료로서 선택한다. 튜브는 유사한 재료(예를 들어 스테인리스강 또는 니켈)로 이루어진다.
앰풀은 화학물질을 최종 사용자 장비로 전달하게 하는 유입구 및 출구 밸브를 포함할 수 있다. 임의적인 앰풀 장비는 충전 포트 및 소스 화학물질 레벨 센서를 구비하여 언제 앰풀이 거의 비게 되는지를 판정한다. 용기에 있는 물질은 낮은 증기압 화학물질의 경우에는 진공하에서 또는 증기를 운반하는 불활성 기체를 사용하여 전달한다. 대안으로, 물질은 침지 튜브를 통해 최종 사용자 장비에 액체로서 전달될 수도 있고, 필요에 따라 기화 또는 분배될 수 있다.
온도 센서는 균일한 열 전도를 보증하도록 바람직하게는 앰풀에 포함된다. 소스 화학물질 레벨 센서는 소스 화학물질의 효과적인 사용을 보증하도록 바람직하게는 앰풀에 포함된다. 밸브 및 소스 화학물질 레벨 센서는 면 시일 연결부를 통해 부착되어 깨끗한 누출 방지 시일을 보증한다. 클린룸에서 조립되면, 앰풀은 흡착된 물을 제거하도록 컨디셔닝되고, 헬륨 누출 검출기로 누출을 검사한다. 앰풀은 수 토르(torr)부터 주변압보다 약간 높은 압력에서 사용하도록 설계한다.
본 발명의 한 실시양태에서, 온도 센서는 용기의 상단 외부로부터 상벽 부재의 한 부분을 통해 일반적으로 수직 아래쪽으로 용기의 내부 공간으로 연장되고, 온도 센서의 하단은 하벽의 표면에 충돌하지 않도록 근접하게 위치한다. 소스 화학물질 레벨 센서는 용기의 상단 외부로부터 상벽 부재의 한 부분을 통해 일반적으로 수직 아래쪽으로 용기의 내부 공간으로 연장되고, 소스 화학물질 레벨 센서의 하단은 하벽의 표면에 충돌하지 않도록 근접하게 위치한다. 온도 센서는 용기에 동작가능하게 배치하여 용기 내 소스 화학물질의 온도를 판정하고, 소스 화학물질 레벨 센서는 용기에 동작가능하게 배치하여 용기 내 소스 화학물질의 레벨을 판정하고, 온도 센서 및 소스 화학물질 레벨 센서는 용기 내에서 서로 충돌하지 않도록 근접하게 위치하고, 온도 센서의 하단은 소스 화학물질 레벨 센서의 하단에 비해 용기의 표면에 동일하게 또는 더욱 근접하게 위치하고, 온도 센서 및 소스 화학물질 레벨 센서는 용기에서 소스 화학물질 흐름 소통한다. 소스 화학물질 레벨 센서는 초음파 센서, 광 센서, 용량 센서 및 플로트 타입 센서로부터 선택하고, 상기 온도 센서는 보호관 및 열전쌍을 포함한다.
본 발명의 한 실시양태에서, 하벽 부재는 온도 센서, 소스 화학물질 레벨 센서, 침지 튜브 및/또는 버블러 튜브의 하단이 배치될 수도 있는 섬프 캐비티(sump cavity)를 제공한다. 이와 같은 구성으로 인해 최초에 공급된 액체 또는 고체 소스 화학물질 부피의 높은 비율, 예컨대 95% 이상, 바람직하게는 98% 이상을 소스 화학물질을 선택적으로 분배하는 응용에 사용할 수 있다. 이 구성은 소스 화학물질 공급 및 분배 시스템과 분배된 소스 화학물질을 사용하는 공정의 경제성을 또한 개선할 수 있다.
본 발명은 소스 화학물질 레벨 센서가 내용물의 한도를 신호로 알려주는 경우 반도체 전구체 화학물질의 최소량이 앰풀 또는 버블러에 남아있게 하는 것을 가능하게 한다. 이는 반도체 전구체의 복잡도와 비용이 상승함에 따라 매우 중요하다. 비용을 최소화하기 위하여, 반도체 제조업자는 전구체를 가능한 한 적게 낭비하기를 원할 것이다. 또한, 본 발명은 온도 센서를 소스 화학물질 레벨 센서와 동일하게 오목한 섬프 캐비티에 배치한다. 이는, 소스 화학물질 레벨 센서가 전구체의 존재를 나타내기만 하면 소스 화학물질 반도체 전구체의 실제 온도를 판독할 것이라는 점을 보증한다. 이는 안전 관점에서 중요하다. 온도 센서가 반도체 전구체의 외부에 있다면 잘못된 낮은 온도 신호를 가열 장치에 송신한다. 이는, 반도체 전구체의 불안전한 상태와 분해를 야기할 수 있는 과도한 열을 앰풀에 적용할 수 있다.
다시, 용기 또는 앰풀을 참조하면, 용기는 소스 화학물질 레벨 센서를 구비할 수 있고, 소스 화학물질 레벨 센서는 용기의 상부 밖으로부터 아래쪽으로 용기의 상벽 부재 중심에 위치하지 않은 한 부분을 통해 하벽 부재상의 중심에 위치하지 않은 하단으로 연장되어 용기의 섬프 캐비티의 표면에 근접하고, 소스 화학물질 반응물이 용기에 포함되어 있는 경우 소스 화학물질 반응물의 적어도 95%의 사용을 가능하게 한다. 소스 화학물질 레벨 센서의 상부는 소스 화학물질 레벨 감지 신호 전송 라인을 통해 중앙 처리 유닛에 연결하여 시스템의 동작 동안 감지된 소스 화학물질 레벨 신호를 소스 화학물질 레벨 센서로부터 중앙 처리 유닛으로 전송할 수도 있다.
유사한 방식으로, 용기는 온도 센서, 즉 보호관 및 열전쌍을 구비할 수 있고, 온도 센서는 용기의 상부 밖으로부터 아래쪽으로 용기의 상벽 부재의 중심에 위치한 한 부분을 통해 하벽 부재상의 중심에 위치한 하단으로 연장되어 용기의 섬프 캐비티의 표면에 근접한다. 온도 센서의 상부는 온도 감지 신호 전송 라인을 통해 중앙 처리 유닛에 연결하여 시스템의 동작 동안 감지된 온도 신호를 온도 센서로부터 중앙 처리 유닛으로 전송할 수도 있다.
적당한 마이크로프로세서, 컴퓨터 또는 다른 적당한 제어 수단을 포함할 수도 있는 중앙 처리 유닛은 제어 신호 전송 라인을 통해 흐름 제어 밸브에 또한 연결할 수도 있어 (예컨대, 적당한 밸브 구동기 요소를 통해) 흐름 제어 밸브를 선택적으로 조정하고 운반 기체의 용기로의 흐름을 제어한다. 중앙 처리 유닛은 제어 신호 전송 라인을 통해 제2 흐름 제어 밸브에 또한 연결할 수도 있어 (예컨대, 적당한 밸브 구동기 요소를 통해) 흐름 제어 밸브를 선택적으로 조정하고 기상 또는 액상 반응물의 용기로부터의 방출을 제어한다. 본 발명의 목적상, 흐름 제어 밸브는 분리 밸브, 계량 밸브 등을 포함한다.
본 발명으로 인해 반도체 제조업자는 앰풀의 교체 전에 최대량의 전구체를 사용하면서 매우 적게 낭비한다. 이는 반도체 전구체 및 특정 응용의 투자에 대한 낭비를 최소화하고 수익을 최대화한다.
본 발명에 유용한 소스 화학물질은 넓은 범위에서 변경할 수 있고, 예를 들어 주기율표의 2족, 3족, 4족, 5족, 6족, 7족, 8족, 9족, 10족, 11족, 12족, 13족, 14족, 15족, 16족, 및 란탄 계열로부터 선택된 금속을 위한 액체 또는 고체 전구체를 포함할 수 있다. 예시적인 소스 화학물질은 예를 들어 루테늄, 하프늄, 탄탈, 몰리브덴, 백금, 금, 티타늄, 납, 팔라듐, 지르코늄, 비스무트, 스트론튬, 바륨, 칼슘, 안티몬 및 탈륨으로부터 선택된 금속을 위한 액체 또는 고체 전구체, 혹은 규소, 게르마늄 및 텔루르로부터 선택된 반금속을 위한 전구체를 포함한다. 바람직한 유기금속 전구체 화합물은 루테늄-함유, 하프늄-함유, 탄탈-함유 및/또는 몰리브덴-함유 유기금속 전구체 화합물을 포함한다.
승화하는 고체 소스 화학물질 및 가열 시 용융되는 고체 소스 화학물질을 본 발명에 사용할 수 있다. 예를 들어, 승화하는 고체 소스 화학물질은 도 1에 도시한 기상 반응물 분배 장치에 사용할 수 있다. 가열 시 용융되는 고체 소스 화학물질은 도 1에 도시한 기상 반응물 분배 장치 및 도 1에 도시한 액상 반응물 분배 장치에 사용할 수 있다. 마찬가지로, 액체 소스 화학물질은 도 1에 도시한 기상 반응물 분배 장치 및 도 1에 도시한 액상 반응물 분배 장치에 사용할 수 있다. 승화하는 고체 소스 화학물질을 사용하는 경우, 먼지 봉입 장비를 사용할 필요가 있을 수도 있다.
본 발명에 유용한 기상 또는 액상 반응물은 넓은 범위에서 변경할 수 있고, 예를 들어 주기율표의 2족, 3족, 4족, 5족, 6족, 7족, 8족, 9족, 10족, 11족, 12족, 13족, 14족, 15족, 16족, 및 란탄 계열로부터 선택된 금속을 위한 액체 또는 고체 전구체를 포함할 수 있다. 예시적인 전구체는 예를 들어 루테늄, 하프늄, 탄탈, 몰리브덴, 백금, 금, 티타늄, 납, 팔라듐, 지르코늄, 비스무트, 스트론튬, 바륨, 칼슘, 안티몬 및 탈륨으로부터 선택된 금속을 위한 전구체, 혹은 규소, 게르마늄 및 텔루르로부터 선택된 반금속을 위한 전구체를 포함한다. 바람직한 유기금속 전구체 화합물은 루테늄-함유, 하프늄-함유, 탄탈-함유 및/또는 몰리브덴-함유 유기금속 전구체 화합물을 포함한다.
기상 반응물 분배 장치는 운반 기체 공급 라인에 연결한 운반 기체 공급원을 더 포함할 수 있다. 마찬가지로, 액상 반응물 분배 장치는 불활성 기체 공급 라인에 연결한 불활성 기체 공급원을 더 포함할 수 있다.
도 1, 5, 12, 13 및 14를 참조하면, 기상 반응물 분배 장치는
화학 증착 챔버 및 원자층 증착 챔버로부터 선택된 증착 챔버;
기상 반응물 분배 장치를 증착 챔버에 연결하는 기상 반응물 방출 라인;
임의로 증착 챔버 내에 포함되고, 기상 반응물 방출 라인에 대하여 수용 관계에 있는 가열식 서셉터(susceptor); 및
증착 챔버에 연결되는 유출물 방출 라인
을 더 포함할 수 있고,
기상 반응물은 기상 반응물 방출 라인을 통해 증착 챔버로 흘러 임의로 가열식 서셉터상의 기판과 접촉하고, 임의의 잔여 유출물은 유출물 방출 라인을 통해 방출한다.
기판은 금속, 금속 규화물, 금속 탄화물, 금속 질화물, 반도체, 절연체 및 배리어 물질로부터 선택된 물질로 구성한다. 기판은 바람직하게는 패터닝된 웨이퍼이다.
도 5, 6, 9, 10, 13 및 14를 참조하면, 액상 반응물 분배 장치는
화학 증착 챔버 및 원자층 증착 챔버로부터 선택된 증착 챔버;
액상 반응물 분배 장치를 기화 장치에 연결하는 액상 반응물 방출 라인;
운반 기체 공급 유입구가 있는 기화 장치의 한 부분으로서, 운반 기체 공급 유입구를 통해 운반 기체를 상기 기화 장치에 공급하여 상기 액상 반응물의 증기가 상기 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있는, 기화 장치의 한 부분;
기상 반응물 출구가 있는 기화 장치의 한 부분으로서, 기상 반응물 출구를 통해 상기 기상 반응물을 상기 기화 장치로부터 분배할 수 있는, 기화 장치의 한 부분;
기화 장치의 외부로 운반 기체 공급 유입구로부터 연장되어 운반 기체를 상기 기화 장치에 전달하기 위한 운반 기체 공급 라인으로서, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는 운반 기체 공급 라인;
기화 장치의 외부로 기상 반응물 출구로부터 연장되어 기상 반응물을 상기 기화 장치로부터 상기 증착 챔버로 이동시키기 위한 기상 반응물 방출 라인으로서, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는 기상 반응물 방출 라인;
임의로 증착 챔버 내에 포함되고, 기화 장치에 대하여 수용 관계에 있는 가열식 서셉터; 및
증착 챔버에 연결되는 유출물 방출 라인
을 더 포함할 수 있고,
기상 반응물은 기상 반응물 방출 라인을 통해 증착 챔버로 흘러 임의로 가열식 서셉터상의 기판과 접촉하고, 임의의 잔여 유출물은 유출물 방출 라인을 통해 방출한다.
기판은 금속, 금속 규화물, 금속 탄화물, 금속 질화물, 반도체, 절연체 및 배리어 물질로부터 선택된 물질로 구성한다. 기판은 바람직하게는 패터닝된 웨이퍼이다.
도 7 및 8을 참조하면, 기상 반응물 분배 장치는
화학 증착 챔버 또는 원자층 증착 챔버로부터 선택된 증착 챔버;
기상 반응물 분배 장치를 증착 챔버에 연결하는 기상 반응물 방출 라인;
임의로 증착 챔버 내에 포함되고, 기상 반응물 방출 라인에 대하여 수용 관계에 있는 가열식 서셉터; 및
증착 챔버에 연결되는 유출물 방출 라인
을 더 포함할 수 있고,
기상 반응물은 기상 반응물 방출 라인을 통해 증착 챔버로 흘러 임의로 가열식 서셉터상의 기판과 접촉하고, 임의의 잔여 유출물은 유출물 방출 라인을 통해 방출한다.
기판은 금속, 금속 규화물, 금속 탄화물, 금속 질화물, 반도체, 절연체 및 배리어 물질로부터 선택된 물질로 구성한다. 기판은 바람직하게는 패터닝된 웨이퍼이다.
상술한 바와 같이, 본 발명은 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
(a) 기상 반응물 분배 장치를 제공하는 단계로서,
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
운반 기체를 수용할 수 있고, 기상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 버블러가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 버블러로서, 튜브를 통해 운반 기체가 소스 화학물질에 버블링하여 소스 화학물질 증기의 적어도 일부가 상기 운반 기체 중에 비말 동반하게 하여 충전 레벨 위의 상기 내부 기체 공간으로의 기상 반응물의 흐름을 생성할 수 있고, 상기 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 유입구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 출구 단부를 갖는, 상기 버블러;
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 기상 반응물을 상기 용기의 내부 기체 공간으로부터 분배할 수 있는, 상기 제1 포트 부분;
운반 기체 공급 유입구 피팅이 연결되어 있는 상기 버블러;
버블러의 위쪽으로 그리고 외부로 운반 기체 공급 유입구 피팅으로부터 연장되어 운반 기체를 용기의 내부 공간으로 전달하기 위한 운반 기체 공급 라인으로서, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는 운반 기체 공급 라인;
기상 반응물 출구 피팅이 연결되어 있는 상기 제3 포트 부분; 및
제3 포트 부분의 위쪽으로 그리고 외부로 기상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 기상 반응물을 이동시키기 위한 기상 반응물 방출 라인으로서, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는 기상 반응물 방출 라인
을 포함하는 기상 반응물 분배 장치를 제공하는 단계;
(b) 소스 화학물질을 상기 기상 반응물 분배 장치에 첨가하는 단계;
(c) 소스 화학물질을 기화시키기 충분한 온도까지 상기 기상 반응물 분배 장치 내 소스 화학물질을 가열하여 기상 반응물을 제공하는 단계;
(d) 운반 기체를 상기 운반 기체 공급 라인 및 상기 튜브를 통해 상기 기상 반응물 분배 장치로 공급하는 단계;
(e) 상기 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 상기 기상 반응물 분배 장치로부터 인출하는 단계; 및
(f) 기상 반응물과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것이다.
본 방법은
(g) 기상 반응물을 임의로 증착 챔버 내 가열식 서셉터상의 기판과 접촉시키는 단계; 및
(h) 증착 챔버에 연결된 유출물 방출 라인을 통해 임의의 잔여 유출물을 방출하는 단계
를 더 포함할 수 있다. 증착 챔버는 화학 증착 챔버 및 원자층 증착 챔버로부터 선택할 수 있다.
상술한 시스템의 동작 시, 소스 화학물질은 용기에 배치하고, 소스 화학물질을 기화시키기 충분한 온도까지 가열한다. 운반 기체는 운반 기체 공급 라인을 통해 운반 기체 공급 유입구로 흐르고 버블러 튜브를 통해 소스 화학물질에 버블링한다. 운반 기체 흐름 제어 밸브는 소스 화학물질에 방출되는 운반 기체의 흐름을 제어한다. 소스 화학물질로부터의 증기가 운반 기체 중에 비말 동반되어 기상 반응물을 생성한다.
기상 반응물은 기상 반응물 출구와 기상 반응물 방출 라인을 통해 내부 기체 공간으로부터 방출된다. 기상 반응물은 기상 반응물 방출 라인을 통해 증착 챔버로 흐른다. 기상 반응물 흐름 제어 밸브는 증착 챔버로 흐르는 기상 반응물의 흐름을 제어한다. 증착 챔버에서 기상 반응물은 가열식 기판 또는 다른 장착 구조물상에 장착되는 웨이퍼 또는 다른 기판 소자에 증착된다. 증착 챔버로부터의 유출물 증기는 유출물 방출 라인에서 방출된다. 유출물은 재순환, 재생, 폐기물 처리, 처분 또는 기타 처리 수단에 전달될 수도 있다.
이러한 동작 동안, 용기 내 소스 화학물질 충전 레벨은 소스 화학물질 레벨 센서가 검출한다. 액체 전구체 화학물질이 화학 증착 또는 원자층 증착 공정의 말엽에 변경될 수 있도록 용기 내부의 액체 전구체 화학물질이 언제 거의 소진되는지를 아는 것이 중요하다. 소스 화학물질 레벨은 점점 내려가고, 결국에는 섬프 캐비티의 최소 액체 수위(섬프 캐비티 내 액체의 높이)로 떨어지는데, 이 지점에서 중앙 처리 유닛은 대응하는 감지된 소스 화학물질 레벨 신호를 소스 화학물질 레벨 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 운반 기체 흐름 제어 밸브로 전송하여 밸브를 잠그고 용기로의 운반 기체 흐름을 차단하고, 또한 동시에 제어 신호를 제어 신호 전송 라인을 통해 전송하여 기상 반응물 흐름 제어 밸브를 잠그고 용기로부터의 기상 반응물 흐름을 차단한다.
또한, 이러한 동작 동안, 용기 내 소스 화학물질의 온도는 온도 센서가 검출한다. 용기 내부의 액체 전구체 화학물질의 온도를 모니터하여 증기압을 제어하는 것이 중요하다. 용기 내 소스 화학물질의 온도가 너무 높거나 너무 낮아지면, 중앙 처리 유닛은 대응하는 감지된 온도 신호를 온도 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 가열 수단으로 전송하여 온도를 필요한 만큼 낮추거나 높인다.
본 발명의 기상 반응물 분배 장치, 예를 들어 버블러는 액체 및 고체 물질, 예컨대 화학 증착, 원자층 증착 및 이온 주입 공정에 사용하는 액체 및 고체 소스 반응물의 기화에 유용할 수도 있다. 예를 들어 미국특허번호 7,077,388 B2를 참조한다.
상술한 바와 같이, 본 발명은 부분적으로는 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
(a) 액상 반응물 분배 장치를 제공하는 단계로서,
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
불활성 기체를 수용할 수 있고, 액상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 침지 튜브가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 침지 튜브로서, 튜브를 통해 액상 반응물을 상기 장치로부터 분배할 수 있고, 상기 침지 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 출구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 유입구 단부를 갖는, 상기 침지 튜브;
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 불활성 기체를 충전 레벨 위의 내부 기체 공간으로 공급하여 충전 레벨 위의 내부 기체 공간을 가압할 수 있는, 상기 제1 포트 부분;
불활성 기체 공급 유입구 피팅이 연결되어 있는 상기 제3 포트 부분;
제3 포트 부분의 위쪽으로 그리고 외부로 불활성 기체 공급 유입구 피팅으로부터 연장되어 불활성 기체를 용기의 내부 공간으로 전달하기 위한 불활성 기체 공급 라인으로서, 통과하는 불활성 기체의 흐름을 제어하기 위한 불활성 기체 흐름 제어 밸브를 포함하는 불활성 기체 공급 라인;
액상 반응물 출구 피팅이 연결되어 있는 상기 침지 튜브; 및
제1 포트 부분의 위쪽으로 그리고 외부로 액상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 액상 반응물을 이동시키기 위한 액상 반응물 방출 라인으로서, 통과하는 액상 반응물의 흐름을 제어하기 위한 액상 반응물 흐름 제어 밸브를 임의로 포함하는 액상 반응물 방출 라인
을 포함하는 액상 반응물 분배 장치를 제공하는 단계;
(b) 액상 반응물을 상기 액상 반응물 분배 장치에 첨가하는 단계;
(c) 고체 소스 화학물질을 용융시키기 충분한 온도까지 상기 액상 반응물 분배 장치 내 고체 소스 화학물질을 임의로 가열하여 액상 반응물을 제공하는 단계;
(d) 불활성 기체를 상기 불활성 기체 공급 라인을 통해 상기 액상 반응물 분배 장치로 공급하는 단계;
(e) 상기 튜브와 상기 액상 반응물 방출 라인을 통해 액상 반응물을 상기 액상 반응물 분배 장치로부터 인출하는 단계;
(f) 기화 장치를 제공하는 단계로서,
액상 반응물을 기화시키기 위해 내부 용기 구획을 형성하도록 구성된 용기;
액상 반응물 분배 장치를 상기 기화 장치에 연결하는 상기 액상 반응물 방출 라인;
운반 기체 공급 유입구가 있는 기화 장치의 한 부분으로서, 운반 기체 공급 유입구를 통해 운반 기체를 상기 기화 장치에 공급하여 상기 액상 반응물의 증기가 상기 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있는, 기화 장치의 한 부분;
기상 반응물 출구가 있는 기화 장치의 한 부분으로서, 기상 반응물 출구를 통해 상기 기상 반응물을 상기 기화 장치로부터 분배할 수 있는, 기화 장치의 한 부분;
기화 장치의 외부로 운반 기체 공급 유입구로부터 연장되어 운반 기체를 상기 기화 장치에 전달하기 위한 운반 기체 공급 라인으로서, 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브를 포함하는 운반 기체 공급 라인;
기화 장치의 외부로 기상 반응물 출구로부터 연장되어 기상 반응물을 상기 기화 장치로부터 상기 증착 챔버로 이동시키기 위한 기상 반응물 방출 라인으로서, 통과하는 기상 반응물의 흐름을 제어하기 위한 하나 이상의 기상 반응물 흐름 제어 밸브를 포함하는 기상 반응물 방출 라인
을 포함하는 기화 장치를 제공하는 단계;
(g) 액상 반응물을 상기 기화 장치에 공급하는 단계;
(h) 액상 반응물을 기화시키기 충분한 온도까지 상기 기화 장치 내 액상 반응물을 가열하여 상기 기상 반응물을 제공하는 단계;
(i) 운반 기체를 상기 운반 기체 공급 라인을 통해 상기 기화 장치에 공급하는 단계;
(j) 상기 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 상기 기화 장치로부터 인출하는 단계; 및
(k) 기상 반응물과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것이다.
본 방법은
(l) 기상 반응물을 임의로 증착 챔버 내 가열식 서셉터상의 기판과 접촉시키는 단계; 및
(m) 증착 챔버에 연결된 유출물 방출 라인을 통해 임의의 잔여 유출물을 방출하는 단계
를 더 포함할 수 있다. 증착 챔버는 화학 증착 챔버 및 원자층 증착 챔버로부터 선택할 수 있다.
상술한 시스템의 동작 시, 소스 화학물질은 용기에 배치하고, 불활성 기체는 불활성 기체 공급 라인을 통해 불활성 기체 공급 유입구 및 충전 레벨 위의 내부 기체 공간으로 흘러 충전 레벨 위의 내부 기체 공간을 가압한다. 불활성 기체 흐름 제어 밸브는 충전 레벨 위의 내부 기체 공간으로 방출되는 불활성 기체의 흐름을 제어한다.
액상 반응물은 액상 반응물 출구(예컨대, 침지 튜브)와 액상 반응물 방출 라인을 통해 용기로부터 방출된다. 액상 반응물은 액상 반응물 방출 라인에서 증착 챔버로 흐른다. 액상 반응물 흐름 제어 밸브는 기화 장치로 흐르는 액상 반응물의 흐름을 제어한다.
기화 장치에서는 액상 반응물이 기화되어 다음의 증착 동작을 위한 소스 증기를 형성한다. 기화 장치는 액상 반응물의 기화를 통해 생성된 소스 증기와 조합하거나 혼합하기 위한 운반 기체를 또한 수용할 수도 있다. 대안으로, 소스 증기는 순수한 형태로 다음의 증착 동작으로 전달될 수도 있다. 여하튼, 기화 장치로부터의 소스 증기는 기상 반응물 방출 라인을 통해 증착 챔버로 흐른다. 증착 챔버에서 기상 반응물은 가열식 기판 또는 다른 장착 구조물상에 장착되는 웨이퍼 또는 다른 기판 소자에 증착된다. 증착 챔버로부터의 유출물 증기는 유출물 방출 라인에서 방출된다. 유출물은 재순환, 재생, 폐기물 처리, 처분 또는 기타 처리 수단에 전달될 수도 있다.
이러한 동작 동안, 용기 내 소스 화학물질 충전 레벨은 소스 화학물질 레벨 센서가 검출한다. 액체 전구체 화학물질이 화학 증착 또는 원자층 증착 공정의 말엽에 변경될 수 있도록 용기 내부의 액체 전구체 화학물질이 언제 거의 소진되는지를 아는 것이 중요하다.
소스 화학물질 레벨은 점점 내려가고, 결국에는 섬프 캐비티의 최소 액체 수위(섬프 캐비티 내 액체의 높이)로 떨어지는데, 이 지점에서 중앙 처리 유닛은 대응하는 감지된 소스 화학물질 레벨 신호를 소스 화학물질 레벨 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 운반 기체 흐름 제어 밸브로 전송하여 밸브를 잠그고 용기로의 운반 기체 흐름을 차단하고, 또한 동시에 제어 신호를 제어 신호 전송 라인을 통해 전송하여 액상 반응물 흐름 제어 밸브를 잠그고 용기로부터의 액상 반응물 흐름을 차단한다.
또한, 이러한 동작 동안, 용기 내 소스 화학물질의 온도는 온도 센서가 검출한다. 용기 내부의 액체 전구체 화학물질의 온도를 모니터하여 증기압을 제어하는 것이 중요하다. 용기 내 소스 화학물질의 온도가 너무 높아지거나 너무 낮아지면, 중앙 처리 유닛은 대응하는 감지된 온도 신호를 온도 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 가열 수단으로 전송하여 온도를 필요한 만큼 낮추거나 높인다.
본 발명의 액상 반응물 분배 장치는 화학 증착, 원자층 증착 및 이온 주입 공정에 사용하는 전구체와 같은 반응물의 분배에 유용할 수도 있고, 용기로부터 높은 레벨의 액상 반응물의 인출을 달성할 수 있다. 예를 들어 미국특허번호 6,077,356을 참조한다.
또 다른 실시양태에서, 본 발명은 부분적으로는 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
(a) 기상 반응물 분배 장치를 제공하는 단계로서,
충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
운반 기체를 수용할 수 있고, 기상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 상벽 부재;
상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 튜브가 연장되는 개구를 갖는, 상기 제1 포트 부분;
상기 제1 포트 부분의 중앙에 위치한 부분을 통해 상기 내부 기체 공간으로 연장되는 상기 튜브로서, 상기 튜브를 통해 운반 기체를 충전 레벨 위의 상기 내부 기체 공간으로 공급하여 상기 소스 화학물질의 증기가 상기 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있고, 상기 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 유입구 단부 및 상기 내부 기체 공간에 위치한 출구 단부를 갖는, 상기 튜브;
상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 기상 반응물을 상기 용기의 내부 기체 공간으로부터 분배할 수 있는, 상기 제1 포트 부분;
운반 기체 공급 유입구 피팅이 연결되어 있는 상기 튜브;
튜브의 위쪽으로 그리고 외부로 운반 기체 공급 유입구 피팅으로부터 연장되어 운반 기체를 용기의 내부 공간으로 전달하기 위한 운반 기체 공급 라인으로서, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는 운반 기체 공급 라인;
기상 반응물 출구 피팅이 연결되어 있는 상기 제3 포트 부분;
제3 포트 부분의 위쪽으로 그리고 외부로 기상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 기상 반응물을 이동시키기 위한 기상 반응물 방출 라인으로서, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는 기상 반응물 방출 라인
을 포함하는 기상 반응물 분배 장치를 제공하는 단계;
(b) 소스 화학물질을 상기 기상 반응물 분배 장치에 첨가하는 단계;
(c) 소스 화학물질을 기화시키기 충분한 온도까지 상기 기상 반응물 분배 장치 내 소스 화학물질을 가열하여 기상 반응물을 제공하는 단계;
(d) 운반 기체를 상기 운반 기체 공급 라인 및 상기 튜브를 통해 상기 기상 반응물 분배 장치로 공급하는 단계;
(e) 상기 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 상기 기상 반응물 분배 장치로부터 인출하는 단계; 및
(f) 기상 반응물과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것이다.
본 발명은
(g) 기상 반응물을 임의로 증착 챔버 내 가열식 서셉터상의 기판과 접촉시키는 단계; 및
(h) 증착 챔버에 연결된 유출물 방출 라인을 통해 임의의 잔여 유출물을 방출하는 단계를 더 포함할 수 있다. 증착 챔버는 화학 증착 챔버 및 원자층 증착 챔버로부터 선택할 수 있다.
상술한 시스템의 동작 시, 소스 화학물질은 용기에 배치하고, 소스 화학물질을 기화시키기 충분한 온도까지 가열한다. 운반 기체는 운반 기체 공급 라인을 통해 운반 기체 공급 유입구로 흐르고 버블러 튜브를 통해 소스 화학물질에 버블링한다. 운반 기체 흐름 제어 밸브는 소스 화학물질에 방출되는 운반 기체의 흐름을 제어한다. 소스 화학물질로부터의 증기가 운반 기체 중에 비말 동반되어 기상 반응물을 생성한다.
기상 반응물은 기상 반응물 출구와 기상 반응물 방출 라인을 통해 내부 기체 공간으로부터 방출된다.
기상 반응물은 기상 반응물 방출 라인을 통해 증착 챔버로 흐른다. 기상 반응물 흐름 제어 밸브는 증착 챔버로 흐르는 기상 반응물의 흐름을 제어한다. 증착 챔버에서 기상 반응물은 가열식 기판 또는 다른 장착 구조물상에 장착되는 웨이퍼 또는 다른 기판 소자에 증착된다. 증착 챔버로부터의 유출물 증기는 유출물 방출 라인에서 방출된다. 유출물은 재순환, 재생, 폐기물 처리, 처분 또는 기타 처리 수단에 전달될 수도 있다.
이러한 동작 동안, 용기 내 소스 화학물질 충전 레벨은 소스 화학물질 레벨 센서가 검출한다. 액체 전구체 화학물질이 화학 증착 또는 원자층 증착 공정의 말엽에 변경될 수 있도록 용기 내부의 액체 전구체 화학물질이 언제 거의 소진되는지를 아는 것이 중요하다. 소스 화학물질 레벨은 점점 내려가고, 결국에는 섬프 캐비티의 최소 액체 수위(섬프 캐비티 내 액체의 높이)로 떨어지는데, 이 지점에서 중앙 처리 유닛은 대응하는 감지된 소스 화학물질 레벨 신호를 소스 화학물질 레벨 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 운반 기체 흐름 제어 밸브로 전송하여 밸브를 잠그고 용기로의 운반 기체 흐름을 차단하고, 또한 동시에 제어 신호를 제어 신호 전송 라인을 통해 전송하여 기상 반응물 흐름 제어 밸브를 잠그고 용기로부터의 기상 반응물 흐름을 차단한다.
또한, 이러한 동작 동안, 용기 내 소스 화학물질의 온도는 온도 센서가 검출한다. 용기 내부의 액체 전구체 화학물질의 온도를 모니터하여 증기압을 제어하는 것이 중요하다. 용기 내 소스 화학물질의 온도가 너무 높아지거나 너무 낮아지면, 중앙 처리 유닛은 대응하는 감지된 온도 신호를 온도 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 가열 수단으로 전송하여 온도를 필요한 만큼 낮추거나 높인다.
본 발명의 기상 반응물 분배 장치, 즉 버블러는 액체 및 고체 물질, 예컨대 화학 증착, 원자층 증착 및 이온 주입 공정에 사용하는 액체 및 고체 소스 반응물의 기화에 유용할 수도 있다. 예를 들어 미국특허번호 7,077,388 B2를 참조한다.
본 발명의 한 실시양태에서, 유기금속 화합물은 분말, 막 또는 코팅을 형성하기 위한 기상 증착 기법에 사용한다. 화합물은 단일 소스 전구체로서 사용할 수 있거나, 하나 이상의 다른 전구체, 예를 들어 적어도 하나의 다른 유기금속 화합물 또는 금속 착체를 가열함으로써 생성한 증기와 함께 사용할 수 있다.
증착은 다른 기상 성분의 존재하에 수행할 수 있다. 본 발명의 한 실시양태에서, 막 증착은 적어도 하나의 비반응성 운반 기체의 존재하에 수행한다. 비반응성 기체의 예로는 질소, 아르곤, 헬륨과 같은 불활성 기체뿐만 아니라 공정 조건하에서 유기금속 화합물 전구체와 반응하지 않는 다른 기체가 있다. 다른 실시양태에서, 막 증착은 적어도 하나의 반응성 기체의 존재하에 수행한다. 사용할 수 있는 몇몇 반응성 기체는 히드라진, 산소, 수소, 공기, 산소가 풍부한 공기, 오존(O3), 아산화질소(N2O), 수증기, 유기 증기, 암모니아 등을 포함하지만, 이에 한정하지 않는다. 본 기술분야에 알려진 바와 같이, 예를 들어 공기, 산소, 산소가 풍부한 공기, O3, N2O와 같은 산화 가스 또는 산화 유기 화합물 증기의 존재는 금속 산화물 막의 형성을 촉진한다.
본 명세서에 기술한 증착 방법은 단일 금속을 포함하는 막, 분말 또는 코팅 혹은 단일 금속 산화물을 포함하는 막, 분말 또는 코팅을 형성하도록 수행할 수 있다. 혼성 막, 분말 또는 코팅, 예를 들면 혼성 금속 산화물 막을 또한 증착할 수 있다. 혼성 금속 산화물 막은 예를 들어 몇몇 유기금속 전구체를 사용함으로써 형성할 수 있는데, 유기금속 전구체 중 적어도 하나는 상술한 유기금속 화합물로부터 선택한다.
기상 막 증착은 원하는 두께, 예를 들어 1㎚ 미만부터 1㎜ 초과 범위의 막층을 형성하도록 수행할 수 있다. 본 명세서에 기술한 전구체는 박막, 예를 들어 약 1㎚ 내지 약 100㎚ 범위의 두께를 갖는 막을 생성하는 데 특히 유용하다. 본 발명의 막은 예를 들어 금속 전극, 특히 논리회로(logic) 내 n-채널 금속 전극, DRAM 응용을 위한 커패시터 전극 및 유전체 재료를 제조하기 위하여 고려할 수 있다.
증착 방법은 층상 막을 제조하는 데 또한 적합한데, 층들 중 적어도 두 층은 상 또는 조성이 상이하다. 층상 막의 예로는 금속-절연체-반도체 및 금속-절연체-금속이 있다.
유기금속 화합물 전구체는 원자층 증착, 화학 증착 또는 더욱 구체적으로는 본 기술분야에 알려진 유기금속 화학 증착 공정에 사용할 수 있다. 예를 들면, 상술한 유기금속 화합물 전구체는 대기압뿐만 아니라 저압 화학 증착 공정에 사용할 수 있다. 화합물은 전체 반응 챔버가 가열되는 방법인 고온 벽 화학 증착뿐만 아니라 기판만이 가열되는 기법인 저온 또는 온열 벽 타입 화학 증착에 사용할 수 있다.
상술한 유기금속 화합물 전구체는 플라스마로부터의 에너지 또는 전자기 에너지를 각각 이용하여 화학 증착 전구체를 활성화하는 플라스마 또는 광 보조 화학 증착 공정에 또한 사용할 수 있다. 화합물은 이온빔 또는 전자빔 각각이 기판으로 향하여 화학 증착 전구체를 분해하기 위한 에너지를 공급하는 이온빔, 전자빔 보조 화학 증착 공정에 또한 사용할 수 있다. 레이저광이 기판으로 향하여 화학 증착 전구체를 광분해 반응시키는 레이저 보조 화학 증착 공정을 또한 이용할 수 있다.
증착 방법은 예를 들면 본 기술분야에 알려진 고온 또는 저온 벽 반응기, 플라스마 보조, 빔 보조 또는 레이저 보조 반응기와 같은 다양한 화학 증착 반응기에서 수행할 수 있다.
증착 챔버에 유용한 예시적인 기판은 예를 들어 금속, 금속 규화물, 반도체, 절연체 및 배리어 물질로부터 선택된 물질을 포함한다. 바람직한 기판은 패터닝된 웨이퍼이다. 증착 방법을 이용하여 코팅할 수 있는 기판의 예는, 금속 기판, 예컨대 Al, Ni, Ti, Co, Pt, Ta; 금속 규화물, 예컨대 TiSi2, CoSi2, NiSi2; 반도체 물질, 예컨대 Si, SiGe, GaAs, InP, 다이아몬드, GaN, SiC; 절연체, 예컨대 SiO2, Si3N4, HfO2, Ta2O5, Al2O3, 바륨 스트론튬 티타네이트(BST); 배리어 물질, 예컨대 TiN, TaN; 또는 물질의 조합을 포함하는 기판과 같은 고체 기판을 포함한다. 또한, 막 또는 코팅은 유리, 세라믹, 플라스틱, 열경화성 중합체 물질 및 다른 코팅 또는 막층상에 형성할 수 있다. 바람직한 실시양태에서 막은 전자 컴포넌트의 제조 또는 가공에 사용하는 기판상에 증착된다. 다른 실시양태에서 기판은 고온에서 산화제의 존재하에 안정한 낮은 비저항(resistivity) 전도체 침착물 또는 광 투과 막을 지지하는 데 사용한다.
증착 방법은 매끄러운 평탄면을 갖는 기판상에 막을 증착하도록 수행할 수 있다. 한 실시양태에서, 본 방법은 웨이퍼 제조 또는 가공에 사용하는 기판상에 막을 증착하도록 수행한다. 예를 들면, 본 방법은 트렌치, 홀 또는 비아와 같은 특징부를 포함하는 패터닝된 기판상에 막을 증착하도록 수행할 수 있다. 또한, 증착 방법은 웨이퍼 제조 또는 가공의 다른 단계, 예를 들어 마스킹, 에칭 등과 통합할 수 있다.
화학 증착 막은 원하는 두께로 증착할 수 있다. 예를 들어, 형성된 막은 1 미크론 미만의 두께, 바람직하게는 500 나노미터 미만의 두께 및 더욱 바람직하게는 200 나노미터 미만의 두께일 수 있다. 50 나노미터 미만의 두께인 막, 예를 들면 약 0.1 내지 약 20 나노미터 두께를 갖는 막을 또한 생성할 수 있다.
상술한 유기금속 화합물 전구체를 본 발명의 방법에서 사용하여 원자층 증착 기법 또는 원자층 결정 핵 생성 기법을 통해 막을 형성할 수도 있는데, 이러한 기법 동안 기판은 전구체, 산화제 및 불활성 기체 스트림의 교대 펄스에 노출된다. 순차적 층 증착 기법은 예를 들어 미국특허번호 6,287,965 및 미국특허번호 6,342,277에 기술되어 있다. 양 특허의 전반적인 내용은 본원에 참조로서 포함된다.
예를 들어, 한 원자층 증착 사이클에서 기판은 a) 불활성 기체; b) 전구체 증기를 운반하는 불활성 기체; c) 불활성 기체; 및 d) 산화제 단독 또는 불활성 기체와 함께 산화제에 단계적으로 노출된다. 일반적으로, 각 단계는 장비가 허용하는 만큼 짧을 수 있고(예컨대, 밀리초), 공정이 요구하는 만큼 길 수 있다(예컨대, 수 초 또는 수 분). 한 사이클의 기간은 수 밀리초만큼 짧을 수 있고, 수 분만큼 길 수 있다. 사이클은 수 분부터 수 시간 범위일 수 있는 기간에 걸쳐 반복된다. 생성된 막은 수 나노미터로 얇을 수 있거나 더 두꺼운, 예컨대 1 밀리미터(㎜)일 수 있다.
따라서, 본 발명의 수단 및 방법은, 기상 또는 액상 반응물을 공급 및 분배하기 위한 시스템을 제공하고, 최초로 공급된 소스 화학물질의 95∼98%의 양을 기상 또는 액상 반응물이 선택적으로 분배되는 응용에 사용하게 한다는 점에서 본 기술분야의 실질적인 진보를 이룬다. 이체형(two-part) 앰풀의 세정 용이성은 일체형(one-part) 앰풀을 사용하여 얻을 수도 있는 것 이상으로 이러한 앰풀의 재사용을 가능하게 한다.
이에 대응하여, 반도체 및 초전도체 제품의 제조와 같은 동작 시, 본 발명의 수단 및 방법을 이용하여 소스 화학물질의 낭비를 분배 용기에 최초로 적재된 양의 2∼5%만큼 낮은 레벨로 낮추고, 앰풀을 수 회 재사용하는 것이 가능하다.
따라서, 본 발명의 실시는, 소스 화학물질 공급 및 기상 또는 액상 반응물 분배 시스템의 경제성과, 분배된 기상 또는 액상 반응물을 사용하는 공정을 두드러지게 개선한다. 본 발명의 몇몇 실시양태는 선행기술의 실시에서 낭비 레벨에 의해 제외된 실질적인 문제인 소스 화학물질의 비용 효과적인 사용을 가능하게 할 수도 있다.
본 발명의 다양한 수정과 변형은 본 기술분야의 숙련자에게는 분명하고, 그와 같은 수정과 변형은 본원의 범위 및 특허청구범위의 사상과 범위 내에 포함된다는 점을 이해하게 된다.

Claims (21)

  1. 기상 반응물 분배 장치로서,
    충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
    운반 기체를 수용할 수 있고, 기상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
    상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
    포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 버블러가 연장되는 개구를 갖는, 상기 제1 포트 부분;
    상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 버블러로서, 튜브를 통해 운반 기체가 소스 화학물질에 버블링하여 소스 화학물질 증기의 적어도 일부가 상기 운반 기체 중에 비말 동반하게 하여 충전 레벨 위의 상기 내부 기체 공간으로의 기상 반응물의 흐름을 생성할 수 있고, 상기 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 유입구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 출구 단부를 갖는, 상기 버블러; 및
    상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 기상 반응물을 상기 용기의 내부 기체 공간으로부터 분배할 수 있는, 상기 제1 포트 부분
    을 포함하는 기상 반응물 분배 장치.
  2. 제1항에 있어서,
    운반 기체 공급 유입구 피팅이 연결되어 있는 상기 버블러;
    버블러의 위쪽으로 그리고 외부로 운반 기체 공급 유입구 피팅으로부터 연장되어 운반 기체를 용기의 내부 공간으로 전달하기 위한 운반 기체 공급 라인으로서, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는 운반 기체 공급 라인;
    기상 반응물 출구 피팅이 연결되어 있는 상기 제3 포트 부분; 및
    제3 포트 부분의 위쪽으로 그리고 외부로 기상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 기상 반응물을 이동시키기 위한 기상 반응물 방출 라인으로서, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는 기상 반응물 방출 라인
    을 더 포함하는 기상 반응물 분배 장치.
  3. 제1항에 있어서,
    상기 용기 하벽 부재는 상기 용기 하벽 부재의 표면으로부터 아래쪽으로 연장되는 섬프 캐비티를 구비하는 기상 반응물 분배 장치.
  4. 제1항에 있어서,
    운반 기체 공급 라인에 연결된 운반 기체 공급원을 더 포함하는 기상 반응물 분배 장치.
  5. 제1항에 있어서,
    화학 증착 챔버 또는 원자층 증착 챔버로부터 선택된 증착 챔버;
    기상 반응물 분배 장치를 증착 챔버에 연결하는 기상 반응물 방출 라인;
    임의로 증착 챔버 내에 포함되고, 기상 반응물 방출 라인에 대하여 수용 관계에 있는 가열식 서셉터; 및
    증착 챔버에 연결되는 유출물 방출 라인
    을 더 포함하고,
    기상 반응물은 기상 반응물 방출 라인을 통해 증착 챔버로 흘러 임의로 가열식 서셉터상의 기판과 접촉하고, 임의의 잔여 유출물은 유출물 방출 라인을 통해 방출하는, 기상 반응물 분배 장치.
  6. 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
    (a) 기상 반응물 분배 장치를 제공하는 단계로서,
    충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
    운반 기체를 수용할 수 있고, 기상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
    상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
    포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 버블러가 연장되는 개구를 갖는, 상기 제1 포트 부분;
    상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 버블러로서, 튜브를 통해 운반 기체가 소스 화학물질에 버블링하여 소스 화학물질 증기의 적어도 일부가 상기 운반 기체 중에 비말 동반하게 하여 충전 레벨 위의 상기 내부 기체 공간으로의 기상 반응물의 흐름을 생성할 수 있고, 상기 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 유입구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 출구 단부를 갖는, 상기 버블러;
    상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 기상 반응물을 상기 용기의 내부 기체 공간으로부터 분배할 수 있는, 상기 제1 포트 부분;
    운반 기체 공급 유입구 피팅이 연결되어 있는 상기 버블러;
    버블러의 위쪽으로 그리고 외부로 운반 기체 공급 유입구 피팅으로부터 연장되어 운반 기체를 용기의 내부 공간으로 전달하기 위한 운반 기체 공급 라인으로서, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는 운반 기체 공급 라인;
    기상 반응물 출구 피팅이 연결되어 있는 상기 제3 포트 부분; 및
    제3 포트 부분의 위쪽으로 그리고 외부로 기상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 기상 반응물을 이동시키기 위한 기상 반응물 방출 라인으로서, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는 기상 반응물 방출 라인
    을 포함하는 기상 반응물 분배 장치를 제공하는 단계;
    (b) 소스 화학물질을 상기 기상 반응물 분배 장치에 첨가하는 단계;
    (c) 소스 화학물질을 기화시키기 충분한 온도까지 상기 기상 반응물 분배 장치 내 소스 화학물질을 가열하여 기상 반응물을 제공하는 단계;
    (d) 운반 기체를 상기 운반 기체 공급 라인 및 상기 튜브를 통해 상기 기상 반응물 분배 장치로 공급하는 단계;
    (e) 상기 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 상기 기상 반응물 분배 장치로부터 인출하는 단계; 및
    (f) 기상 반응물과 운반 기체를 상기 증착 챔버에 공급하는 단계
    를 포함하는 방법.
  7. 제6항에 있어서,
    (g) 기상 반응물을 임의로 증착 챔버 내 가열식 서셉터상의 기판과 접촉시키는 단계; 및
    (h) 증착 챔버에 연결된 유출물 방출 라인을 통해 임의의 잔여 유출물을 방출하는 단계
    를 더 포함하는 방법.
  8. 제6항에 있어서,
    기상 반응물 분배 장치에서 상기 용기 하벽 부재는 상기 용기 하벽 부재의 표면으로부터 아래쪽으로 연장되는 섬프 캐비티를 구비하는 방법.
  9. 제6항에 있어서,
    기상 반응물 분배 장치에서 소스 화학물질은 액체 또는 고체 물질을 포함하는 방법.
  10. 제6항에 있어서,
    기상 반응물 분배 장치에서 소스 화학물질은 주기율표의 2족, 3족, 4족, 5족, 6족, 7족, 8족, 9족, 10족, 11족, 12족, 13족, 14족, 15족, 16족, 및 란탄 계열로부터 선택된 금속을 위한 전구체를 포함하는 방법.
  11. 제6항에 있어서,
    기상 반응물 분배 장치에서 소스 화학물질은 루테늄, 하프늄, 탄탈, 몰리브덴, 백금, 금, 티타늄, 납, 팔라듐, 지르코늄, 비스무트, 스트론튬, 바륨, 칼슘, 안티몬 및 탈륨으로부터 선택된 금속을 위한 전구체, 혹은 규소, 게르마늄 및 텔루르로부터 선택된 반금속을 위한 전구체를 포함하는 방법.
  12. 제6항에 있어서,
    기상 반응물 분배 장치에서 기상 반응물은 주기율표의 2족, 3족, 4족, 5족, 6족, 7족, 8족, 9족, 10족, 11족, 12족, 13족, 14족, 15족, 16족, 및 란탄 계열로부터 선택된 금속을 위한 전구체를 포함하는 방법.
  13. 제6항에 있어서,
    기상 반응물 분배 장치에서 기상 반응물은 루테늄, 하프늄, 탄탈, 몰리브덴, 백금, 금, 티타늄, 납, 팔라듐, 지르코늄, 비스무트, 스트론튬, 바륨, 칼슘, 안티몬 및 탈륨으로부터 선택된 금속을 위한 전구체, 혹은 규소, 게르마늄 및 텔루르로부터 선택된 반금속을 위한 전구체를 포함하는 방법.
  14. 제6항에 있어서,
    기상 반응물 분배 장치는 운반 기체 공급 라인에 연결된 운반 기체 공급원을 더 포함하는 방법.
  15. 액상 반응물 분배 장치로서,
    충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
    불활성 기체를 수용할 수 있고, 액상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
    상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
    포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 침지 튜브가 연장되는 개구를 갖는, 상기 제1 포트 부분;
    상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 침지 튜브로서, 튜브를 통해 액상 반응물을 상기 장치로부터 분배할 수 있고, 상기 침지 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 출구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 유입구 단부를 갖는, 상기 침지 튜브; 및
    상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 불활성 기체를 충전 레벨 위의 내부 기체 공간으로 공급하여 충전 레벨 위의 내부 기체 공간을 가압할 수 있는, 상기 제1 포트 부분
    을 포함하는 액상 반응물 분배 장치.
  16. 제15항에 있어서,
    불활성 기체 공급 유입구 피팅이 연결되어 있는 상기 제3 포트 부분;
    제3 포트 부분의 위쪽으로 그리고 외부로 불활성 기체 공급 유입구 피팅으로부터 연장되어 불활성 기체를 용기의 내부 공간으로 전달하기 위한 불활성 기체 공급 라인으로서, 통과하는 불활성 기체의 흐름을 제어하기 위한 불활성 기체 흐름 제어 밸브를 포함하는 불활성 기체 공급 라인;
    액상 반응물 출구 피팅이 연결되어 있는 상기 침지 튜브; 및
    제1 포트 부분의 위쪽으로 그리고 외부로 액상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 액상 반응물을 이동시키기 위한 액상 반응물 방출 라인으로서, 통과하는 액상 반응물의 흐름을 제어하기 위한 액상 반응물 흐름 제어 밸브를 임의로 포함하는 액상 반응물 방출 라인
    을 더 포함하는 액상 반응물 분배 장치.
  17. 제15항에 있어서,
    상기 용기 하벽 부재는 상기 용기 하벽 부재의 표면으로부터 아래쪽으로 연장되는 섬프 캐비티를 구비하는 액상 반응물 분배 장치.
  18. 제15항에 있어서,
    불활성 기체 공급 라인에 연결된 불활성 기체 공급원을 더 포함하는 액상 반응물 분배 장치.
  19. 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
    (a) 액상 반응물 분배 장치를 제공하는 단계로서,
    충전 레벨까지 소스 화학물질을 유지하고, 추가로 충전 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된, 용기 상벽 부재, 용기 측벽 부재 및 용기 하벽 부재를 포함하는 용기;
    불활성 기체를 수용할 수 있고, 액상 반응물을 분배할 수 있는 단일 포트를 구비한 상기 용기 상벽 부재;
    상기 상벽 부재로부터 일반적으로 수직으로 그리고 외부로 연장되는 제1 포트 부분, 상기 제1 포트 부분으로부터 일반적으로 수평으로 그리고 외부로 연장되는 제2 포트 부분, 및 상기 제2 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 연장되는 제3 포트 부분을 포함하는 분기형 구성을 갖는 상기 단일 포트;
    포트 상벽 부재 및 포트 측벽 부재를 갖는 상기 제1 포트 부분으로서, 상기 포트 상벽 부재는 침지 튜브가 연장되는 개구를 갖는, 상기 제1 포트 부분;
    상기 제1 포트 부분의 중앙에 위치한 부분을 통해 그리고 상기 내부 기체 공간을 통해 소스 화학물질로 연장되는 튜브를 포함하는 상기 침지 튜브로서, 튜브를 통해 액상 반응물을 상기 장치로부터 분배할 수 있고, 상기 침지 튜브는 상기 제1 포트 부분으로부터 일반적으로 수직으로 그리고 외부로 위치한 출구 단부 및 용기 하벽 부재에 일반적으로 인접하게 위치한 유입구 단부를 갖는, 상기 침지 튜브;
    상기 튜브와 상기 포트 측벽 부재 사이의 틈새 공간을 갖는 상기 제1 포트 부분으로서, 상기 틈새 공간을 통해 상기 불활성 기체를 충전 레벨 위의 내부 기체 공간으로 공급하여 충전 레벨 위의 내부 기체 공간을 가압할 수 있는, 상기 제1 포트 부분;
    불활성 기체 공급 유입구 피팅이 연결되어 있는 상기 제3 포트 부분;
    제3 포트 부분의 위쪽으로 그리고 외부로 불활성 기체 공급 유입구 피팅으로부터 연장되어 불활성 기체를 용기의 내부 공간으로 전달하기 위한 불활성 기체 공급 라인으로서, 통과하는 불활성 기체의 흐름을 제어하기 위한 불활성 기체 흐름 제어 밸브를 포함하는 불활성 기체 공급 라인;
    액상 반응물 출구 피팅이 연결되어 있는 상기 침지 튜브; 및
    제1 포트 부분의 위쪽으로 그리고 외부로 액상 반응물 출구 피팅으로부터 연장되어 용기의 내부 공간으로부터 액상 반응물을 이동시키기 위한 액상 반응물 방출 라인으로서, 통과하는 액상 반응물의 흐름을 제어하기 위한 액상 반응물 흐름 제어 밸브를 임의로 포함하는 액상 반응물 방출 라인
    을 포함하는 액상 반응물 분배 장치를 제공하는 단계;
    (b) 액상 반응물을 상기 액상 반응물 분배 장치에 첨가하는 단계;
    (c) 고체 소스 화학물질을 용융시키기 충분한 온도까지 상기 액상 반응물 분배 장치 내 고체 소스 화학물질을 임의로 가열하여 액상 반응물을 제공하는 단계;
    (d) 불활성 기체를 상기 불활성 기체 공급 라인을 통해 상기 액상 반응물 분배 장치로 공급하는 단계;
    (e) 상기 튜브와 상기 액상 반응물 방출 라인을 통해 액상 반응물을 상기 액상 반응물 분배 장치로부터 인출하는 단계;
    (f) 기화 장치를 제공하는 단계로서,
    액상 반응물을 기화시키기 위해 내부 용기 구획을 형성하도록 구성된 용기;
    액상 반응물 분배 장치를 상기 기화 장치에 연결하는 상기 액상 반응물 방출 라인;
    운반 기체 공급 유입구가 있는 기화 장치의 한 부분으로서, 운반 기체 공급 유입구를 통해 운반 기체를 상기 기화 장치에 공급하여 상기 액상 반응물의 증기가 상기 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있는, 기화 장치의 한 부분;
    기상 반응물 출구가 있는 기화 장치의 한 부분으로서, 기상 반응물 출구를 통해 상기 기상 반응물을 상기 기화 장치로부터 분배할 수 있는, 기화 장치의 한 부분;
    기화 장치의 외부로 운반 기체 공급 유입구로부터 연장되어 운반 기체를 상기 기화 장치에 전달하기 위한 운반 기체 공급 라인으로서, 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브를 포함하는 운반 기체 공급 라인; 및
    기화 장치의 외부로 기상 반응물 출구로부터 연장되어 기상 반응물을 상기 기화 장치로부터 상기 증착 챔버로 이동시키기 위한 기상 반응물 방출 라인으로서, 통과하는 기상 반응물의 흐름을 제어하기 위한 하나 이상의 기상 반응물 흐름 제어 밸브를 포함하는 기상 반응물 방출 라인
    을 포함하는 기화 장치를 제공하는 단계;
    (g) 액상 반응물을 상기 기화 장치에 공급하는 단계;
    (h) 액상 반응물을 기화시키기 충분한 온도까지 상기 기화 장치 내 액상 반응물을 가열하여 상기 기상 반응물을 제공하는 단계;
    (i) 운반 기체를 상기 운반 기체 공급 라인을 통해 상기 기화 장치에 공급하는 단계;
    (j) 상기 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 상기 기화 장치로부터 인출하는 단계; 및
    (k) 기상 반응물과 운반 기체를 상기 증착 챔버에 공급하는 단계
    를 포함하는 방법.
  20. 제19항에 있어서,
    액상 반응물 분배 장치에서 상기 용기 하벽 부재는 상기 용기 하벽 부재의 표면으로부터 아래쪽으로 연장되는 섬프 캐비티를 구비하는 방법.
  21. 제19항에 있어서,
    액상 반응물 분배 장치에서 소스 화학물질은 루테늄, 하프늄, 탄탈, 몰리브덴, 백금, 금, 티타늄, 납, 팔라듐, 지르코늄, 비스무트, 스트론튬, 바륨, 칼슘, 안티몬 및 탈륨으로부터 선택된 금속을 위한 전구체, 혹은 규소, 게르마늄 및 텔루르로부터 선택된 반금속을 위한 전구체를 포함하는 방법.
KR1020117013279A 2008-11-11 2009-11-04 반응물 분배 장치 및 전달 방법 KR20110088564A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11332508P 2008-11-11 2008-11-11
US61/113,325 2008-11-11

Publications (1)

Publication Number Publication Date
KR20110088564A true KR20110088564A (ko) 2011-08-03

Family

ID=41582224

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117013279A KR20110088564A (ko) 2008-11-11 2009-11-04 반응물 분배 장치 및 전달 방법

Country Status (7)

Country Link
US (1) US8235364B2 (ko)
EP (1) EP2350342A1 (ko)
KR (1) KR20110088564A (ko)
CN (1) CN102272351B (ko)
IL (1) IL212498A0 (ko)
TW (1) TW201035367A (ko)
WO (1) WO2010056576A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022109212A1 (en) * 2020-11-20 2022-05-27 Applied Materials, Inc. Methods and apparatus to reduce pressure fluctuations in an ampoule of a chemical delivery system

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4418001B2 (ja) * 2008-03-12 2010-02-17 三井造船株式会社 原料供給装置
CN102597310B (zh) 2009-11-02 2015-02-04 西格玛-奥吉奇有限责任公司 固态前体输送组件以及相关方法
WO2011160004A1 (en) * 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
CN102760835B (zh) * 2011-04-27 2015-08-19 苏州大学 有机光伏器件的制作方法
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US20130220221A1 (en) * 2012-02-23 2013-08-29 Applied Materials, Inc. Method and apparatus for precursor delivery
CA2867883C (en) * 2012-03-28 2020-06-02 Rasirc, Inc. Method of delivering a process gas from a multi-component solution
US9243325B2 (en) * 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
KR101436898B1 (ko) 2012-10-31 2014-09-02 주식회사 에스에프에이 증발 소스 및 그를 구비하는 평판표시소자의 증발 장치
US9738991B2 (en) 2013-02-05 2017-08-22 Dow Corning Corporation Method for growing a SiC crystal by vapor deposition onto a seed crystal provided on a supporting shelf which permits thermal expansion
US9797064B2 (en) 2013-02-05 2017-10-24 Dow Corning Corporation Method for growing a SiC crystal by vapor deposition onto a seed crystal provided on a support shelf which permits thermal expansion
TWI633200B (zh) * 2014-01-23 2018-08-21 烏翠泰克股份有限公司 蒸氣輸送系統
JP6094513B2 (ja) * 2014-02-28 2017-03-15 東京エレクトロン株式会社 処理ガス発生装置、処理ガス発生方法、基板処理方法及び記憶媒体
JP6423004B2 (ja) 2014-05-13 2018-11-14 ラシリック, インコーポレイテッドRASIRC, Inc. プロセスガスを重要工程処理に送達するための方法および系
US9279192B2 (en) 2014-07-29 2016-03-08 Dow Corning Corporation Method for manufacturing SiC wafer fit for integration with power device manufacturing technology
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US10150048B2 (en) 2014-10-23 2018-12-11 Rasirc, Inc. Method, system, and device for delivery of process gas
JP6821327B2 (ja) * 2015-05-22 2021-01-27 ラム リサーチ コーポレーションLam Research Corporation オンデマンド充填アンプルの補充
US10619243B2 (en) * 2016-07-22 2020-04-14 Triratna P. Muneshwar Method to improve precursor utilization in pulsed atomic layer processes
KR102196514B1 (ko) * 2018-07-16 2020-12-31 (주)지오엘리먼트 기화량을 일정하게 유지하면서 예비 퍼지가 가능한 기화 시스템 및 기화 방법
FI129579B (en) * 2019-06-28 2022-05-13 Beneq Oy Precursor source arrangement and atomic layer growth equipment
CN114367231A (zh) * 2021-12-03 2022-04-19 浙江陶特容器科技股份有限公司 一种用于半导体加工的智能液体前驱体源存储装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3696830A (en) * 1971-03-04 1972-10-10 Johnson Service Co Flow control system employing a series reference resistor
DE2219870C2 (de) * 1972-04-22 1974-05-02 Troisdorfer Bau- Und Kunststoff Gmbh, 5210 Troisdorf Behälter, insbesondere Kunststoffoder Stahlbehälter
US4140735A (en) 1977-08-15 1979-02-20 J. C. Schumacher Co. Process and apparatus for bubbling gas through a high purity liquid
JPS6311598A (ja) * 1986-07-03 1988-01-19 Toyo Sutoufuaa Chem:Kk 有機金属気相成長用シリンダ−
CA2121261A1 (en) * 1991-10-18 1993-04-29 John Denis Willingham Fluid dispense system
US5526956A (en) * 1992-09-11 1996-06-18 Now Technologies, Inc. Liquid chemical dispensing and recirculating system
US5335821A (en) * 1992-09-11 1994-08-09 Now Technologies, Inc. Liquid chemical container and dispensing system
EP0619450A1 (en) * 1993-04-09 1994-10-12 The Boc Group, Inc. Zero Dead-Leg Gas Cabinet
US6029717A (en) * 1993-04-28 2000-02-29 Advanced Delivery & Chemical Systems, Ltd. High aspect ratio containers for ultrahigh purity chemicals
US5607002A (en) * 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
DE69738136T2 (de) * 1996-12-17 2008-06-12 Advanced Technology Materials, Inc., Danbury Reagenzzuführbehälter für cvd
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6648034B1 (en) * 2002-05-23 2003-11-18 Air Products And Chemicals, Inc. Purgeable manifold for low vapor pressure chemicals containers
US6966348B2 (en) * 2002-05-23 2005-11-22 Air Products And Chemicals, Inc. Purgeable container for low vapor pressure chemicals
EP1521948A1 (en) * 2002-07-17 2005-04-13 Epichem Limited A method and apparatus for monitoring liquid levels within a vessel
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US7198072B2 (en) * 2004-07-13 2007-04-03 David James Silva Purgeable manifold system
US7114531B2 (en) * 2004-07-13 2006-10-03 David James Silva System and method for purging high purity interfaces
US20090258143A1 (en) 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method
US20090255466A1 (en) 2008-04-11 2009-10-15 Peck John D Reagent dispensing apparatus and delivery method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022109212A1 (en) * 2020-11-20 2022-05-27 Applied Materials, Inc. Methods and apparatus to reduce pressure fluctuations in an ampoule of a chemical delivery system

Also Published As

Publication number Publication date
IL212498A0 (en) 2011-06-30
US8235364B2 (en) 2012-08-07
EP2350342A1 (en) 2011-08-03
CN102272351B (zh) 2014-03-19
TW201035367A (en) 2010-10-01
WO2010056576A1 (en) 2010-05-20
US20100117246A1 (en) 2010-05-13
CN102272351A (zh) 2011-12-07

Similar Documents

Publication Publication Date Title
KR20110088564A (ko) 반응물 분배 장치 및 전달 방법
TWI408250B (zh) 分配裝置及其使用方法
KR101485615B1 (ko) 반응물 분배 장치 및 전달 방법
KR101585242B1 (ko) 반응물 분배 장치 및 송출 방법
US20090214779A1 (en) Multiple ampoule delivery systems
EP2108616B1 (en) Delivery method for a reagent using a reagent dispensing apparatus
KR20090108556A (ko) 반응물 분배 장치 및 송출 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid