TWI633200B - 蒸氣輸送系統 - Google Patents

蒸氣輸送系統 Download PDF

Info

Publication number
TWI633200B
TWI633200B TW104102118A TW104102118A TWI633200B TW I633200 B TWI633200 B TW I633200B TW 104102118 A TW104102118 A TW 104102118A TW 104102118 A TW104102118 A TW 104102118A TW I633200 B TWI633200 B TW I633200B
Authority
TW
Taiwan
Prior art keywords
inert gas
precursor
valve
pulse
ald
Prior art date
Application number
TW104102118A
Other languages
English (en)
Other versions
TW201540864A (zh
Inventor
亞當 貝爾圖赫
麥可 魯弗
Original Assignee
烏翠泰克股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 烏翠泰克股份有限公司 filed Critical 烏翠泰克股份有限公司
Publication of TW201540864A publication Critical patent/TW201540864A/zh
Application granted granted Critical
Publication of TWI633200B publication Critical patent/TWI633200B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本發明係有關一可使用於低蒸氣壓液體及固體前驅物之經改良的ALD系統。該ALD系統係包括一前驅物容器及惰性氣體輸送元件,其係組構以藉由在一前驅物脈衝被移除至反應腔室之時將一惰性氣體脈衝注射至前驅物容器中來增高一前驅物容器內的前驅物蒸氣壓。一可控制式惰性氣體流閥及一流拘限器係沿著一引入前驅物容器中其充填位準以下之惰性氣體輸入線路作配置。一蒸氣空間係設置於充填位準以上。一ALD脈衝閥係沿著一延伸於蒸氣空間與反應腔室之間的前驅物蒸氣線路作沉積。兩閥皆同時地脈動以同步地從蒸氣空間移除前驅物蒸氣並將惰性氣體注射至前驅物容器中充填位準以下。

Description

蒸氣輸送系統 交叉參考相關美國專利申請案
本申請案係依據35 U.S.C.§199(e)對於2013年1月23日提申的臨時美國專利申請案編號61/903807(案號3521.390)主張優先權,該案整體內容且對於所有用途以參考方式併入本文。
著作權聲明
此專利文件的揭示的一部分係可含有受著作權保護的材料。著作權所有人並不反對如同專利與商標局專利檔案或記錄中所出現般之專利文件或專利揭示的任一者之傳真再生,但另行保留所有一切的著作權權利。下列聲明將適用於此文件:2015 Ultratech Inc.著作權所有。
發明背景 a.發明領域
本發明係有關一蒸氣輸送系統,其係可操作以將前驅物或反應物蒸氣脈衝輸送至一反應腔室中。特別來說,本發明係以一脈衝閥取代一傳統的質量流控制器(MFC)。
b.相關技藝
氣體及蒸氣相沉積系統中的一典型問題係在於:從液體及固體前驅物材料所蒐集的蒸氣相材料在例如室溫或較高溫度具有一低蒸氣壓,其在部分實例中已經防礙採用某些原本所欲的低蒸氣壓液體或固體前驅物材料。 一用來增高低蒸氣壓液體及固體前驅物材料的蒸氣壓之先前技藝的解決方案係將液體或固體前驅物材料加熱至一溫度,該溫度係將其蒸氣壓增高至蒸氣沉積循環的可使用位準。雖然加熱液體及固體前驅物材料以提供蒸氣沉積循環的一適當蒸氣壓對於一些低蒸氣壓前驅物材料係為有效,係具有溫度上限,高於其則前驅物蒸氣不再適合於蒸氣沉積循環。特別來說,從液體及固體前驅物材料所蒐集的大部分前驅物蒸氣相材料係具有一崩潰溫度,高於其則前驅物蒸氣對於所欲的氣體沉積反應而言成為無效或較不有效。在蒸氣相前驅物使用於一原子層沉積(ALD)反應腔室中的特定範例中,許多所欲的蒸氣相前驅物材料之崩潰溫度係為75與150℃之間,故用以將蒸氣相前驅物材料加熱至150℃以上之任何加熱步驟並不是用於增高ALD沉積循環的前驅物蒸氣壓之合格的解決方案。
另一先前技藝解決方案係提供一惰性氣體流經過一發泡器以使惰性氣體發泡經過一容器內所含的液體或固體前驅物材料。在此實例中,容器被實質地密封,預期使得一惰性氣體可被注射至容器中且前驅物蒸氣可利用可控制式閥或類似物從容器被移除。確切來說,容器係部份 地充填有一低蒸氣壓液體或固體前驅物,且一蒸氣空間係在其中所容置的液體或固體前驅物位準以上出現於容器內側。一氣體發泡器係包括一氣體輸入線路,氣體輸入線路係被提供以將一惰性氣體流注射至原本密封的前驅物容器中,且氣體輸入線路係配置成在容器中的前驅物位準以下自其釋放惰性氣體。結果,惰性氣體係發泡經過液體或固體前驅物材料來到容器中的前驅物位準以上之蒸氣空間。
發泡器係提供兩項利益,亦即:使液體或固體前驅物材料滲濾經過或蒸發以在密封容器中的前驅物位準以上之一蒸氣空間中收集或挾帶前驅物蒸氣;增高容器中的整體氣體壓力。特別來說,整體壓力的增加係亦增高密封容器內所含的液體或固體前驅物位準以上之蒸氣空間中的部份前驅物蒸氣壓。
在許多先前技藝的發泡器系統中,惰性氣體的一連續流係流入前驅物容器中且蒸氣相前驅物材料的一連續流係流出前驅物容器外,且蒸氣相前驅物材料係被輸送至一反應腔室內以與一被支撐其中的固體材料表面起反應抑或前驅物蒸氣被排出系統外。在連續流發泡器系統中,不需要停止被輸入至前驅物容器之惰性氣體流,且輸出上的唯一控制係在於調控質量流率以及將前驅物蒸氣導引至反應腔室中抑或將前驅物蒸氣轉向以被排出系統外。例如,連續流發泡器系統係可使用於部分化學蒸氣沉積(CVD)系統中,原因在於:CVD循環係與一CVD塗覆循環期間將前驅物蒸氣的一連續流輸送至反應腔室中呈現相容。然而, 對於ALD塗覆循環則不然。
結果,連續流發泡器系統並不適合於ALD系統。 取而代之,係需要氣體流控制元件來起動及停止對於反應腔室的前驅物蒸氣材料輸送以及管理前驅物容器內側的總氣體壓力,特別是當前驅物蒸氣未從前驅物容器被移除時尤然。此外,若不令未使用的前驅物蒸氣材料排出系統外,係欲保存前驅物蒸氣材料,以降低營運成本,並免除以棄置或其他方式中和潛在有害及或揮發性前驅物蒸氣材料在其僅被排出系統外時之成本。
對於傳統的ALD系統,各前驅物蒸氣係藉由一分離的ALD脈衝閥被脈動至反應腔室。ALD脈衝閥係配置於密封的前驅物容器與反應腔室之間並可被併入一可用來控制對於反應腔室的前驅物輸入之氣體輸入歧管內。對於各脈衝閥,一脈衝時程及在脈衝閥開啟或脈動時之密封的前驅物容器內側之一部份蒸氣壓一般係與各前驅動脈衝期間被釋放至反應腔室中之前驅物的容積成正比。特別來說,前驅物脈衝閥通常係具有位於1至100msec範圍中的脈衝時程,具有脈衝時程的約三到四倍之脈衝至脈衝頻率。
連續流發泡器系統係從一氣體供應模組接收惰性氣體並與一前驅物容器構成介面以使惰性氣流實質連續地通過前驅物容器。一惰性氣體諸如氮係以一實質經調節的氣體壓力、例如約10與70磅每平方吋(PSI)之間從一加壓氣體容器或類似物被提供至一饋送管。進入前驅物容器中之惰性氣體的質量流率一般係藉由一配置於壓力調節器與 密封的前驅物容器之間的質量流控制器(MFC)而被調控至一相對低質量流率。典型地,惰性氣體的一穩態流率係被注射至前驅物容器中,且前驅物蒸氣的一穩態質量流率係從容器被釋放至一反應腔室或排出系統外。
用以將惰性氣體脈衝輸送至前驅物容器中之供一ALD氣體輸送系統所用的一範例非連續流發泡器系統係描述於6/17/2011提申且公告為US20110311726之發證予劉(Liu)等人名為“用於前驅物輸送之方法及裝備”的相關美國專利申請案13/162,850中。劉(Liu)等人係揭露一沿著一壓力調節器與一密封的前驅物容器之間的一惰性氣體輸入導管作配置之脈衝閥,並進一步揭露一用於拘限通往前驅物容器的惰性氣體流之孔口。孔口係沿著壓力調節器與脈衝閥之間的輸入氣體導管作配置。流拘限器係取代一傳統質量流控制器(MFC)以當脈衝閥開啟以將惰性氣體注射至前驅物容器中時限制氣體流。然而,劉(Liu)等人係揭露:輸入導管並未輸送被注射至其中所含前驅物位準以下之密封的容器中之輸入氣體脈衝,而是將輸入惰性氣體輸送至前驅物容器內所含的液體及固體前驅物位準以上之蒸氣空間中。此先前技藝組態的一問題在於:進入前驅物容器的惰性氣體未能使前驅物材料滲濾經過或蒸發以收集或挾帶前驅物材料。此外,劉(Liu)等人係揭露一使用兩脈衝閥之系統以產生一所欲的輸入脈衝,而使成本增高。並且,傳統的先前技藝發泡器系統係需要操作安全性特徵諸如配置於前驅物容器的輸入側與一真空泵或一排放通口之間的旁通 線路,以當密封的容器內側的一總氣體壓力超過一安全操作壓力時清除過多的輸入氣體,包括密封的前驅物容器內所含之任何蒸氣相前驅物材料。並且,蒸氣相前驅物材料可具有危害性、可燃性或兩者皆具並因此需排通至一安全區域。雖然此安全性特徵為有益,其係增添複雜度與成本。
發明概要
不同於上述先前技藝連續及非連續氣體流發泡器系統相關的問題,本發明係提供一包括一經改良前驅物輸送系統及方法之經改良的ALD系統。本發明的ALD系統係包括一連接至一真空泵之反應腔室。真空泵係連續地運轉以將氣體從反應腔室例如移除至出現在反應腔室中與固體基材表面起反應之前驅物,並移除被輸送至反應腔室中之惰性氣體以藉由產物及或未反應的前驅物來沖洗反應的反應腔室。本發明的ALD系統亦包括一含有一液體抑或固體前驅物材料之前驅物容器,其係被充填至一充填位準以提供充填位準以上的一蒸氣空間。本發明前驅物容器係包括加熱元件以加熱前驅物來增高蒸氣壓而不加熱前驅物至一前驅物崩潰溫度以上。係提供一惰性氣體輸入線路以從一惰性氣體源接收惰性氣體並將惰性氣體輸送至前驅物容器中充填位準以下。一前驅物蒸氣線路係配置於前驅物蒸氣空間與反應腔室之間。一可控制式ALD脈衝閥係沿著前驅物蒸氣空間與反應腔室之間的前驅物蒸氣線路作配置。一可控制式惰性氣體流閥係沿著前驅物容器與惰性氣體源 之間的惰性氣體輸入線路作配置。兩閥初始皆關閉,且當兩閥關閉時前驅物容器係實質地密封並隔離於反應腔室及惰性氣體源。
一電性通聯於可控制式ALD脈衝閥及可控制式惰性氣體流閥的各者之系統控制器係可操作以使可控制式ALD脈衝閥及可控制式惰性氣體流閥的各者作脈動。各脈衝係包括將閥開啟介於從1至100msec範圍的一脈衝時程。當ALD脈衝閥開啟之時,前驅物蒸氣係流出蒸氣空間外,經過ALD脈衝閥且進入反應腔室中。當可控制式惰性氣體流閥開啟之時,惰性氣體輸入線路中的惰性氣體係流過可控制式惰性氣體流閥並進入前驅物容器中且在充填位準以下發射,俾使惰性氣體發泡經過液體或固體前驅物來到設置於充填位準以上的蒸氣空間。發泡係提供兩項利益:使液體或固體前驅物材料滲濾經過或蒸發以在一蒸氣空間中充填位準以上收集或挾帶前驅物蒸氣;以及增高容器中的整體氣體壓力。整體壓力的增加係亦增大蒸氣空間中的部份前驅物蒸氣壓。
連同附圖閱讀下文描述時,將得知這些與其他的形態及優點。
1,2,3,4‧‧‧人工閥
1000‧‧‧ALD系統
1010‧‧‧反應腔室
1015‧‧‧排放通口
1020‧‧‧真空泵
1025‧‧‧前驅物容器
1030‧‧‧低蒸氣壓液體或固體前驅物材料
1035‧‧‧充填位準
1040‧‧‧蒸氣空間
1045‧‧‧惰性氣體輸入線路
1050‧‧‧前驅物蒸氣輸送線路
1055‧‧‧ALD歧管
1057‧‧‧快速連接氣體線路配件
1058‧‧‧前驅物容器旁通線路
1060‧‧‧氮氣或其他惰性氣體的供應物
1065‧‧‧氣體壓力調節器
1070‧‧‧止回閥
1075‧‧‧流拘限器
1080‧‧‧可控制式惰性氣體流閥
1085‧‧‧系統控制器
1090‧‧‧通聯通路
1095‧‧‧ALD脈衝閥
1100‧‧‧惰性氣體輸入埠
1105‧‧‧惰性氣體流
1115‧‧‧氣體壓力感測器
2000‧‧‧氣體壓力vs.系統區位繪圖
2005‧‧‧反應腔室泵降至1Torr或更小
2010‧‧‧載體氣體之處於1000Torr的輸入氣體壓力
2015‧‧‧流拘限器(1075)壓力梯度
2020‧‧‧總氣體壓力為約10Torr
3000‧‧‧繪圖
3010,3015,3020‧‧‧曲線
從本發明的詳細描述及其基於示範所選擇且顯示於附圖中的範例實施例,將最清楚地瞭解本發明的特徵,其中: 圖1係描繪組構有一經改良的前驅物汽化系統之 本發明的一原子層沉積系統之示範性示意圖;圖2係描繪根據本發明之一原子層沉積系統中的複數個區位之以托耳(Torr)為單位的氣體壓力之示範性繪圖;圖3係描繪根據本發明用於氣體流拘限器的複數個不同孔口直徑之以標準立分公分每分鐘(sccm)為單位的氣體流率vs.以磅每平分吋錶計(psig)為單位的氣體壓力之示範性繪圖。圖4係顯示N2發泡壓力管理的一實例。
發明描述 示範性系統架構
本發明係提供一簡單且有效方法以整合一用於原子層沉積(ALD)系統的發泡式/流過低蒸氣壓輸送(LVPD)系統。硬體設計係不再需要一MFC及一切換流閥以供配合使用人工清除閥來重新導引載體氣體流,以容許可使用於固體及液體前驅物材料兩者之前驅物輸送線路的安全清除。
現在參照圖1,示範性顯示本發明的一非限制示範性ALD系統(1000)。ALD系統(1000)係包括一反應腔室(1010),其經過一真空泵(1020)排通至一排放通口(1015)。 單一的前驅物容器(1025)係包括一液體或固體前驅物材料(1030),其以一設置於充填位準(1035)以上的蒸氣空間(1040)充填至一充填位準(1035)。閥(1)、(2)及(3)係為人工操作式閥。閥(1)係配置於一引入前驅物容器(1025)中之惰性氣體 輸入線路(1045)上,具有一端位於充填線路(1035)以下。閥(3)係經由從單一前驅物容器(1025)最終引至反應腔室(1010)的氣體線路配件(1057)而連接於一前驅物蒸氣輸送線路(1050)上所配置之單一前驅物容器(1025)的蒸氣空間(1040)之間。雖然此處顯示單一前驅物容器(1025),係提供一ALD歧管(1055)以從複數個不同前驅物容器(1025)接收前驅物蒸氣,並依需要將一前驅物蒸氣從一或多個選定前驅物容器(1025)輸送至反應腔室(1010)中,以進行ALD塗覆循環。 閥(2)係沿著一前驅物容器旁通線路(1058)作配置。旁通線路(1058)將惰性氣體輸入線路(1045)連接至前驅物蒸氣輸送線路(1050)。
人工閥(1)及(3)係附接至前驅物容器(1025)並被提供以人工地關閉惰性氣體輸入線路(1045)及前驅物蒸氣輸送線路(1050),俾使前驅物容器可從ALD系統被移除,以例如更換另一前驅物容器或重新充填並置回,或另行使前驅物容器隔離於ALD系統(1000)。較佳地,惰性氣體輸入線路(1045)及前驅物蒸氣輸送線路(1050)的各者係包括一快速連接氣體線路配件(1057)或類似物,其被提供以在快速連接線路配件(1057)處將前驅物容器(1025)拆離及重新附接至ALD系統。
氮氣或其他惰性氣體的一供應物(1060)係從一未圖示的氣體供應模組被輸送至惰性氣體輸入線路(1045)中。輸入氣體壓力可為10與70磅每平方吋(PSI)之間。一氣體壓力調節器(1065)係沿著惰性氣體輸入線路(1045)作選 用性配置,以將惰性氣體輸入壓力調節至一所欲範圍。在本非限制性範例實施例中,如同由氣體壓力調節器(1065)所維持的所欲輸入氣體壓力係為40PSI。選用性地,一人工閥(4)係沿著氣體供應模組與人工閥(1)之間的惰性氣體輸入線路(1045)作配置,以當未裝設前驅物容器(1025)時關閉惰性氣體輸入線路(1045)並依需要阻絕惰性氣體流。
一止回閥(1070)係沿著氣體供應模組與前驅物容器(1025)之間的惰性氣體輸入線路(1045)作選用性配置。止回閥(1070)係僅容許一方向的氣體流,其在本範例中係為從氣體供應模組朝向前驅物容器(1025)。止回閥(1070)被包括作為一安全特徵,以防止前驅物蒸氣流出蒸氣空間(1040)外來到人工閥(4),其在該處會不慎釋放至大氣。
一流拘限器(1075)係沿著前驅物調節器(1065)與前驅物容器(1025)之間的惰性氣體輸入線路(1045)作配置。流拘限器局部地降低由惰性氣體輸入線路(1045)所形成之一氣體導管的面積,以相較於無拘限地通過氣體導管的容積或質量流率而言拘限可通過流拘限器之氣體的容積或質量流率。
在本非限制範例實施例中,流拘限器(1075)係包含一沿著惰性氣體輸入線路(1045)作配置之孔口。孔口係可為圓形、卵形、正方形或任何其他形狀。替代性地,流拘限器(1075)可包含用以降低由惰性氣體輸入線路(1045)所形成之導管的流面積之任何元件,諸如一篩網網目、一形成於惰性氣體輸入線路(1045)的外壁中之壓縐、一配置於流 路徑中的多孔材料、或類似物。
一可控制式惰性氣體流閥(1080)係沿著前驅物容器(1025)與流拘限器(1075)之間的惰性氣體輸入線路(1045)作配置。可控制式惰性氣體流閥(1080)係可操作以回應於由一系統控制器(1085)所產生的一電子信號而開啟及關閉。一通聯通路(1090)係使可控制式惰性氣體流閥(1080)連接於系統控制器(1085)以在其間交換電性通聯信號。可控制式惰性氣體流閥(1080)係沿著惰性氣體輸入線路(1045)的軸線提供一通過其的氣體流導管,俾當可控制式惰性氣體流閥開啟時使惰性氣體通過可控制式惰性氣體流閥來到前驅物容器(1025)。可控制式惰性氣體流閥(1080)係包括一未圖示的螺線管致動式可移閘,其可移動以阻絕氣流經過可控制式惰性氣體流閥(1080),以藉此當螺線管致動式閘位於一關閉位置中時防止氣流經過惰性氣體輸入線路(1045)。
可控制式惰性氣體流閥(1080)係運作作為一脈衝閥。螺線管致動式閘依照內定係初始位於關閉位置中,例如為彈簧負載式以保持關閉。可控制式惰性氣體流閥(1080)的螺線管致動式閘係回應於從系統控制器(1085)所接收的一脈衝指令而被移動至一開啟位置。脈衝指令係造成螺線管致動式閘短暫地移動至開啟位置且然後迅速返回至關閉位置,例如被一彈簧力所返回。脈衝時程係被界定成在其期間供螺線管致動式可移閘開啟之時間期間,例如從當可移閘開始移動朝向一完全開啟位置時延伸直到可移閘返回至其關閉位置為止。在本非限制範例實施例中,可 控制式惰性氣體流閥(1080)係組構用於1至100msec的一脈衝時程範圍。
在脈衝時程期間,一容積的惰性氣體係流過可控制式惰性氣體流閥(1080)並經過惰性氣體輸入線路(1045)進入前驅物容器(1025)。在各脈衝時程期間通過可控制式惰性氣體流閥(1080)之該容積的惰性氣體係稱為“脈衝容積”。脈衝容積係部份地依據下列而定:壓力調節器(1065)的設定或更一般地之惰性氣體輸入壓力,流拘限器(1075)的氣體流面積,脈衝時程及前驅物容器(1025)內側的總氣體壓力。
在一非限制性操作模式中,可控制式惰性氣體流閥(1080)及系統控制器(1085)中的一或兩者係可操作以改變脈衝時程,作為一種依需要改變脈衝容積以使進入前驅物容器(1025)中的惰性氣體輸送達到最適化以增高前驅物蒸氣壓之手段。在不同的範例實施例中,可藉由例如在一校準步驟期間機械性調整可控制式惰性氣體流閥(1080)的一元件而改變脈衝時程。在此範例實施例中,可控制式惰性氣體流閥(1080)的脈衝時程係被調整一次或週期性調整以使效能達到最適化。替代性地,可藉由改變系統控制器(1085)所產生的脈衝指令來改變脈衝時程。在此範例實施例中,係可電子式改變脈衝時程來選擇性改變脈衝時程以對於不同前驅物材料及或沉積循環類型增大或減小脈衝容積。在一非限制性範例實施例中,用來造成螺線管致動式閘開啟之脈衝指令係更改以開啟螺線管致動式閘達較長或 較短的脈衝時程,作為一種增大或減小脈衝容積之手段。
在另一非限制性操作模式範例中,係可藉由改變輸入氣體壓力、諸如藉由人工或電子式調整氣體壓力調節器(1065)的一操作點,來更改可控制式惰性氣體流閥(1080)的脈衝容積。在另一非限制性操作模式範例中,藉由人工式或電子式更換氣體流拘限器(1075)為一不同孔口尺寸抑或藉由一機械元件的運動來人工式或電子式改變氣體流面積,例如其中一機械元件被移動以增大或減小一氣體流面積,諸如當流拘限器(1075)身為一可調整式針閥或類似物的情形,流拘限器(1075)的氣體流面積係可被改變以更改脈衝容積。在另一非限制性操作模式範例中,各脈衝容積係實質地相等,然而系統控制器(1085)係被操作以使可控制式惰性氣體流閥(1080)脈動複數次,作為一種增大被輸送至前驅物容器(1025)的惰性氣體整體容積之手段。
一ALD脈衝閥(1095)係沿著前驅物容器(1025)與反應腔室(1010)之間的前驅物蒸氣輸送線路(1050)作配置。ALD脈衝閥(1095)係可操作以回應於由系統控制器(1085)所產生的一電子信號而開啟及關閉。通聯通路(1090)係使ALD脈衝閥(1095)連接於系統控制器(1085)以在其間交換電性通聯信號。ALD脈衝閥(1095)係沿著前驅物蒸氣輸送線路(1050)的軸線提供一通過其之氣體流導管,俾當ALD脈衝閥(1095)開啟時使前驅物蒸氣在通過ALD歧管(1055)之後通過ALD脈衝閥(1095)來到反應腔室(1010)。
ALD脈衝閥(1095)係包括一未圖示的螺線管致 動式可移閘。螺線管致動式可移閘係可移動以阻絕經過ALD脈衝閥(1095)的氣體流,以藉此當ALD脈衝閥(1095)的螺線管致動式可移閘位於一關閉位置中時防止前驅物蒸氣流過前驅物蒸氣輸送線路(1050)。ALD脈衝閥(1095)的螺線管致動式可移閘依照內定係初始位於一關閉位置中,例如可移式閘係為彈簧負載式以保持關閉。ALD脈衝閥(1095)的螺線管致動式可移閘係回應於從系統控制器(1085)所接收之一ALD脈衝指令而被移動至一開啟位置。ALD脈衝指令係造成ALD脈衝閥(1095)的螺線管致動式可移閘短暫地移動至一開啟位置,且彈簧負荷係造成可移閘迅速地返回至其關閉位置。ALD脈衝時程係為在其期間供ALD脈衝閥(1095)的螺線管致動式可移閘開啟之時間期間。ALD脈衝時程係從當可移閘開始從其關閉位置移動朝向一完全開啟位置時延伸直到可移閘返回至其關閉位置為止。在本非限制性範例實施例中,ALD脈衝閥(1095)係組構用於1至100msec的一脈衝時程範圍。
ALD脈衝閥(1095)係選用性包括一惰性氣體輸入埠(1100)。從一未圖示的氣體供應模組所延伸的一惰性氣體線路係連接至惰性氣體埠(1100)並將一惰性氣體流(1105)輸送至惰性氣體埠(1100)。惰性氣體流(1105)較佳被壓力調節至約40PSI。惰性氣體流(1105)係通過惰性氣體輸入埠(1100)並經過ALD脈衝閥(1095)進入前驅物蒸氣輸送線路(1050)且僅在一方向流動朝向反應腔室(1010),經過ALD歧管(1055)。
在第一非限制性範例實施例中,惰性氣體(1105)係連續地流過ALD脈衝閥(1095),而將一實質恆定質量流率的惰性氣體經過ALD歧管(1055)輸送至反應腔室(1010)中。在第二非限制性範例實施例中,ALD脈衝閥(1095)係利用用以調控對於反應腔室的前驅物蒸氣流之ALD脈衝閥(1095)的相同螺線管致動式可移閘來調控流過ALD脈衝閥(1095)之惰性氣體(1105)。特別來說,當ALD脈衝閥(1095)的單一螺線管致動式可移閘關閉時,前驅物容器中的前驅物蒸氣及經過埠(1105)所接收的惰性氣體(1105)皆不可流過ALD脈衝閥(1095)。然而,當ALD脈衝閥(1095)的單一螺線管致動式可移閘開啟時,前驅物蒸氣及惰性氣體流皆可在脈衝時程期間流過ALD脈衝閥(1095)。在第三非限制性範例實施例中,ALD脈衝閥(1095)係組構以分離地調控惰性氣體(1105)及流過ALD脈衝閥(1095)的前驅物蒸氣。利用兩個螺線管致動式可移閘予以達成,其中第一可移閘係可操作以調控對於反應腔室的前驅物蒸氣流,且一第二可移閘可操作以調控惰性氣體流。因此,ALD脈衝閥(1095)之兩個螺線管致動式可移閘中的一者係被開啟及關閉以調控對於反應腔室(1010)的前驅物蒸氣流,且ALD脈衝閥(1095)的兩個螺線管致動式可移閘之兩者中的另一者係開啟及關閉以調控對於反應腔室(1010)的前驅物流。在另一替代性實施例中,惰性氣體(1105)未被導入至ALD脈衝閥(1095)中而是被輸送至ALD歧管(1055)的元件中,其係被組構以將惰性氣體輸送至反應腔室(1055)中及或使惰性氣體混合於ALD歧管 (1055)內側的前驅物蒸氣。因此,可使用一二埠ALD脈衝閥(1095),像是流惰性氣體流閥(1080),而不脫離本發明。
在正常操作期間,人工閥(1)、(3)及(4)係為開啟且人工閥(2)為關閉。ALD脈衝閥(1095)及可控制式惰性氣體流閥(1080)係初始為關閉。在一較佳實施例中,一穩態流的惰性氣體(1105)係流過ALD脈衝閥(1095)經過ALD歧管(1055)來到反應腔室(1010)。如上述,前驅物容器(1025)係含有被部份地充填至一充填位準(1035)的一低蒸氣壓液體或固體前驅物材料(1030),且惰性氣體輸入線路(1045)係組構成將惰性氣體注射至前驅物容器(1025)中充填位準(1035)以下,俾隨著惰性氣體發泡經過液體或固體前驅物(1030)來到蒸氣空間(1040)而使被注射至前驅物容器(1025)中的惰性氣體促進液體或固體前驅物在惰性氣體流中之挾帶。
在一非限制性範例實施例中,ALD脈衝閥(1095)及流閥(1080)係皆各以相同的脈衝時程同時地開啟。因此,惰性氣體流閥(1080)係與將一脈衝容積的前驅物蒸氣經過ALD脈衝閥(1095)從前驅物容器(1025)釋放至反應腔室中呈現同步地將一脈衝容積的惰性氣體注射至前驅物容器(1025)中。在其他操作模式中,可控制式惰性氣體流閥(1080)係可具有比起ALD脈衝閥(1095)的脈衝時程更長之一脈衝時程。因此,在一範例操作模式實施例中,可控制式惰性氣體流閥(1080)係操作以在ALD脈衝閥(1095)開啟之前即開啟,並在ALD脈衝閥已經關閉之後關閉,結果係為惰性氣體在ALD脈衝閥(1095)之各脈衝的完整時程期間發泡經 過液體或固體前驅物。亦如上述,可藉由對於ALD脈衝閥(1095)的各脈衝使可控制式惰性氣體流閥(1080)脈動複數次,而對於被注射至反應腔室中的各前驅物蒸氣脈衝容積令複數個前驅物脈衝容積被注射至前驅物容器中。
每次可控制式惰性氣體流閥(1080)開啟時,具有一實質固定的輸入氣體壓力之出現在惰性氣體輸入線路(1045)中的惰性氣體係克服止回閥(1070)的臨閾壓力並流過流拘限器(1070)且經過可控制式惰性氣體流閥(1080)進入前驅物容器(1025)中。由於ALD脈衝閥(1095)及可控制式惰性氣體流閥(1080)皆開啟達ALD脈衝閥(1095)之脈衝時程的至少一部分,來自蒸氣空間(1040)的前驅物蒸氣係在完整ALD脈衝時程期間未中斷地流入反應腔室(1010)中,且來自惰性氣體輸入線路(1045)流的惰性氣體係在完整流閥脈衝時程期間未中斷地流入前驅物容器(1025)中充填位準(1035)以下。並且,由於輸入氣體(1060)處於一實質固定的氣體壓力且其質量流率實質受限於流拘限器(1075),等於惰性氣體脈衝容積之一實質均勻容積的惰性氣體係在可控制式惰性氣體流閥(1080)的各脈衝時程期間被輸送至前驅物容器(1025)中。在ALD脈衝閥(1095)的脈衝時程以及可控制式惰性氣體流閥(1080)的對應脈衝時程之後,兩閥皆關閉且止回閥(1070)亦關閉而將輸入線路(1045)中之一容積的惰性氣體困陷於止回閥(1070)與可控制式惰性氣體流閥(1080)之間。由於真空腔室處於一真空壓力且惰性氣體輸入處於40PSI,只要真空泵正在操作,任何前驅物蒸氣係極少有可 能經過輸入線路自前驅物容器逃逸。
現在參照圖2,一氣體壓力vs.系統區位繪圖(2000)係描繪圖1所示的ALD系統(1000)之不同區位處以Torr為單位的氣體壓力。從惰性氣體輸入(1060)開始,一惰性氣體供應物係以約40psig或約2070Torr從一氣體供應模組被輸送。在反應腔室(1010)中,真空泵(1020)連續地運作以將反應腔室泵降至1Torr或更小(2005)。
氣體壓力調節器(1065)係被設定以調節在圖2被標示成載體氣體之處於1000Torr的輸入氣體壓力(2010)。 1000Torr壓力(2010)係沿著惰性氣體輸入線路(1045)往上來到圖2中標示成孔口增壓閥的流拘限器(1075)的位置而呈現實質地恆定。流拘限器(1075)係裝設有一壓力梯度(2015),其使氣體壓力從1000Torr下降至10Torr。因此,在圖2中標示成供應容器的前驅物容器(1025)內側以及往上引至ALD脈衝閥(1095)的前驅物蒸氣線路(1050)中之總氣體壓力係為約10Torr(2020)。橫越ALD脈衝閥(2025)的壓力梯度係使氣體壓力從10Torr下降至1Torr或更少。
圖2所描繪的壓力數值並不是恆定壓力數值而是僅代表一較佳壓力模型的一非限制性範例,顯示出對於1000Torr的一特定輸入氣體壓力及一特定反應腔室氣體壓力之隨時間經過的平均壓力數值。請注意到:在ALD脈衝閥(1095)關閉下,真空泵(1020)係操作以將反應腔室(1010)內側的氣體壓力降低至約0.3至0.5Torr,但較低的壓力並不在本發明範圍之外。將認知到:真空腔室(1010)內側的氣體 壓力係回應於被一ALD脈衝時程注射至反應腔室中之各前驅物脈衝容積而增加,且增大脈衝容積係進一步增加反應腔室內側的氣體壓力。類似地,前驅物容器(1025)內側的氣體壓力係回應於從蒸氣空間(1040)所抽取的各前驅物脈衝容積以及被一惰性氣體流閥脈衝注射至前驅物容器(1025)中的各惰性氣體脈衝而起伏。亦將認知到:反應腔室(1010)內側的平均氣體壓力進一步受到進入ALD閥輸入埠(1100)的惰性氣體流(1105)所影響。當氣體流(1105)為連續時,反應腔室中的平均氣體壓力係可能增大且惰性氣體流(1105)的質量流率係可作調整以依需要改變反應腔室中的平均氣體壓力。進一步注意到:雖然本文僅描述一個前驅物容器(1025),ALD系統(1000)係對於各ALD循環利用至少二個前驅物,且一未圖示的第二前驅物輸送系統係被包括在ALD系統(1000)中,且將認知到:第二前驅物輸送系統的操作亦影響到反應腔室中的平均氣體壓力。
一第二前驅物輸送系統係包括一第二前驅物容器,第二前驅物容器係與ALD歧管(1055)構成介面且操作以與從前驅物容器(1025)所輸送的第一前驅物呈現獨立地將一第二前驅物輸送至反應腔室(1010)中。雖然在部分實施例中,第二前驅物輸送系統係可與本文所述及圖1所示之前驅物輸送元件的元件實質地相同,係可使用不同的其他第二前驅物輸送機構。並且,在一較佳實施例中,不只兩個前驅物輸送系統係與ALD歧管(1055)構成介面並由系統控制器(1085)所控制,俾使ALD系統(1000)可依需要按照選定的 不同前驅物組合而操作,以進行不同的ALD塗覆循環類型。
根據本發明,下文係描述進入前驅物容器(1025)中之惰性氣體質量流率的進一步形態。在一形態中,圖2中顯示成(2015)之橫越流拘限器(1075)的一大壓力梯度係為所欲的,以防止從前驅物容器(1025)朝向惰性氣體輸入(1060)之回流。在第二形態中,對於流拘限器(1075)的兩個不同孔口尺寸提供兩個不同的所欲質量流率範例。
參照圖3,一繪圖(3000)係顯示對於以微米(μm)為單位的四個不同流拘限器孔口直徑之以標準公分每分鐘(sccm)為單位的惰性氣體流率vs.以磅每平分吋錶計(psig)為單位的輸入氣體壓力。在此實例中,氣體壓力係為圖1所示由流拘限器(1075)上游的壓力調節器(1065)所設定之氣體壓力。可如同對於5至60psig的一氣體壓力範圍之與一20μm直徑孔口相關聯的曲線(3005)中所見,20μm直徑孔口係提供位於5至18sccm範圍中之橫越孔口的氣體流率。與一20μm直徑孔口、一30μm直徑孔口及一40μm直徑孔口相關聯的曲線(3010)、(3015)及(3020)係各顯示出各別的氣體流率vs.氣體壓力結果。
現在參照表1,對於其中圖1的流拘限器(1075)具有一50μm孔口直徑且其中圖1所示的壓力調節器(1065)設定在第一情況的15psig及第二情況的-10吋汞柱之情形,係顯示ALD系統(1000)中的不同區位之氣體壓力。選擇系統操作參數的一因素係在於提供橫越流拘限器(1075)及惰性氣體流閥(1080)的一夠大壓力梯度之意願,以防止前驅物蒸氣 回流至惰性氣體輸入線路(1045)中並避免空氣洩漏至惰性氣體輸入線路(1045)中的危險。
表1列出ALD系統(1000)的不同區位並顯示對於兩不同氣體調節器壓力設定之不同區位的氣體壓力、壓力梯度及質量流率。如上文詳述,反應腔室(1010)、ALD歧管(1055)中的氣體壓力係大致由真空泵的操作所控管並略為獨立於惰性氣體輸入線路(1045)的氣體壓力動力學。類似地,當兩閥在脈衝時程期間皆開啟時除外,可控制式惰性氣體流閥(1080)與ALD脈衝閥(1095)之間的容積、其包括前驅物容器(1025),係略為隔離於惰性氣體輸入線路(1045)中的氣體動力學且略為隔離於ALD歧管及反應腔室中的氣體動力學。然而,由於脈衝時程小於100msec且流拘限器(1075)係拘限進入前驅物容器(1025)中的質量流率,本發明係藉由使前驅物容器隔離於輸入氣體流及從反應腔室作氣體移除在此同時隨著前驅物蒸氣脈衝被移除將控制式脈衝的惰性氣體注射至前驅物容器中而有效地保存前驅物容器(1025)中之一實質恆定或可接受地改變的氣體壓力。
如表1所示,流拘限器(1075)中的一50μm直徑孔口與由壓力調節器(1065)所設定的1535Torr(15psig)的一輸入氣體壓力之組合係當閥(1080)開啟時亦即在脈衝時程期間提供1430Torr之橫越流拘限器及惰性氣體流閥(1080)的一壓力梯度。在此同時,經過開啟的閥(1080)之質量流率係為約55sccm。申請人已經發現>760Torr的一壓力梯度係為所欲的,以防止前驅物蒸氣回流至惰性氣體輸入線路 (1045)中並避免空氣洩漏至惰性氣體輸入線路(1045)中的危險。
同時,表1亦顯示:由壓力調節器(1065)所設定之流拘限器(1075)中的一50μm直徑孔口與500Torr(15psig)的一輸入氣體壓力之組合係當閥(1080)為開啟時亦即在脈衝時程期間提供450Torr之橫越流拘限器及惰性氣體流閥(1080)的一壓力梯度。在此同時,經過開啟的閥(1080)之質量流率係為約20sccm。
以其中輸入氣體壓力為1535Torr(15psig)且經過開啟的閥(1080)的質量流率為55sccm且惰性氣體流閥(1080)的脈衝時程為100msec之較佳操作模式為基礎,所產生的脈衝容積係為0.092立方公分。
為了更換前驅物容器(1025)或另行清除蒸氣空間(1040)且惰性氣體輸入線路(1045)閥(1)為關閉,當ADL清除閥(1095)脈動數次抑或開啟夠長時間以清除前驅物蒸氣空間(1040)及惰性氣體輸入線路(1045)之時,閥(2)係被開啟且閥(3)保持開啟。之後,閥(4)係關閉且閥(30係關閉並藉由在快速連接配件(1057)處斷開以移除前驅物容器(1025)。
在進一步實施例中,惰性氣體輸入線路(1045)可經過任何表面、頂部、底部或側邊進入前驅物容器(1025)中,只要惰性氣體被注射至充填線(1035)以下即可。將認知到:充填線(1035)係隨著前驅物供應物被補充及後續更換而移動。人工閥(1、2、3、4)的任一者係可包含由電子控制器(1085)所控制之可控制式致動器閥。氣體壓力調節器(1065) 係可由一操作者或在一校準期間被人工式設定至一所欲壓力,或包含由電子控制器(1085)所控制的一可控制式裝置。
系統(1000)係可包括一或多個通聯於系統控制器(1085)之氣體壓力感測器(1115),以感測ALD系統(1000)的一或多個區域的氣體壓力,諸如可能有利於操作及或評估ALD沉積循環之位於之間的區域。
本發明係不再需要一載體氣體(旁通)流路徑以當流閥關閉時將輸入氣體傳送出系統外。
本發明係利用一控制式壓力及流拘限器配置而容許載體氣體流率(sccm)作精確控制。圖4係顯示N2發泡壓力管理的一實例,其中CV/孔口與缸筒之間的大△P係避免與背壓力(回流)及N2輸送線路上游的前驅物運動相關之危險,且壓力>760Torr係亦避免空氣洩漏至N2輸送線路中之危險。

Claims (17)

  1. 一種蒸氣輸送系統,其包含:一反應腔室,其連接至一真空泵,該真空泵可操作以從該反應腔室移除氣體;一前驅物容器,其含有被充填至一充填位準之一液體及一固體前驅物材料中的一者,其中一前驅物蒸氣空間係形成於該充填位準以上;一惰性氣體輸入線路,其被提供以從一惰性氣體源接收惰性氣體並將該惰性氣體輸送至該前驅物容器中該充填位準以下;一前驅物蒸氣線路,其配置於該前驅物蒸氣空間與該反應腔室之間;一可控制式原子層沉積(ALD)脈衝閥,其沿著該前驅物蒸氣空間與該反應腔室之間的該前驅物蒸氣線路作配置;一可控制式惰性氣體流閥,其沿著該前驅物容器與該惰性氣體源之間的該惰性氣體輸入線路作配置;與該可控制式ALD脈衝閥及該可控制式惰性氣體流閥的各者呈電性通聯之系統控制器,其係可操作以使該可控制式ALD脈衝閥及該可控制式惰性氣體流閥的各者作脈動至一開啟位置,以藉此同時地將一脈衝容積的惰性氣體注射至該前驅物容器中該充填位準以下並將一脈衝容積的前驅物蒸氣注射至該反應腔室中,其中該脈衝容積的前驅物蒸氣係從該前驅物蒸氣空間作輸送。
  2. 如請求項1之蒸氣輸送系統,其進一步包含一流拘限器,該流拘限器沿著該可控制式惰性氣體流閥與該惰性氣體源之間的該惰性氣體輸入線路作配置。
  3. 如請求項2之蒸氣輸送系統,其進一步包含一氣體壓力調節器,該氣體壓力調節器沿著該流拘限器與該惰性氣體源之間的該惰性氣體輸入線路作配置。
  4. 如請求項3之蒸氣輸送系統,其進一步包含一止回閥,該止回閥沿著該流拘限器與該惰性氣體源之間的該惰性氣體輸入線路作配置,其中該止回閥係防止氣體在該惰性氣體源的方向流過該止回閥。
  5. 如請求項3之蒸氣輸送系統,其中該氣體壓力調節器係設定以調節該惰性氣體輸入線路中的氣體壓力,其中該氣體係被調節至1至60psig(6894.76至413,685.42Pa)範圍中的一壓力且其中該流拘限器係包含一圓形孔口,該圓形孔口具有20至100μm範圍中的一直徑。
  6. 如請求項1之蒸氣輸送系統,其中該可控制式ALD脈衝閥及該可控制式惰性氣體流閥的各者係可以1至100msec的一脈衝時程範圍操作至一脈衝開啟及關閉。
  7. 如請求項1之蒸氣輸送系統,其中在ALD循環期間,該反應腔室中的一平均氣體壓力係維持在小於1Torr(133Pa),該前驅物容器中的一平均氣體壓力係維持在小於1Torr至10Torr(133Pa至1330Pa)的一範圍中大於該反應腔室中的平均氣體壓力。
  8. 如請求項5之蒸氣輸送系統,其中在ALD循環期間,該反應腔室中的一平均氣體壓力係維持在小於1Torr(133Pa),該前驅物容器中的一平均氣體壓力係維持在大於該反應腔室中的平均氣體壓力且小於1Torr(133Pa),且該氣體壓力調節器係設定以提供500至2000Torr(66,500至266,000Pa)範圍中的一平均輸入氣體壓力。
  9. 如請求項2之蒸氣輸送系統,其中該流拘限器係組構以提供該惰性氣體源與該前驅物容器之間至少760Torr(101,080Pa)的一壓力梯度。
  10. 如請求項2之蒸氣輸送系統,其中該流拘限器係組構以在該可控制式惰性氣體流閥的脈衝時程期間提供20至100sccm範圍中之通過其的惰性氣體之一質量流率。
  11. 如請求項1之蒸氣輸送系統,其中該ALD脈衝閥係包括一惰性氣體埠,以供從一惰性氣體供應物接收惰性氣體而將其中所接收的該惰性氣體經過該前驅物蒸氣線路輸送至該反應腔室中。
  12. 一種用於蒸氣輸送的方法,其包含:以一操作中的真空泵從一反應腔室移除氣體;提供一前驅物容器,其含有被充填至一充填位準之一液體及一固體前驅物材料中的一者,其中一前驅物蒸氣空間係形成於該充填位準以上;經一惰性氣體輸入線路從一惰性氣體源接收惰性氣體,並將該惰性氣體輸送至該前驅物容器中該充填位準以下;提供一前驅物蒸氣線路,其配置於該前驅物蒸氣空間與該反應腔室之間;操作一可控制式ALD脈衝閥,其沿著該前驅物蒸氣空間與該反應腔室之間的該前驅物蒸氣線路作配置;操作一可控制式惰性氣體流閥,其沿著該前驅物容器與該惰性氣體源之間的該惰性氣體輸入線路作配置;操作一系統控制器,其電性通聯於該可控制式ALD脈衝閥及該可控制式惰性氣體流閥的各者,以開啟該可控制式ALD脈衝閥達一ALD脈衝時程並開啟該可控制式惰性氣體流閥達一流脈衝時程,其中該ALD脈衝時程及該流脈衝時程的至少一部分係重疊。
  13. 如請求項12之方法,其中該ALD脈衝時程及該流脈衝時程係同時開始及結束。
  14. 如請求項13之方法,其中該ALD脈衝時程及該流脈衝時程係具有1至100msec的一時間範圍。
  15. 如請求項12之方法,其中該ALD脈衝時程係比該流脈衝時程更短。
  16. 如請求項12之方法,其中該ALD脈衝時程係比該流脈衝時程更長。
  17. 如請求項12之方法,其進一步包含:提供一流拘限器,其沿著該惰性氣體源與該可控制式惰性氣體流閥之間的該惰性氣體輸入線路作配置;提供一氣體壓力調節器,其沿著該惰性氣體源與該流拘限器之間的該惰性氣體輸入線路作配置;其中該氣體壓力調節器及該流拘限器係組構以提供該惰性氣體源與該前驅物容器之間至少760Torr(101,080Pa)的一壓力梯度。
TW104102118A 2014-01-23 2015-01-22 蒸氣輸送系統 TWI633200B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461930870P 2014-01-23 2014-01-23
US61/930,870 2014-01-23

Publications (2)

Publication Number Publication Date
TW201540864A TW201540864A (zh) 2015-11-01
TWI633200B true TWI633200B (zh) 2018-08-21

Family

ID=53681939

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104102118A TWI633200B (zh) 2014-01-23 2015-01-22 蒸氣輸送系統

Country Status (10)

Country Link
US (1) US20170145564A1 (zh)
JP (1) JP2017505383A (zh)
KR (1) KR101846763B1 (zh)
CN (1) CN106103795B (zh)
DE (1) DE112015000489B4 (zh)
FI (1) FI20165624A (zh)
GB (1) GB2539572B (zh)
SG (1) SG11201605901QA (zh)
TW (1) TWI633200B (zh)
WO (1) WO2015112728A1 (zh)

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
JP6978865B2 (ja) * 2017-07-05 2021-12-08 株式会社堀場エステック 流体制御装置、流体制御方法、及び、流体制御装置用プログラム
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10947621B2 (en) * 2017-10-23 2021-03-16 Applied Materials, Inc. Low vapor pressure chemical delivery
WO2019083761A1 (en) * 2017-10-23 2019-05-02 Applied Materials, Inc. LIQUID PRECURSOR FEEDING SYSTEM
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11421320B2 (en) 2017-12-07 2022-08-23 Entegris, Inc. Chemical delivery system and method of operating the chemical delivery system
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019210127A1 (en) 2018-04-28 2019-10-31 Applied Materials, Inc. Gas-pulsing-based shared precursor distribution system and methods of use
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN110057416B (zh) * 2019-03-28 2020-12-18 中国辐射防护研究院 一种空气交换量的测量方法及系统
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11404290B2 (en) 2019-04-05 2022-08-02 Mks Instruments, Inc. Method and apparatus for pulse gas delivery
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
DE102020001894A1 (de) 2020-03-24 2021-09-30 Azur Space Solar Power Gmbh Metallorganische chemische Gasphasenepitaxie- oder Gasphasenabscheidungsvorrichtung
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11791172B2 (en) 2020-06-18 2023-10-17 Applied Materials, Inc. Methods of controlling gas pressure in gas-pulsing-based precursor distribution systems
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11566327B2 (en) 2020-11-20 2023-01-31 Applied Materials, Inc. Methods and apparatus to reduce pressure fluctuations in an ampoule of a chemical delivery system
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113797871B (zh) * 2021-09-30 2023-05-19 四川科伦药业股份有限公司 一种易燃易爆液体输送系统及方法和应用
TWI774596B (zh) * 2021-10-29 2022-08-11 環球晶圓股份有限公司 半導體磊晶結構

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070117383A1 (en) * 2002-09-11 2007-05-24 Planar Systems, Inc. Precursor material delivery system with staging volume for atomic layer deposition
TW200831698A (en) * 2006-10-10 2008-08-01 Asm Inc Precursor delivery system
US20110311726A1 (en) * 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
CN102791906A (zh) * 2010-01-19 2012-11-21 Mks仪器公司 脉冲气体传送的控制和方法
US20130160709A1 (en) * 2011-12-27 2013-06-27 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60211072A (ja) * 1984-04-06 1985-10-23 Matsushita Electric Ind Co Ltd 揮発性物質の気化装置
FI117980B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
JP4399517B2 (ja) * 2004-01-05 2010-01-20 株式会社堀場製作所 成膜装置と成膜方法
JP5264039B2 (ja) * 2004-08-10 2013-08-14 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成方法
US8518482B2 (en) * 2007-01-29 2013-08-27 Praxair Technology, Inc. Bubbler apparatus and method for delivering vapor phase reagent to a deposition chamber
GB2457024A (en) * 2008-01-29 2009-08-05 Orobola Lafe Nose cleaning device
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
KR20110088564A (ko) * 2008-11-11 2011-08-03 프랙스에어 테크놀로지, 인코포레이티드 반응물 분배 장치 및 전달 방법
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6081720B2 (ja) 2012-07-04 2017-02-15 東京エレクトロン株式会社 成膜方法及び成膜装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070117383A1 (en) * 2002-09-11 2007-05-24 Planar Systems, Inc. Precursor material delivery system with staging volume for atomic layer deposition
TW200831698A (en) * 2006-10-10 2008-08-01 Asm Inc Precursor delivery system
CN102791906A (zh) * 2010-01-19 2012-11-21 Mks仪器公司 脉冲气体传送的控制和方法
US20110311726A1 (en) * 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US20130160709A1 (en) * 2011-12-27 2013-06-27 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition

Also Published As

Publication number Publication date
WO2015112728A1 (en) 2015-07-30
US20170145564A1 (en) 2017-05-25
KR101846763B1 (ko) 2018-04-06
DE112015000489B4 (de) 2023-03-16
FI20165624A (fi) 2016-08-22
GB2539572A (en) 2016-12-21
KR20160113209A (ko) 2016-09-28
TW201540864A (zh) 2015-11-01
CN106103795A (zh) 2016-11-09
CN106103795B (zh) 2019-03-12
SG11201605901QA (en) 2016-08-30
JP2017505383A (ja) 2017-02-16
GB2539572B (en) 2019-03-20
DE112015000489T5 (de) 2016-11-10

Similar Documents

Publication Publication Date Title
TWI633200B (zh) 蒸氣輸送系統
US6007330A (en) Liquid precursor delivery system
US9387447B2 (en) Device for introducing, injecting or spraying a mixture of a carrier gas and liquid compounds and method for implementing said device
TWI742081B (zh) 固態及液態前驅物用蒸汽輸送方法及設備
JP4601535B2 (ja) 低温度で液体原料を気化させることのできる気化器
JP2016035103A5 (zh)
WO2011160004A1 (en) Method and apparatus for precursor delivery
CN103184431A (zh) 薄膜沉积设备及使用该薄膜沉积设备的薄膜沉积方法
TWI821363B (zh) 前驅物遞送系統
US20170121814A1 (en) Apparatus and Method for Delivering a Gaseous Precursor to a Reaction Chamber
JP2013163846A (ja) 成膜装置及び成膜方法
KR101678100B1 (ko) 원료 공급기 및 기판 처리 장치
KR101415664B1 (ko) 기화기 및 기화기를 가지는 증착장치
KR20210097744A (ko) 액체 탈기의 감소 또는 제거
KR101351438B1 (ko) 소스 공급 장치 및 이를 포함하는 박막 증착 시스템
WO2016069467A1 (en) Solid source vapor delivery package and method
JP2006322047A (ja) 気化器
EP3810989B1 (de) Verfahren und vorrichtung zur bereitstellung von dampf
US11717887B2 (en) Methods and systems for condensing a vapor on a powder bed
KR100709035B1 (ko) 박막증착장치용 직접액체분사시스템
KR20120046860A (ko) 전구체를 기화기로 공급하는 장치
JP2004063715A (ja) 半導体装置の製造方法および基板処理装置
KR200460716Y1 (ko) 소스가스 공급장치
JP2000163133A (ja) 液体材料供給装置の液体供給圧力コントロール構造