KR20160113209A - 증기 전달 시스템 - Google Patents

증기 전달 시스템 Download PDF

Info

Publication number
KR20160113209A
KR20160113209A KR1020167023091A KR20167023091A KR20160113209A KR 20160113209 A KR20160113209 A KR 20160113209A KR 1020167023091 A KR1020167023091 A KR 1020167023091A KR 20167023091 A KR20167023091 A KR 20167023091A KR 20160113209 A KR20160113209 A KR 20160113209A
Authority
KR
South Korea
Prior art keywords
inert gas
precursor
valve
ald
pulse
Prior art date
Application number
KR1020167023091A
Other languages
English (en)
Other versions
KR101846763B1 (ko
Inventor
애덤 버투치
마이클 루포
Original Assignee
울트라테크 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 울트라테크 인크. filed Critical 울트라테크 인크.
Publication of KR20160113209A publication Critical patent/KR20160113209A/ko
Application granted granted Critical
Publication of KR101846763B1 publication Critical patent/KR101846763B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

낮은 증기 압력의 액체 및 고체 전구체들을 위하여 이용가능한 개선된 ALD 시스템. ALD 시스템은 전구체 용기와, 전구체 펄스가 반응 챔버로 제거되는 동안에, 비활성 기체 펄스를 전구체 용기 내로 주입함으로써 전구체 용기 내의 전구체 증기 압력을 증가시키도록 구성된 비활성 기체 전달 엘리먼트들을 포함한다. 제어가능한 비활성 기체 흐름 밸브 및 흐름 제한기는 그 충전 레벨 아래로 전구체 용기 내로 이어지는 비활성 기체 입력 라인을 따라 배치된다. 증기 공간은 충전 레벨 위로 제공된다. ALD 펄스 밸브는 증기 공간과 반응 챔버 사이에서 연장되는 전구체 증기 라인을 따라 배치된다. 양자의 밸브들은 증기 공간으로부터 전구체 증기를 동시에 제거하고 충전 레벨 아래로 비활성 기체를 전구체 용기 내로 주입하기 위하여 동시에 펄스화된다.

Description

증기 전달 시스템{VAPOR DELIVERY SYSTEM}
관련된 미국 특허 출원들에 대한 교차-참조
본 출원은 그 전체적으로 그리고 모든 목적들을 위하여 본원에 편입되는, 2013 년 1 월 23 일자로 출원된 미국 특허 가출원 제 61/903807 호 (관리 번호 3521.390) 에 대하여 35 U.S.C. §119(e) 하에서 우선권을 주장한다.
저작권 공고
이 특허 문서의 개시물의 부분은 저작권 보호를 받는 자료를 포함할 수도 있다. 저작권 소유자는 특허 개시물이 특허 및 상표국 특허 파일들 또는 레코드들에서 나타나므로, 특허 문서 또는 특허 개시물의 누군가에 의한 팩스 재생을 반대하지 않지만, 그렇지 않을 경우에는, 모든 저작권 권리들을 어떠하더라도 보유한다. 다음의 공고는 이 문서에 적용할 것이다: 저작권 2015 Ultratech Inc.
a. 기술 분야
본 발명은 전구체 (precursor) 또는 반응물 증기 펄스 (reactant vapor pulse) 들을 반응 챔버 내로 전달하도록 동작가능한 증기 전달 시스템에 관한 것이다. 특히, 발명은 펄스 밸브를 갖는 기존의 질량 흐름 제어기 (Mass Flow Controller; MFC) 를 대체한다.
b. 관련 기술
액체 및 고체 전구체 재료들로부터 얻어진 증기 상 (vapor phase) 재료들이, 일부의 경우들에 있어서, 일부의 그렇지 않은 바람직한 낮은 증기 압력의 액체 또는 고체 전구체 재료들의 이용을 방지하였던 예컨대, 실온 또는 더 높은 온도들에서 낮은 증기 압력을 가지는 것은 기체 및/또는 증기 상 증착 시스템들에서 전형적인 문제점이다. 낮은 증기 압력의 액체 및 고체 전구체 재료들의 증기 압력을 증가시키기 위하여 이용된 하나의 종래 기술 해결책은 액체 또는 고체 전구체 재료를, 그 증기 압력을 기상 증착 (vapor deposition) 사이클들을 위한 이용가능한 레벨들로 증가시키는 온도로 가열하는 것이다. 기상 증착 사이클들을 위한 적당한 증기 압력을 제공하기 위하여 액체 및/또는 고체 전구체 재료들을 가열하는 것이 일부의 낮은 증기 압력의 전구체 재료들에 대하여 효과적이지만, 상부 온도 한계들이 있고, 이 한계들 위에서는, 전구체 증기가 기상 증착 사이클들을 위하여 더 이상 적당하지 않다. 특히, 액체 및/또는 고체 전구체 재료들로부터 얻어진 대부분의 전구체 기체 상 재료들은 브레이크다운 온도 (breakdown temperature) 를 가지고, 이 브레이크다운 온도 위에서는, 전구체 증기가 희망하는 기체 증착 반응을 위하여 비효과적이거나 덜 효과적으로 된다. 증기 상 전구체들이 원자층 증착 (Atomic Layer Deposition; ALD) 반응 챔버에서 이용되는 특정 예에서, 다수의 바람직한 기체 상 전구체 재료들의 브레이크다운 온도는 75 내지 150℃ 사이여서, 기체 상 전구체 재료들을 150℃ 를 초과하여 가열하는 임의의 가열 단계들은 ALD 증착 사이클들을 위한 전구체 증기 압력을 증가시키기 위한 실행가능한 해결책이 아니다.
추가의 종래 기술 해결책은 용기 내에 포함된 액체 또는 고체 전구체 재료를 통해 비활성 기체 (inert gas) 를 기포화하기 위한 기포화기 (bubbler) 를 통해 비활성 기체의 흐름을 제공하는 것이다. 이 경우, 비활성 기체가 용기로 주입될 수 있고, 전구체 증기가 제어가능한 밸브들 등을 이용하여 용기로부터 제거될 수 있다는 것을 제외하고는, 용이가 실질적으로 밀봉된다. 구체적으로, 용기는 낮은 증기 압력의 액체 또는 고체 전구체로 부분적으로 충전되고, 증기 공간은 그 안에 실장된 액체 또는 고체 전구체의 레벨 위에서 용기 내부에 존재한다. 기체 기포화기는 비활성 기체의 흐름을 그렇지 않은 밀봉된 전구체 용기 내로 주입하도록 제공된 기체 입력 라인을 포함하고, 기체 입력 라인은 용기에서의 전구체의 레벨 아래로 자신으로부터 비활성 기체를 배출하도록 배치된다. 그 결과, 비활성 기체는 용기에서의 전구체의 레벨 위에서 액체 또는 고체 전구체 재료를 통해 증기 공간으로 기포화한다.
기포화기는, 밀봉된 용기에서의 전구체의 레벨 위의 증기 공간에서 전구체 증기를 수집하거나 비말 동반 (entrain) 하기 위하여 액체 또는 고체 전구체 재료를 삼투하거나 증발시키는 것과; 용기에서의 전체적인 기체 압력을 증가시키는 것인 2 개의 장점들을 제공한다. 특히, 전체적인 압력에서의 증가는 또한, 밀봉된 용기 내에 포함된 액체 또는 고체 전구체의 레벨 위의 증기 공간에서 부분적인 전구체 증기 압력을 증가시킨다.
다수의 종래 기술 기포화기 시스템들에서, 비활성 기체의 연속 흐름은 전구체 용기 내로 흐르고, 증기 상 전구체 재료의 연속 흐름은 전구체 용기로부터 흐르고, 증기 상 전구체 재료는 그 안에 지지된 고체 재료 표면과 반응하기 위하여 반응 챔버 내로 전달되거나, 전구체 증기가 시스템으로부터 배기된다. 연속 흐름 기포화기 시스템들에서는, 전구체 용기로 입력되는 비활성 기체의 흐름을 정지시키기 위한 필요성이 없고, 출력에 관한 유일한 제어는 질량 유량 (mass flow rate)을 조절하고, 전구체 증기를 반응 챔버 내로 보내거나 전구체 증기를 시스템으로부터 배기되도록 전환시키는 것이다. 예를 들어, CVD 사이클들은 CVD 코팅 사이클 (coating cycle) 동안에 전구체 증기의 연속 흐름을 반응 챔버 내로 전달하는 것과 양립가능하므로, 연속 흐름 기포화기 시스템들은 일부의 화학 기상 증착 (Chemical Vapor Deposition; CVD) 시스템들에서 이용가능하다. 그러나, 이것은 ALD 코팅 사이클들에 대해서는 그러하지 않다.
그 결과, 연속 흐름 기포화기 시스템들은 ALD 시스템들을 위하여 적당하지 않다. 그 대신에, 추가적인 기체 흐름 제어 엘리먼트 (element) 들은, 반응 챔버로의 전구체 증기 재료 전달을 시작하고 정지시키고, 특히, 전구체 증기가 전구체 용기로부터 제거되고 있지 않을 때에 전구체 용기 내부에서의 총 기체 압력을 관리하기 위하여 필요하게 된다. 게다가, 이용되지 않은 전구체 증기 재료를 시스템으로부터 배기시키는 대신에, 전구체 증기 재료를 보존하고, 동작 비용을 감소시키고, 시스템 외부로 단지 배기될 때에 잠재적으로 유해성 및/또는 휘발성 전구체 증기 재료들을 처분하거나, 그렇지 않을 경우에 중화시키는 비용을 없애는 것이 바람직하다.
기존의 ALD 시스템들에 대하여, 각각의 전구체 증기는 별도의 ALD 펄스 밸브에 의해 반응 챔버에 펄스화된다. ALD 펄스 밸브들은 밀봉된 전구체 용기들과 반응 챔버 사이에 배치되고, 반응 챔버로의 전구체 입력을 제어하기 위하여 이용가능한 기체 입력 매니폴드 (gas input manifold) 내에 편입될 수도 있다. 각각의 펄스 밸브에 대하여, 펄스 밸브가 개방되거나 펄스화될 때의 펄스 지속기간 (pulse duration) 및 밀봉된 전구체 용기 내부의 부분적인 증기 압력은, 각각의 전구체 펄스 동안에 반응 챔버로 배출되는 전구체의 부피 (volume) 에 일반적으로 비례한다. 특히, 전구체 펄스 밸브들은 펄스 지속기간의 약 3 내지 4 배의 펄스 대 펄스 빈도를 갖는 1 내지 100 msec 의 범위에서 펄스 지속기간들을 통상적으로 가진다.
연속 흐름 기포화기 시스템들은 기체 공급 모듈로부터 비활성 기체를 받아들이고, 전구체 용기를 통해 비활성 기체를 실질적으로 연속으로 통과시키기 위하여 전구체 용기와 인터페이싱된다. 질소와 같은 비활성 기체는 예컨대, 약 10 내지 70 제곱 인치 당 파운드 (pound per square inch; PSI) 사이의 실질적으로 레귤레이팅된 기체 압력에서 가압 기체 용기 (pressurized gas container) 로부터 이송 튜브 (feed tube) 로 제공된다. 전구체 용기 내로 진입하는 비활성 기체의 질량 유량은 압력 레귤레이터와 밀봉된 전구체 용기 사이에 배치된 질량 흐름 제어기 (MFC) 에 의해 상대적으로 낮은 질량 유량으로 일반적으로 조절된다. 전형적으로, 비활성 기체의 안정된 질량 유량은 전구체 용기 내로 주입되고, 전구체 증기의 안정된 질량 유량은 용기로부터 반응 챔버로 배출되거나, 시스템으로부터 배기된다.
비활성 기체의 펄스들을 전구체 용기 내로 전달하는 ALD 기체 전달 시스템을 위한 일 예의 비-연속 흐름 기포화기 시스템은, Method And Apparatus For Precursor Delivery (전구체 전달을 위한 방법 및 장치) 라는 명칭으로 되어 있고, 6/17/2011 자로 출원되고, US20110311726 으로서 공개된, Liu 등에 대한 관련된 미국 특허 출원 제 13/162,850 호에서 설명된다. Liu 등은 압력 레귤레이터 (pressure regulator) 와 밀봉된 전구체 용기 사이의 비활성 기체 입력 도관을 따라 배치된 펄스 밸브를 개시하고, 전구체 용기로의 비활성 기체 흐름을 제한하기 위한 오리피스 (orifice) 를 추가로 개시한다. 오리피스는 압력 레귤레이터와 펄스 밸브 사이의 입력 기체 도관을 따라 배치된다. 흐름 제한기는 펄스 밸브가 비활성 기체를 전구체 용기 내로 주입하도록 개방될 때에 기체 흐름을 제한하기 위하여, 기존 질량 흐름 제어기 (MFC) 를 대체한다. 그러나, Lie 등은, 입력 도관이 그 안에 포함된 전구체의 레벨 아래로 밀봉된 용기 내로 주입되는 입력 기체 펄스들을 전달하지 않지만, 그 대신에, 전구체 용기 내에 포함된 액체 및 고체 전구체의 레벨 위에서 입력 비활성 기체를 증기 공간 내로 전달하는 것을 개시한다. 이 종래 기술 구성에서의 하나의 문제는, 전구체 용기에 진입하는 비활성 기체 펄스가 전구체 재료를 수집하거나 비말 동반하기 위하여 전구체 재료를 삼투하거나 증발시키는 것에 실패한다는 것이다. 추가적으로, Liu 등은 비용을 증가시키는, 바람직한 입력 펄스를 생성하기 위하여 2 개의 펄스 밸브들을 이용하는 시스템을 개시한다. 또한, 전통적인 종래 기술의 기포화기 시스템들은, 밀봉된 용기 내부의 총 기체 압력이 안전한 동작 압력을 초과할 때에 밀봉된 전구체 용기 내에 포함된 임의의 증기 상 전구체 재료들을 포함하는 과잉 입력 기체를 퍼징 (purging) 하기 위하여, 전구체 용기의 입력 측과 진공 펌프 또는 토출 벤트 (exhaust vent) 사이에 배치된 우회 라인 (bypass line) 과 같은 동작 안전성 특징들을 요구하였다. 또한, 증기 상 전구체 재료는 위험하거나, 가연성이거나, 또는 양자일 수 있고, 그러므로, 안전한 영역으로 배기될 필요가 있다. 이 안전성 특징은 유익하지만, 그것은 복잡도 및 비용을 추가한다.
위에서 설명된 종래 기술의 연속 및 비-연속 기체 흐름 기포화기 시스템들과 연관된 문제점들에 비해, 본 발명은 개선된 전구체 전달 시스템 및 방법을 포함하는 개선된 ALD 시스템을 제공한다. 본 발명의 ALD 시스템은 진공 펌프에 연결된 반응 챔버를 포함한다. 진공 펌프는 연속적으로 작동하여, 반응 챔버로부터, 예컨대, 고체 기판 표면들과 반응하는, 반응 챔버에 존재하는 전구체들로의 기체를 제거하고, 생산물 및/또는 미반응된 전구체에 의한 반응의 반응 챔버를 플러싱 (flush) 하기 위하여 반응 챔버 내로 전달된 비활성 기체를 제거한다. 본 발명의 ALD 시스템은 또한, 충전 레벨 위에서 증기 공간을 제공하기 위하여 충전 레벨로 충전된 액체 또는 고체 전구체 재료의 어느 하나를 포함하는 전구체 용기를 포함한다. 본 발명의 전구체 용기는 전구체 브레이크다운 온도를 초과하여 전구체를 가열하지 않으면서 증기 압력을 증가시키기 위하여 전구체를 가열하기 위한 가열 엘리먼트들을 포함한다. 비활성 기체 입력 라인은 비활성 기체 소스 (inert gas source) 로부터 비활성 기체를 받아들이고 충전 레벨 아래로 비활성 기체를 전구체 용기 내로 전달하도록 제공된다. 전구체 증기 라인은 전구체 증기 공간과 반응 챔버 사이에 배치된다. 제어가능한 ALD 펄스 밸브는 전구체 증기 공간과 반응 챔버 사이에서 전구체 증기 라인을 따라 배치된다. 제어가능한 비활성 기체 흐름 밸브는 전구체 용기와 비활성 기체 소스 사이에서 비활성 기체 입력 라인을 따라 배치된다. 양자의 밸브들은 초기에는 폐쇄되고, 양자의 밸브들이 폐쇄될 때, 전구체 용기는 실질적으로 밀봉되고 반응 챔버 및 비활성 기체 소스로부터 격리된다.
제어가능한 ALD 펄스 밸브 및 제어가능한 비활성 기체 흐름 밸브의 각각과 전기적으로 통신하는 시스템 제어기는 제어가능한 ALD 펄스 밸브 및 제어가능한 비활성 기체 흐름 밸브의 각각을 펄스화하도록 동작가능하다. 각각의 펄스는 1 로부터 100 msec 까지의 범위인 펄스 지속기간 동안에 밸브를 개방하는 것을 포함한다. ALD 펄스 밸브가 개방되어 있는 동안, 전구체 증기는 증기 공간으로부터, ALD 펄스 밸브를 통해, 반응 챔버 내부로 흐른다. 제어가능한 비활성 기체 흐름 밸브가 개방되어 있는 동안, 비활성 기체 입력 라인에서의 비활성 기체는 제어가능한 비활성 기체 흐름 밸브를 통해, 그리고 전구체 용기 내로 흐르고, 충전 레벨 아래로 방출되어, 비활성 기체는 액체 또는 고체 전구체를 통해, 충전 라인 위에 제공된 증기 공간으로 기포화한다. 기포화 (bubbling) 는 2 개의 장점들을 제공한다: 충전 레벨 위의 증기 공간에서 전구체 증기를 수집하거나 비말 동반하기 위하여 액체 또는 고체 전구체 재료를 삼투하거나 증발시키는 것과; 용기에서의 전체적인 기체 압력을 증가시키는 것. 전체적인 압력에 있어서의 증가는 또한, 증기 공간에서의 부분적인 전구체 증기 압력을 증가시킨다.
이러한 그리고 다른 양태들은 및 장점들은 이하의 설명이 동반되는 도면들과 함께 판독될 때에 명백해질 것이다.
본 발명의 특징들은 발명의 상세한 설명으로부터 최상으로 이해될 것이고, 예시의 목적들을 위하여 선택된 그 일 예의 실시형태들은 동반된 도면들에서 도시되어 있다.
도 1 은 개선된 전구체 증기화 시스템으로 구성된 본 발명의 원자층 증착 시스템의 예시적인 개략도를 도시한다.
도 2 는 본 발명에 따라 원자층 증착 시스템에서의 복수의 로케이션들에서 Torr 로 된 기체 압력의 예시적인 도표를 도시한다.
도 3 은 본 발명에 따라 기체 흐름 제한기를 위하여 이용된 복수의 오리피스 직경들에 대한, 분 당 표준 입방 센티미터(standard cubic centimeters per minute; sccm) 로 된 기체 유량 대 제곱 인치 게이지 당 파운드 (pounds per square inch gauge; psig) 로 된 기체 압력의 예시적인 도표를 도시한다.
예시적인 시스템 아키텍처
본 발명은 원자층 증착 (ALD) 시스템들을 위한 기포화된/흐름-통과 낮은 증기 압력 전달 (low vapor pressure delivery; LVPD) 시스템을 통합하기 위한 간단하고 효과적인 방법을 제공한다. 하드웨어 설계는 고체 및 액체 전구체 재료들의 양자를 위하여 이용될 수 있는 전구체 전달 라인들의 안전한 퍼징을 허용하기 위하여 수동 퍼지 밸브들의 이용으로 캐리어 기체의 흐름을 다시 보내기 위한 MFC 및 스위칭 흐름 밸브에 대한 필요성을 제거한다.
지금부터 도 1 을 참조하면, 본 발명의 비-제한적인 예시적인 ALD 시스템 (1000) 이 개략적으로 도시되어 있다. ALD 시스템 (1000) 은 진공 펌프 (1020) 를 통해 토출 벤트 (1015) 로 배기된 반응 챔버 (1010) 를 포함한다. 단일 전구체 용기 (1025) 는 충전 레벨 (1035) 로 충전된 액체 또는 고체 전구체 재료 (1030) 를 포함하고, 증기 공간 (1040) 은 충전 레벨 (1035) 위에서 제공된다. 밸브들 (1), (2), 및 (3) 은 수동으로 동작된 밸브들이다. 밸브 (1) 는 충전 라인 (1035) 아래에 단부를 가지는 전구체 용기 (1025) 내로 이어지는 비활성 기체 입력 라인 (1045) 상에 배치된다. 밸브 (3) 는 단일 전구체 용기 (1025) 로부터 최종적으로 반응 챔버 (1010) 로 이어지는 기체 라인 피팅 (gas line fitting) (1057) 을 통해 전구체 증기 전달 라인 (1050) 상에 배치된 단일 전구체 용기 (1025) 의 증기 공간 (1040) 사이에 연결된다. 단일 전구체 용기 (1025) 가 여기에 도시되어 있지만, ALD 매니폴드 (1055) 는 복수의 상이한 전구체 용기들 (1025) 로부터 전구체 증기를 받아들이고, ALD 코팅 사이클들을 수행하기 위하여 요구된 바와 같이, 전구체 증기를 하나 이상의 선택된 전구체 용기들 (1025) 로부터 반응 챔버 (1010) 로 전달하도록 제공된다. 밸브 (2) 는 전구체 용기 우회 라인 (1058) 을 따라 배치된다. 우회 라인 (1058) 은 비활성 기체 입력 라인 (1045) 을 전구체 증기 전달 라인 (1050) 에 연결한다.
수동 밸브들 (1) 및 (3) 은 전구체 용기 (1025) 에 부착되고, 비활성 기체 입력 라인 (1045) 및 전구체 증기 전달 라인 (1050) 을 수동으로 폐쇄하도록 제공되고, 따라서, 전구체 용기는 예컨대, 또 다른 전구체 용기와 교환되거나 재충전되고 교체되도록 하기 위하여, 또는 그렇지 않을 경우에 ALD 시스템 (1000) 으로부터 전구체 용기를 격리시키기 위하여, ALD 시스템으로부터 제거될 수 있다. 바람직하게는, 비활성 기체 입력 라인 (1045) 및 전구체 증기 전달 라인 (1050) 의 각각은, 신속 연결 라인 피팅 (1057) 에서 전구체 용기 (1025) 를 탈착하고 전구체 용기 (1025) 를 ALD 시스템에 재부착하도록 제공된, 신속 연결 기체 라인 피팅 (1057) 등을 포함한다.
질소 기초 또는 다른 비활성 기체의 공급 (1060) 은 도시되지 않은 기체 공급 모듈로부터 비활성 기체 입력 라인 (1045) 으로 전달된다. 입력 기체 압력은 10 내지 70 제곱 인치 당 파운드 (PSI) 사이일 수도 있다. 기체 압력 레귤레이터 (1065) 는 비활성 기체 입력 압력을 희망하는 범위로 레귤레이팅하기 위하여 비활성 기체 입력 라인 (1045) 을 따라 임의적으로 배치된다. 현재의 비-제한적인 예의 실시형태에서, 기체 압력 레귤레이터 (1065) 에 의해 유지된 희망하는 입력 기체 압력은 40 PSI 이다. 임의적으로, 수동 밸브 (4) 는 전구체 용기 (1025) 가 설치되지 않을 때에 비활성 기체 입력 라인 (1045) 을 폐쇄하고 비활성 기체 흐름을 필요한 대로 차단하기 위하여, 기체 공급 모듈과 수동 밸브 (1) 사이에서 비활성 기체 입력 라인 (1045) 을 따라 배치된다.
체크 밸브 (check valve) (1070) 는 기체 공급 모듈과 전구체 용기 (1025) 사이에서 비활성 기체 입력 라인 (1045) 을 따라 임의적으로 배치된다. 체크 밸브 (1070) 는 하나의 방향에서만 기체 흐름을 허용하고, 본 예에서, 이 방향은 기체 공급 모듈로부터 전구체 용기 (1025) 를 향하는 것이다. 체크 밸브 (1070) 는 전구체 증기가 증기 공간 (1040) 으로부터, 그것이 우연히 대기로 배출될 수 있는 수동 밸브 (4) 로 흐르는 것을 방지하기 위한 안전성 특징으로서 포함된다.
흐름 제한기 (1075) 는 압력 레귤레이터 (1065) 와 전구체 용기 (1025) 사이에서 비활성 기체 입력 라인 (1045) 을 따라 배치된다. 흐름 제한기는 제한 없이 기체 도관을 통과하는 기체의 부피 또는 질량 유량과 비교하여, 흐름 제한기를 통과할 수 있는 기체의 부피 또는 질량 유량을 제한하기 위하여, 비활성 기체 입력 라인 (1045) 에 의해 형성된 기체 도관의 면적을 국소적으로 감소시킨다.
현재의 비-제한적인 예의 실시형태에서, 흐름 제한기 (1075) 는 비활성 기체 입력 라인 (1045) 을 따라 배치된 오리피스를 포함한다. 오리피스는 원형, 타원형, 정사각형, 또는 임의의 다른 형상일 수도 있다. 대안적으로, 흐름 제한기 (1075) 는 스크린 메쉬 (screen mesh) 와 같이, 비활성 기체 입력 라인 (1045) 에 의해 형성된 도관의 흐름 면적 (flow area) 를 감소시키는 임의의 엘리먼트, 비활성 기체 입력 라인 (1045) 의 외부 벽들 내에 형성된 크림프 (crimp), 흐름 경로에서 배치된 다공성 재료 등을 포함할 수도 있다.
제어가능한 비활성 기체 흐름 밸브 (1080) 는 전구체 용기 (1025) 와 흐름 제한기 (1075) 사이에서 비활성 기체 입력 라인 (1045) 을 따라 배치된다. 제어가능한 비활성 기체 흐름 밸브 (1080) 는 시스템 제어기 (1085) 에 의해 생성된 전자 신호에 응답하여 개방하고 폐쇄하도록 동작가능하다. 통신 채널 (1090) 은 전기 통신 신호들을 그 사이에서 교환하기 위하여 제어가능한 비활성 기체 흐름 밸브 (1080) 를 시스템 제어기 (1085) 와 연결한다. 제어가능한 비활성 기체 흐름 밸브 (1080) 는, 제어가능한 비활성 기체 흐름 밸브가 개방되어 있을 때, 비활성 기체가 제어가능한 비활성 기체 흐름 밸브를 통해 전구체 용기 (1025) 로 통과하도록, 비활성 기체 입력 라인 (1045) 의 축을 따라 자신을 통과하는 기체 흐름 도관을 제공한다. 제어가능한 비활성 기체 흐름 밸브 (1080) 는, 솔레노이드 작동식 게이트 (solenoid actuated gate) 가 폐쇄된 위치에 있을 때, 제어가능한 비활성 기체 흐름 밸브 (1080) 를 통한 기체 흐름을 차단함으로써, 비활성 기체 입력 라인 (1045) 을 통한 기체 흐름을 방지하기 위하여 이동가능한, 도시되지 않은 솔레노이드 작동된 가동성 게이트를 포함한다.
제어가능한 비활성 기체 흐름 밸브 (1080) 는 펄스 밸브 (pulse valve) 로서 동작한다. 솔레노이드 작동식 게이트는 초기에, 디폴트 (default) 에 의해 폐쇄된 위치에 있고, 예컨대, 폐쇄된 상태로 유지하도록 스프링 로딩된다. 제어가능한 비활성 기체 흐름 밸브 (1080) 의 솔레노이드 작동식 게이트는 시스템 제어기 (1085) 로부터 수신된 펄스 명령에 응답하여 개방 위치로 이동된다. 펄스 명령은 솔레노이드 작동식 게이트로 하여금, 개방 위치로 잠시 이동하게 하고, 그 다음으로, 폐쇄된 위치로 신속하게 복귀하게 하고, 예컨대, 스프링력에 의해 복귀된다. 펄스 지속기간은, 예컨대, 가동성 게이트가 완전히 개방된 위치를 향해 이동하기 시작할 때로부터 가동성 게이트가 그 폐쇄된 위치로 복귀할 때까지 연장되는, 솔레노이드 작동식 가동성 게이트가 그 동안에 개방되는 시간적 기간으로서 정의된다. 현재의 비-제한적인 예의 실시형태에서, 제어가능한 비활성 기체 흐름 밸브 (1080) 는 1 내지 100 msec 의 펄스 지속기간 범위에 대하여 구성된다.
펄스 지속기간 동안, 비활성 기체의 부피는 제어가능한 비활성 기체 흐름 밸브 (1080) 를 통해 흐르고, 비활성 기체 입력 라인 (1045) 을 통해 전구체 용기 (1025) 에 진입한다. 각각의 펄스 지속기간 동안에 제어가능한 비활성 기체 흐름 밸브 (1080) 를 통과하는 비활성 기체의 부피는 펄스 부피로 칭해진다. 펄스 부피는: 압력 레귤레이터 (1065) 의 설정 또는 더욱 일반적으로 비활성 기체 입력 압력, 흐름 제한기 (1075) 의 기체 흐름 면적, 펄스 지속기간 및 전구체 용기 (1025) 내부의 총 기체 압력에 부분적으로 종속된다.
하나의 비-제한적인 동작 모드에서, 제어가능한 비활성 기체 흐름 밸브 (1080) 및 시스템 제어기 (1085) 중의 하나 또는 양자는 전구체 용기 (1025) 내로의 비활성 기체 전달을 최적화하여 전구체 증기 압력을 증가시키기 위하여, 펄스 부피를 필요에 따라 변동시키는 수단으로서, 펄스 지속기간을 변동시키도록 동작가능하다. 다양한 예의 실시형태들에서, 펄스 지속기간은 예컨대, 교정 단계 (calibration step) 동안에 제어가능한 비활성 기체 흐름 밸브 (1080) 의 엘리먼트를 기계적으로 조절함으로써 변동될 수 있다. 이 예의 실시형태에서, 제어가능한 비활성 기체 흐름 밸브 (1080) 의 펄스 지속기간은 성능을 최적화하기 위하여 한번 또는 주기적으로 조절된다. 대안적으로, 펄스 지속기간은 시스템 제어기 (1085) 에 의해 생성된 펄스 명령을 변동시킴으로써 변동될 수 있다. 이 예의 실시형태에서, 펄스 지속기간은 펄스 지속기간을 선택적으로 변동시켜서 상이한 전구체 재료들 및/또는 증착 사이클 타입들에 대한 펄스 부피를 증가시키거나 감소시키기 위하여 전자적으로 변동될 수 있다. 하나의 비-제한적인 예의 실시형태에서, 솔레노이드 작동식 게이트로 하여금 개방하게 하기 위하여 이용된 펄스 명령은 펄스 부피를 증가시키거나 감소시키기 위한 수단으로서, 더 길거나 더 짧은 펄스 지속기간들 동안에 솔레노이드 작동된 게이트를 개방하도록 변경된다.
또 다른 비-제한적인 동작 모드의 예에서, 제어가능한 비활성 기체 흐름 밸브 (1080) 의 펄스 부피는 입력 기체 압력을 변동시킴으로써, 예컨대, 기체 압력 레귤레이터 (1065) 의 동작 포인트를 수동으로 또는 전자적으로 조절함으로써 변경될 수 있다. 또 다른 비-제한적인 동작 모드의 예에서는, 상이한 오리피스 크기에 대하여 기체 흐름 제한기 (1075) 를 수동으로 또는 전자적으로 교환함으로써, 또는 예컨대, 흐름 제한기 (1075) 가 조절가능한 니들 밸브 (needle valve) 등일 때에 그러할 수도 있는 바와 같이, 기계적 엘리먼트가 기체 흐름 면적을 증가시키거나 감소시키도록 이동될 경우에, 기계적 엘리먼트들의 이동에 의해 기체 흐름 면적을 수동으로 또는 전자적으로 변동시킴으로써 펄스 부피를 변경하기 위하여, 흐름 제한기 (1075) 의 기체 흐름 면적이 변동될 수 있다. 또 다른 비-제한적인 동작 모드의 예에서, 각각의 펄스 부피는 실질적으로 동일하지만, 그러나 시스템 제어기 (1085) 는 전구체 용기 (1025) 로 전달되는 비활성 기체의 전체적인 부피를 증가시키기 위한 수단으로서, 제어가능한 비활성 기체 흐름 밸브 (1080) 를 복수 회 펄스화하도록 동작된다.
ALD 펄스 밸브 (1095) 는 전구체 용기 (1025) 와 반응 챔버 (1010) 사이에서 전구체 증기 전달 라인 (1050) 을 따라 배치된다. ALD 펄스 밸브 (1095) 는 시스템 제어기 (1085) 에 의해 생성된 전자 신호에 응답하여 개방하고 폐쇄하도록 동작가능하다. 통신 채널 (1090) 은 전기 통신 신호들을 그 사이에서 교환하기 위하여 ALD 펄스 밸브 (1095) 를 시스템 제어기 (1085) 와 연결한다. ALD 펄스 밸브 (1095) 는, ALD 펄스 밸브 (1095) 가 개방되어 있을 때, 전구체 증기가 ALD 펄스 밸브 (1095) 를 통해, ALD 매니폴드 (1055) 를 통과한 후에 반응 챔버 (1010) 로 통과하도록, 전구체 증기 전달 라인 (1050) 의 축을 따라 자신을 통과하는 기체 흐름 도관을 제공한다.
ALD 펄스 밸브 (1095) 는 도시되지 않은 솔레노이드 작동식 가동성 게이트를 포함한다. 솔레노이드 작동식 가동성 게이트는, ALD 펄스 밸브 (1095) 를 통한 기체 흐름을 차단함으로써, ALD 펄스 밸브 (1095) 의 솔레노이드 작동식 가동성 게이트가 폐쇄된 위치에 있을 때, 전구체 증기가 전구체 증기 전달 라인 (1050) 을 통해 흐르는 것을 방지하기 위하여 이동가능하다. ALD 펄스 밸브 (1095) 의 솔레노이드 작동식 가동성 게이트는 초기에, 디폴트에 의해 폐쇄된 위치에 있고, 예컨대, 가동성 게이트는 폐쇄된 상태를 유지하도록 스프링 로딩된다. ALD 펄스 밸브 (1095) 의 솔레노이드 작동식 가동성 게이트는 시스템 제어기 (1085) 로부터 수신된 ALD 펄스 명령에 응답하여 개방 위치로 이동된다. ALD 펄스 명령은 ALD 펄스 밸브 (1095) 의 솔레노이드 작동식 가동성 게이트로 하여금, 개방 위치로 잠시 이동하게 하고, 스프링 부하 (spring load) 는 가동성 게이트로 하여금, 그 폐쇄된 위치로 신속하게 복귀하게 한다. ALD 펄스 지속기간은 ALD 펄스 밸브 (1095) 의 가동성 게이트가 그 동안에 개방되어 있는 시간적 기간이다. ALD 펄스 지속기간은 가동성 게이트가 그 폐쇄된 위치로부터 완전히 개방된 위치를 향해 이동하기 시작할 때로부터, 가동성 게이트가 그 폐쇄된 위치로 복귀할 때까지 연장된다. 현재의 비-제한적인 예의 실시형태에서, ALD 펄스 밸브 (1095) 는 1 내지 100 msec 의 펄스 지속기간 범위에 대하여 구성된다.
ALD 펄스 밸브 (1095) 는 비활성 기체 입력 포트 (1100) 를 임의적으로 포함한다. 도시되지 않은, 기체 공급 모듈로부터 연장되는 비활성 기체 라인은 비활성 기체 포트 (1100) 에 연결되고, 비활성 기체 (1105) 의 흐름을 비활성 기체 포트 (1100) 로 전달한다. 비활성 기체 (1105) 의 흐름은 바람직하게는, 약 40 PSI 로 압력 레귤레이팅된다. 비활성 기체 (1105) 의 흐름은 비활성 기체 입력 포트 (1100) 를 통과하고, ALD 펄스 밸브 (1095) 를 통해 전구체 증기 전달 라인 (1050) 에 진입하고, ALD 매니폴드 (1055) 를 통해, 반응 챔버 (1010) 를 향해 하나의 방향으로만 흐른다.
제 1 비-제한적인 예의 실시형태에서, 비활성 기체 (1105) 는 ALD 매니폴드 (1055) 를 통해 비활성 기체의 실질적으로 일정한 질량 유량을 반응 챔버 (1010) 내로 전달하는 ALD 펄스 밸브 (1095) 를 통해 연속적으로 흐른다. 제 2 비-제한적인 예의 실시형태에서, ALD 펄스 밸브 (1095) 는 반응 챔버로의 전구체 증기 흐름을 조절하기 위하여 이용된 ALD 펄스 밸브 (1095) 의 동일한 솔레노이드 작동식 가동성 게이트를 이용하여 ALD 펄스 밸브 (1095) 를 통해 흐르는 비활성 기체 (1105) 를 조절한다. 특히, ALD 펄스 밸브 (1095) 의 단일 솔레노이드 작동식 가동성 게이트가 폐쇄될 때, 전구체 용기에서의 전구체 증기뿐만 아니라, 포트 (1105) 를 통해 받아들여진 비활성 기체 (1105) 도 ALD 펄스 밸브 (1095) 를 통해 흐를 수 있다. 그러나, ALD 펄스 밸브 (1095) 의 단일 솔레노이드 작동식 가동성 게이트가 개방될 때, 전구체 증기 및 비활성 기체 흐름의 양자는 펄스 지속기간 동안에 ALD 펄스 밸브 (1095) 를 통해 흐를 수 있다. 제 3 비-제한적인 예의 실시형태에서, ALD 펄스 밸브 (1095) 는 ALD 펄스 밸브 (1095) 를 통해 흐르는 비활성 기체 (1105) 및 전구체 증기를 별도로 변조하도록 구성된다. 이것은 반응 챔버로의 전구체 증기 흐름을 조절하도록 동작가능한 제 1 가동성 게이트, 및 비활성 기체 흐름을 변조하도록 동작가능한 제 2 가동성 게이트를 갖는 2 개의 솔레노이드 작동식 가동성 게이트들을 이용하여 달성된다. 이에 따라, ALD 펄스 밸브 (1095) 의 2 개의 솔레노이드 작동식 가동성 게이트들 중의 하나는 반응 챔버 (1010) 로의 전구체 증기 흐름을 조절하기 위하여 개방되고 폐쇄되며, ALD 펄스 밸브 (1095) 의 2 개의 솔레노이드 작동식 가동성 게이트들 중의 다른 하나는 반응 챔버 (1010) 로의 전구체 흐름을 조절하기 위하여 개방되고 폐쇄된다. 추가의 대안적인 실시형태에서, 비활성 기체 (1105) 는 ALD 펄스 밸브 (1095) 내로 도입되는 것이 아니라, 그 대신에, 비활성 기체를 반응 챔버 (1055) 내로 전달하고 및/또는 비활성 기체를 ALD 매니폴드 (1055) 내부의 전구체 증기와 혼합하도록 구성되는 ALD 매니폴드 (1055) 의 엘리먼트들 내로 전달된다. 이에 따라, 흐름 비활성 기체 흐름 밸브 (1080) 와 같은 2 포트 ALD 펄스 밸브 (1095) 는 본 발명으로부터 이탈하지 않으면서 이용가능하다.
정상 동작 동안, 수동 밸브들 (1), (3), 및 (4) 은 개방되고, 수동 밸브 (2) 는 폐쇄된다. ALD 펄스 밸브 (1095) 및 제어가능한 비활성 기체 흐름 밸브 (1080) 는 초기에 폐쇄된다. 바람직한 실시형태에서, 비활성 기체 (1105) 의 안정된 흐름은 ALD 펄스 밸브 (1095) 를 통해, ALD 매니폴드 (1055) 를 거쳐 반응 챔버 (1010) 로 흐른다. 위에서 언급된 바와 같이, 전구체 용기 (1025) 는 충전 레벨 (1035) 에 이르기까지 부분적으로 충전된 낮은 증기 압력의 액체 또는 고체 전구체 재료 (1030) 를 포함하고, 비활성 기체 입력 라인 (1045) 은, 비활성 기체가 액체 또는 고체 전구체 (1030) 를 통해 증기 공간 (1040) 으로 기포화할 때, 전구체 용기 (1025) 내로 주입된 비활성 기체가 비활성 기체 흐름에서 액체 또는 고체 전구체의 비말 동반 (entrainment) 을 촉진시키도록, 충전 레벨 (1035) 아래로 비활성 기체를 전구체 용기 (1025) 내로 주입하도록 구성된다.
하나의 비-제한적인 예시적인 동작 모드에서, ALD 펄스 밸브 (1095) 및 흐름 밸브 (1080) 의 양자는 각각 동일한 펄스 지속기간으로 동시에 개방된다. 이에 따라, 비활성 기체 흐름 밸브 (1080) 는, 전구체 증기의 펄스 부피를 전구체 용기 (1025) 로부터 ALD 펄스 밸브 (1095) 를 통해 반응 챔버 내로 배출하는 것과 동시에, 비활성 기체의 펄스 부피를 전구체 용기 (1025) 내로 주입한다. 다른 동작 모드들에서, 제어가능한 비활성 기체 흐름 밸브 (1080) 는 ALD 펄스 밸브 (1095) 의 펄스 지속기간보다 더 긴 펄스 지속기간을 가질 수도 있다. 이에 따라, 하나의 예의 동작 모드의 실시형태에서, 제어가능한 비활성 기체 흐름 밸브 (1080) 는, 비활성 기체가 ALD 펄스 밸브 (1095) 의 각각의 펄스의 전체적인 지속기간 동안에 액체 또는 고체 전구체를 통해 기포화된 결과로, ALD 펄스 밸브 (1095) 가 개방되기 전에 개방되고, ALD 펄스 밸브가 폐쇄된 후에 폐쇄되도록 동작된다. 또한, 위에서 설명된 바와 같이, 복수의 전구체 펄스 부피들은, 제어가능한 비활성 기체 흐름 밸브 (1080) 를 ALD 펄스 밸브 (1095) 의 각각의 펄스에 대하여 복수 회 펄스화함으로써 반응 챔버 내로 주입된 각각의 전구체 증기 펄스 부피에 대하여 전구체 용기 내로 주입될 수 있다.
제어가능한 비활성 기체 흐름 밸브 (1080) 가 개방될 때마다, 실질적으로 고정된 입력 기체 압력을 가지는, 비활성 기체 입력 라인 (1045) 에 존재하는 비활성 기체는 체크 밸브 (1070) 의 문턱 압력을 극복하고, 흐름 제한기 (1070) 를 통해, 그리고 제어가능한 비활성 기체 흐름 밸브 (1080) 를 통해 전구체 용기 (1025) 내로 흐른다. ALD 펄스 밸브 (1095) 및 제어가능한 비활성 기체 흐름 밸브 (1080) 는 ALD 펄스 밸브 (1095) 의 펄스 지속기간의 적어도 부분에 대하여 양자 모두 개방되므로, 증기 공간 (1040) 으로부터의 전구체 증기는 전체적인 ALD 펄스 지속기간 동안에 반응 챔버 (1010) 내로 중단되지 않고 흐르고, 비활성 기체 입력 라인 (1045) 흐름으로부터의 비활성 기체는 전체적인 흐름 밸브 펄스 지속기간 동안에 충전 레벨 (1035) 아래로 전구체 용기 (1025) 내로 중단되지 않고 흐른다. 또한, 입력 기체 (1060) 는 실질적으로 고정된 기체 압력에 있고 그 질량 유량은 흐름 제한기 (1075) 에 의해 실질적으로 제한되므로, 비활성 기체 펄스 부피와 동일한 비활성 기체의 실질적으로 균일한 부피가 제어가능한 비활성 기체 흐름 밸브 (1080) 의 각각의 펄스 지속기간 동안에 전구체 용기 (1025) 내로 전달된다. ALD 펄스 밸브 (1095) 의 펄스 지속기간 및 제어가능한 비활성 기체 흐름 밸브 (1080) 의 대응하는 펄스 지속기간 후에, 양자의 밸브들은 폐쇄되고, 체크 밸브 (1070) 는 또한 폐쇄되어, 체크 밸브 (1070) 와 제어가능한 비활성 기체 흐름 밸브 (1080) 사이의 입력 라인 (1045) 에서 비활성 기체의 부피를 가둔다. 진공 챔버가 진공 압력에 있고, 비활성 기체 입력이 40 PSI 에 있으므로, 진공 펌프가 동작하고 있는 한, 임의의 전구체 증기가 전구체 용기로부터 입력 라인을 통해 탈출할 매우 작은 가능성이 있다.
지금부터 도 2 를 참조하면, 기체 압력 대 시스템 로케이션 도표 (2000) 는 도 1 에서 도시된 ALD 시스템 (1000) 의 다양한 로케이션들에서 Torr 로 된 기체 압력을 도시한다. 비활성 기체 입력 (1060) 으로부터 시작하면, 비활성 기체 공급은 약 40 psig 에서, 또는 약 2070 Torr 에서 기체 공급 모듈로부터 전달된다. 반응 챔버 (1010) 에서, 진공 펌프 (1020) 는 반응 챔버를 1 Torr 이하 (2005) 로 아래로 펌핑하도록 연속적으로 동작한다.
기체 압력 레귤레이터 (1065) 는 도 2 에서 캐리어 기체로 라벨이 붙여진 1000 Torr (2010) 에서 입력 기체 압력을 레귤레이팅하도록 설정된다. 1000 Torr 압력 (2010) 은 도 2 에서 오리피스 부스트 밸브로 라벨이 붙여진, 흐름 제한기 (1075) 의 위치에 이르기까지 비활성 기체 입력 라인 (1045) 을 따라 실질적으로 일정하다. 흐름 제한기 (1075) 는 기체 압력을 1000 Torr 로부터 10 Torr 로 하강시키는 압력 경도 (pressure gradient) (2015) 를 야기시킨다. 이에 따라, 도 2 에서 공급 용기로 라벨이 붙여진, 전구체 용기 (1025) 내부와, ALD 펄스 밸브 (1095) 에 이르기까지 이어지는 전구체 증기 라인 (1050) 에서의 총 기체 압력은 약 10 Torr (2020) 이다. ALD 펄스 밸브 (2025) 에 걸친 압력 경도는 기체 압력을 10 Torr 로부터 1 Torr 이하로 하강시킨다.
도 2 에서 도시된 압력 밸브들은 일정한 압력 값들이 아니라, 1000 Torr 의 특정한 입력 기체 압력에 대하여, 그리고 특정한 반응 챔버 기체 압력에 대하여 시간에 대한 평균 압력 값들을 도시하는 바람직한 압력 모델의 비-제한적인 예를 단지 나타낸다. ALD 펄스 밸브 (1095) 가 폐쇄됨으로써, 진공 펌프 (1020) 는 반응 챔버 (1010) 내부의 기체 압력을 약 0.3 내지 0.5 Torr 로 감소시키도록 동작하지만, 더 낮은 압력들이 본 발명의 범위 외부에 있지 않다는 것에 주목한다. 진공 챔버 (1010) 내부의 기체 압력은 각각의 전구체 펄스 부피가 ALD 펄스 지속기간에 의해 반응 챔버 내로 주입되는 것에 응답하여 증가하고, 증가하는 펄스 부피는 반응 챔버 내부의 기체 압력을 추가로 증가시키는 것이 인식될 것이다. 유사하게, 전구체 용기 (1025) 내부의 기체 압력은 각각의 전구체 펄스 부피가 증기 공간 (1040) 으로부터 인출되고 각각의 비활성 기체 펄스가 비활성 기체 흐름 밸브 펄스에 의해 전구체 용기 (1025) 내로 주입되는 것에 응답하여 변동된다. 또한, 반응 챔버 (1010) 내부의 평균 기체 압력은 ALD 밸브 입력 포트 (1100) 에 진입하는 비활성 기체 흐름 (1105) 에 의해 추가로 영향을 받는다는 것이 인식될 것이다. 기체 흐름 (1105) 이 연속적일 때, 반응 챔버에서의 평균 기체 압력은 증가될 수도 있고, 비활성 기체 흐름 (1105) 의 질량 유량은 필요에 따라 반응 챔버 내의 평균 기체 압력을 변동시키도록 조절될 수 있다. 오직 하나의 전구체 용기 (1025) 가 본원에서 설명되지만, ALD 시스템 (1000) 은 각각의 ALD 사이클에 대하여 적어도 2 개의 전구체들을 사용하고, 도시되지 않은 제 2 전구체 전달 시스템이 ALD 시스템 (1000) 내에 포함된다는 것이 추가로 주목되고, 제 2 전구체 전달 시스템의 동작은 또한, 반응 챔버 내의 평균 기체 압력에 영향을 준다는 것이 인식될 것이다.
제 2 전구체 전달 시스템은, ALD 매니폴드 (1055) 와 인터페이싱되고, 제 1 전구체가 전구체 용기 (1025) 로부터 전달되는 것에 관계 없이 제 2 전구체를 반응 챔버 (1010) 내로 전달하도록 동작하는 제 2 전구체 용기를 포함한다. 일부의 실시형태들에서, 제 2 전구체 전달 시스템은 본원에서 설명되고 도 1 에서 도시된 전구체 전달 엘리먼트들의 엘리먼트들과 실질적으로 동일할 수도 있지만, 다양한 다른 제 2 전구체 전달 메커니즘들이 이용가능하다. 또한, 바람직한 실시형태에서, 2 개를 초과하는 전구체 전달 시스템들은, ALD 시스템 (1000) 이 상이한 ALD 코팅 사이클 타입들을 수행하기 위하여 필요에 따라 상이한 전구체 조합들을 선택하도록 동작가능하도록, ALD 매니폴드 (1055) 와 인터페이싱되고 시스템 제어기 (1085) 에 의해 제어된다.
본 발명에 따르면, 전구체 용기 (1025) 내로의 비활성 기체 질량 유량의 추가의 양태들이 이하에서 설명된다. 하나의 양태에서, 도 2 에서 (2015) 로서 도시된, 흐름 제한기 (1075) 에 걸친 큰 압력 경도는 전구체 용기 (1025) 로부터 비활성 기체 입력 (106) 을 향한 후방 흐름을 방지하기 위하여 바람직하다. 제 2 양태에서, 2 개의 상이한 바람직한 질량 유량 예들은 흐름 제한기 (1075) 의 2 개의 상이한 오리피스 크기들에 대해 제공된다.
도 3 을 참조하면, 도표 (3000) 는 마이크론 (㎛) 으로 된 4 개의 상이한 흐름 제한기 오리피스 직경들에 대하여, 분 당 표준 센티미터 (sccm) 로 된 비활성 기체 유량 대 제곱 인치 게이지 당 파운드 (psig) 로 된 입력 기체 압력을 도시한다. 이 경우, 기체 압력은 도 1 에서 도시된 흐름 제한기 (1075) 의 상류 (upstream) 에서의 압력 레귤레이터 (1065) 에 의해 설정된 기체 압력이다. 5 내지 60 psig 의 기체 압력 범위에 대한 20 ㎛ 직경 오리피스와 연관된 곡선 (3005) 에서 알 수 있는 바와 같이, 20 ㎛ 직경 오리피스는 5 내지 18 sccm 의 범위에서 오리피스에 걸친 기체 유량들을 제공한다. 25 ㎛ 직경 오리피스, 30 ㎛ 직경 오리피스, 및 40 ㎛ 직경 오리피스와 연관된 곡선들 (3010), (3015), 및 (3020) 은 개개의 기체 유량들 대 기체 압력 결과들을 각각 도시한다.
지금부터 표 1 을 참조하면, ALD 시스템 (1000) 에서의 다양한 로케이션들에서의 기체 압력은, 도 1 의 흐름 제한기 (1075) 가 50 ㎛ 오리피스 직경을 가지며 도 1 에서 도시된 전구체 레귤레이터 (1065) 가 제 1 사례에서 15 psig, 그리고 제 2 사례에서 Hg 에 있어서 -10 으로 설정되는 경우에 대하여 도시된다. 시스템 동작 파라미터들을 선택함에 있어서의 인자는, 비활성 기체 입력 라인 (1045) 으로의 전구체 증기 후방 흐름을 방지하고 공기가 비활성 기체 입력 라인 (1045) 으로 누설하는 위험을 회피하기 위하여, 흐름 제한기 (1075) 및 비활성 기체 흐름 밸브 (1080) 에 걸친 충분히 큰 압력 경도를 제공하기 위한 요구이다.
표 1 은 ALD 시스템 (1000) 의 다양한 로케이션들을 열거하고, 2 개의 상이한 기체 레귤레이터 압력 설정들에 대한 다양한 로케이션들에서 기체 압력, 압력 경도, 및 질량 유량들을 도시한다. 위에서 상세하게 기술된 바와 같이, 반응 챔버 (1010), ALD 매니폴드 (1055) 에서의 기체 압력은 진공 펌프의 동작에 의해, 비활성 기체 입력 라인 (1045) 에서의 기체 압력 역학 (dynamics) 에 다소 관계 없이 주로 지배된다. 유사하게, 전구체 용기 (1025) 를 포함하는, 제어가능한 비활성 기체 흐름 밸브 (1080) 와 ALD 펄스 밸브 (1095) 사이의 부피는, 양자의 밸브들이 펄스 지속기간들 동안에 개방될 때를 제외하고, 비활성 기체 입력 라인 (1045) 에서의 기체 역학으로부터 다소 격리되고, ALD 매니폴드 및 반응 챔버에서의 기체 역학으로부터 다소 격리된다. 그러나, 펄스 지속기간들이 100 msec 미만이고 흐름 제한기 (1075) 가 전구체 용기 (1025) 내로의 질량 유량을 제한하므로, 본 발명은 전구체 증기 펄스들이 제거될 때에, 이와 동시에, 비활성 기체의 제어된 펄스들을 전구체 용기 내로 주입하면서, 입력 기체 흐름으로부터 전구체 용기를, 그리고 반응 챔버로부터 기체 제거를 격리시킴으로써, 전구체 용기 (1025) 에서 실질적으로 일정하거나 수용가능하게 가변적인 기체 압력을 효과적으로 보존한다.
표 1 에서 도시된 바와 같이, 압력 레귤레이터 (1065) 에 의해 설정된, 1535 Torr (15 psig) 의 입력 기체 압력과의, 흐름 제한기 (1075) 에서의 50 ㎛ 직경 오리피스의 조합은 밸브 (1080) 가 개방될 때, 즉, 펄스 지속기간들 동안에 흐름 제한기 및 1430 Torr 의 비활성 기체 흐름 밸브 (1080) 에 걸친 압력 경도를 제공한다. 이와 동시에, 개방된 밸브 (1080) 를 통한 질량 유량은 약 55 sccm 이다. 출원인들은 비활성 기체 입력 라인 (1045) 으로의 전구체 증기 후방 흐름을 방지하고 공기가 비활성 기체 입력 라인 (1045) 으로 누설할 위험을 회피하기 위하여, 760 Torr 보다 큰 압력 경도가 바람직하다는 것을 발견하였다.
한편, 표 1 은 또한, 압력 레귤레이터 (1065) 에 의해 설정된, 500 Torr (15 psig) 의 입력 기체 압력과의, 흐름 제한기 (1075) 에서의 50 ㎛ 직경 오리피스의 조합이, 밸브 (1080) 가 개방될 때, 즉, 펄스 지속기간들 동안에 흐름 제한기 및 450 Torr 의 비활성 기체 흐름 밸브 (1080) 에 걸친 압력 경도를 제공한다는 것을 도시한다. 이와 동시에, 개방된 밸브 (1080) 를 통한 질량 유량은 약 20 sccm 이다.
입력 기체 압력이 1535 Torr (15 psig) 이고, 개방된 밸브 (1080) 를 통한 질량 유량이 55 sccm 이고, 비활성 기체 흐름 밸브 (1080) 의 펄스 지속기간이 100 msec 인 바람직한 동작 모드에 기초하여, 생성된 펄스 부피는 0.092 입방 센티미터이다.
전구체 용기들 (1025) 을 교환하거나, 그렇지 않을 경우에 증기 공간 (1040) 을 퍼징하기 위하여, 비활성 기체 입력 라인 (1045) 밸브 (1) 가 폐쇄되고, 밸브 (2) 가 개방되고, 밸브 (3) 는 개방된 상태로 유지되는 반면, ALD 펄스 밸브 (1095) 는 몇 회 펄스화되거나, 전구체 증기 공간 (1040) 및 비활성 기체 입력 라인 (1045) 을 퍼징할 정도로 충분히 오래 개방된다. 그 후에, 밸브 (4) 가 폐쇄되고, 밸브 (3) 가 폐쇄되고, 전구체 용기 (1025) 는 신속 연결 피팅들 (1057) 에서 연결해제함으로써 제거된다.
추가의 실시형태들에서, 비활성 기체가 충전 라인 (1035) 아래로 주입되는 한, 비활성 기체 입력 라인 (1045) 은 임의의 표면, 상부, 하부, 또는 측면들을 통해 전구체 용기 (1025) 에 진입할 수 있다. 전구체 공급이 보충되고 추후에 교체될 때에 충전 라인 (1035) 이 이동한다는 것이 인식될 것이다. 수동 밸브들 (1, 2, 3, 4) 중의 임의의 것은 전자 제어기 (1085) 에 의해 제어된 제어가능한 액츄에이터 밸브들을 포함할 수도 있다. 기체 압력 레귤레이터 (1065) 는 조작자에 의해, 또는 교정 동안에 희망하는 압력으로 수동으로 설정될 수도 있거나, 전자 제어기 (1085) 에 의해 제어된 제어가능한 디바이스를 포함할 수도 있다.
시스템 (1000) 은 예컨대, ALD 증착 사이클들을 동작시키고 및/또는 평가하기 위하여 유리할 수도 있는, ALD 시스템 (1000) 의 하나 이상의 영역들 사이에서 기체 압력을 감지하기 위하여, 시스템 제어기 (1085) 와 통신하는 하나 이상의 기체 압력 센서들 (1115) 을 포함할 수도 있다.
본 발명은 흐름 밸브가 폐쇄될 때에 시스템으로부터 채널 입력 기체로의 캐리어 기체 (우회) 흐름 경로에 대한 필요성을 제거한다.
본 발명은 제어된 압력 및 흐름 제한기 배열을 이용함으로써 캐리어 기체 유량 (sccm) 의 정확한 제어를 허용한다.
Figure pct00001

Claims (17)

  1. 증기 전달 시스템으로서,
    반응 챔버로부터 기체를 제거하도록 동작가능한 진공 펌프에 연결된 반응 챔버;
    충전 레벨로 충전된 액체 및 고체 전구체 재료 중의 하나를 포함하는 전구체 용기로서, 전구체 증기 공간은 상기 충전 레벨 위에서 형성되는, 상기 전구체 용기;
    비활성 기체 소스로부터 비활성 기체를 받아들이고 상기 충전 레벨 아래에서 상기 비활성 기체를 상기 전구체 용기 내로 전달하도록 제공된 비활성 기체 입력 라인;
    상기 전구체 증기 공간과 상기 반응 챔버 사이에 배치된 전구체 증기 라인;
    상기 전구체 증기 공간과 상기 반응 챔버 사이에서 상기 전구체 증기 라인을 따라 배치된 제어가능한 ALD 펄스 밸브;
    상기 전구체 용기와 상기 비활성 기체 소스 사이에서 상기 비활성 기체 입력 라인을 따라 배치된 제어가능한 비활성 기체 흐름 밸브;
    상기 제어가능한 ALD 펄스 밸브 및 상기 제어가능한 비활성 기체 흐름 밸브의 각각을 개방 위치로 펄스화함으로써, 상기 충전 레벨 아래에서 비활성 기체의 펄스 부피를 상기 전구체 용기 내로 동시에 주입하고, 전구체 증기의 펄스 부피를 상기 반응 챔버 내로 주입하도록 동작가능한, 상기 제어가능한 ALD 펄스 밸브 및 상기 제어가능한 비활성 기체 흐름 밸브의 각각과 전기적으로 통신하는 시스템 제어기로서, 전구체 증기의 상기 펄스 부피는 상기 전구체 증기 공간으로부터 전달되는, 상기 시스템 제어기를 포함하는, 증기 전달 시스템.
  2. 제 1 항에 있어서,
    상기 제어가능한 비활성 기체 흐름 밸브와 상기 비활성 기체 소스 사이에서 상기 비활성 기체 입력 라인을 따라 배치된 흐름 제한기를 더 포함하는, 증기 전달 시스템.
  3. 제 2 항에 있어서,
    상기 흐름 제한기와 상기 비활성 기체 소스 사이에서 상기 비활성 기체 입력 라인을 따라 배치된 기체 압력 레귤레이터를 더 포함하는, 증기 전달 시스템.
  4. 제 3 항에 있어서,
    상기 흐름 제한기와 상기 비활성 기체 소스 사이에서 상기 비활성 기체 입력 라인을 따라 배치된 체크 밸브로서, 상기 체크 밸브는 기체가 상기 비활성 기체 소스의 방향에서 상기 체크 밸브를 통해 흐르는 것을 방지하는, 상기 체크 밸브를 더 포함하는, 증기 전달 시스템.
  5. 제 3 항에 있어서,
    상기 기체 압력 레귤레이터는 상기 비활성 기체 입력 라인에서 기체 압력을 레귤레이팅하도록 설정되고, 상기 기체는 1 내지 60 psig (6894.76 내지 413,685.42 Pa) 의 범위에서의 압력으로 레귤레이팅되고, 상기 흐름 제한기는 20 내지 100 ㎛ 의 범위에서의 직경을 갖는 원형 오리피스를 포함하는, 증기 전달 시스템.
  6. 제 1 항에 있어서,
    상기 제어가능한 ALD 펄스 밸브 및 상기 제어가능한 비활성 기체 흐름 밸브의 각각은 1 내지 100 msec 의 펄스 지속기간 범위로 개방 및 폐쇄를 펄스화하도록 동작가능한, 증기 전달 시스템.
  7. 제 1 항에 있어서,
    ALD 사이클들 동안, 상기 반응 챔버에서의 평균 기체 압력은 1 Torr (133 Pa) 미만에서 유지되고, 상기 전구체 용기에서의 평균 기체 압력은 1 Torr 미만 내지 10 Torr (133 Pa 내지 1330 Pa) 의 범위에서 상기 반응 챔버에서의 상기 평균 기체 압력보다 더 큰 압력에서 유지되는, 증기 전달 시스템.
  8. 제 5 항에 있어서,
    ALD 사이클들 동안, 상기 반응 챔버에서의 평균 기체 압력은 1 Torr (133 Pa) 미만에서 유지되고, 상기 전구체 용기에서의 평균 기체 압력은 상기 반응 챔버에서 1 Torr (133 Pa) 미만인 상기 평균 기체 압력보다 더 큰 압력에서 유지되고, 상기 기체 압력 레귤레이터는 500 내지 2000 Torr (66,500 내지 266,000 Pa) 범위에서 평균 입력 기체 압력을 제공하도록 설정되는, 증기 전달 시스템.
  9. 제 2 항에 있어서,
    상기 흐름 제한기는 상기 비활성 기체 소스와 상기 전구체 용기 사이에서 적어도 760 Torr (101,080 Pa) 의 압력 경도를 제공하도록 구성되는, 증기 전달 시스템.
  10. 제 2 항에 있어서,
    상기 흐름 제한기는 상기 제어가능한 비활성 기체 흐름 밸브의 펄스 지속기간들 동안에 20 내지 100 sccm 의 범위에서 자신을 통과하는 비활성 기체의 질량 유량을 제공하도록 구성되는, 증기 전달 시스템.
  11. 제 1 항에 있어서,
    상기 ALD 펄스 밸브는 그 안에 받아들여진 상기 비활성 기체를 상기 전구체 증기 라인을 통해 상기 반응 챔버 내로 전달하는, 비활성 기체 공급으로부터 비활성 기체를 받아들이기 위한 비활성 기체 포트를 포함하는, 증기 전달 시스템.
  12. 방법으로서,
    동작하는 진공 펌프로 반응 챔버로부터 기체를 제거하는 단계;
    충전 레벨로 충전된 액체 및 고체 전구체 재료 중의 하나를 포함하는 전구체 용기를 제공하는 단계로서, 전구체 증기 공간은 상기 충전 레벨 위에서 형성되는, 상기 전구체 용기를 제공하는 단계;
    비활성 기체 소스로부터 비활성 기체 입력 라인으로 비활성 기체를 받아들이고 상기 충전 레벨 아래에서 상기 비활성 기체를 상기 전구체 용기 내로 전달하는 단계;
    상기 전구체 증기 공간과 상기 반응 챔버 사이에 배치된 전구체 증기 라인을 제공하는 단계;
    상기 전구체 증기 공간과 상기 반응 챔버 사이에서 상기 전구체 증기 라인을 따라 배치된 제어가능한 ALD 펄스 밸브를 동작시키는 단계;
    상기 전구체 용기와 상기 비활성 기체 소스 사이에서 상기 비활성 기체 입력 라인을 따라 배치된 제어가능한 비활성 기체 흐름 밸브를 동작시키는 단계;
    ALD 펄스 지속기간에 대하여 상기 제어가능한 ALD 펄스 밸브를 개방하고 흐름 펄스 지속기간에 대하여 상기 제어가능한 비활성 기체 흐름 밸브를 개방하기 위하여, 상기 제어가능한 ALD 펄스 밸브와 상기 제어가능한 비활성 기체 흐름 밸브의 각각과 전기적으로 통신하는 시스템 제어기를 동작시키는 단계로서, 상기 ALD 펄스 지속기간 및 상기 흐름 펄스 지속기간의 적어도 부분은 중첩하는, 상기 시스템 제어기를 동작시키는 단계를 포함하는, 방법.
  13. 제 12 항에 있어서,
    상기 ALD 펄스 지속기간 및 상기 흐름 펄스 지속기간은 동시에 시작하고 종료되는, 방법.
  14. 제 13 항에 있어서,
    상기 ALD 펄스 지속기간 및 상기 흐름 펄스 지속기간은 1 내지 100 msec 의 시간적 범위를 가지는, 방법.
  15. 제 12 항에 있어서,
    상기 ALD 펄스 지속기간은 상기 흐름 펄스 지속기간보다 더 짧은, 방법.
  16. 제 12 항에 있어서,
    상기 ALD 펄스 지속기간은 상기 흐름 펄스 지속기간보다 더 긴, 방법.
  17. 제 12 항에 있어서,
    상기 비활성 기체 소스와 상기 제어가능한 기체 흐름 밸브 사이에서 비활성 기체 입력 라인을 따라 배치된 흐름 제한기를 제공하는 단계;
    상기 비활성 기체 소스와 상기 흐름 제한기 사이에서 비활성 기체 입력 라인을 따라 배치된 기체 압력 레귤레이터를 제공하는 단계를 더 포함하고,
    상기 기체 압력 레귤레이터 및 상기 흐름 제한기는 상기 비활성 기체 소스와 상기 전구체 용기 사이에서 적어도 760 Torr (101,080 Pa) 의 압력 경도를 제공하도록 구성되는, 방법.

KR1020167023091A 2014-01-23 2015-01-22 증기 전달 시스템 KR101846763B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461930870P 2014-01-23 2014-01-23
US61/930,870 2014-01-23
PCT/US2015/012476 WO2015112728A1 (en) 2014-01-23 2015-01-22 Vapor delivery system

Publications (2)

Publication Number Publication Date
KR20160113209A true KR20160113209A (ko) 2016-09-28
KR101846763B1 KR101846763B1 (ko) 2018-04-06

Family

ID=53681939

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167023091A KR101846763B1 (ko) 2014-01-23 2015-01-22 증기 전달 시스템

Country Status (10)

Country Link
US (1) US20170145564A1 (ko)
JP (1) JP2017505383A (ko)
KR (1) KR101846763B1 (ko)
CN (1) CN106103795B (ko)
DE (1) DE112015000489B4 (ko)
FI (1) FI20165624A (ko)
GB (1) GB2539572B (ko)
SG (1) SG11201605901QA (ko)
TW (1) TWI633200B (ko)
WO (1) WO2015112728A1 (ko)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
JP6978865B2 (ja) * 2017-07-05 2021-12-08 株式会社堀場エステック 流体制御装置、流体制御方法、及び、流体制御装置用プログラム
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10947621B2 (en) * 2017-10-23 2021-03-16 Applied Materials, Inc. Low vapor pressure chemical delivery
WO2019083761A1 (en) * 2017-10-23 2019-05-02 Applied Materials, Inc. LIQUID PRECURSOR FEEDING SYSTEM
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11421320B2 (en) 2017-12-07 2022-08-23 Entegris, Inc. Chemical delivery system and method of operating the chemical delivery system
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI821281B (zh) * 2018-04-28 2023-11-11 美商應用材料股份有限公司 基於氣體脈衝的共享前驅物分佈系統及其使用方法
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
CN110057416B (zh) * 2019-03-28 2020-12-18 中国辐射防护研究院 一种空气交换量的测量方法及系统
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11404290B2 (en) * 2019-04-05 2022-08-02 Mks Instruments, Inc. Method and apparatus for pulse gas delivery
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
DE102020001894A1 (de) 2020-03-24 2021-09-30 Azur Space Solar Power Gmbh Metallorganische chemische Gasphasenepitaxie- oder Gasphasenabscheidungsvorrichtung
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11791172B2 (en) 2020-06-18 2023-10-17 Applied Materials, Inc. Methods of controlling gas pressure in gas-pulsing-based precursor distribution systems
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11566327B2 (en) 2020-11-20 2023-01-31 Applied Materials, Inc. Methods and apparatus to reduce pressure fluctuations in an ampoule of a chemical delivery system
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113797871B (zh) * 2021-09-30 2023-05-19 四川科伦药业股份有限公司 一种易燃易爆液体输送系统及方法和应用
TWI774596B (zh) * 2021-10-29 2022-08-11 環球晶圓股份有限公司 半導體磊晶結構

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60211072A (ja) * 1984-04-06 1985-10-23 Matsushita Electric Ind Co Ltd 揮発性物質の気化装置
TW576873B (en) * 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
JP4399517B2 (ja) * 2004-01-05 2010-01-20 株式会社堀場製作所 成膜装置と成膜方法
JP5264039B2 (ja) * 2004-08-10 2013-08-14 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成方法
US8137462B2 (en) * 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
US7959994B2 (en) * 2007-01-29 2011-06-14 Praxair Technology, Inc. Diptube apparatus and delivery method
GB2457024A (en) * 2008-01-29 2009-08-05 Orobola Lafe Nose cleaning device
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
WO2010056576A1 (en) * 2008-11-11 2010-05-20 Praxair Technology, Inc. Reagent dispensing apparatuses and delivery methods
US8790464B2 (en) * 2010-01-19 2014-07-29 Mks Instruments, Inc. Control for and method of pulsed gas delivery
WO2011160004A1 (en) * 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6081720B2 (ja) 2012-07-04 2017-02-15 東京エレクトロン株式会社 成膜方法及び成膜装置

Also Published As

Publication number Publication date
JP2017505383A (ja) 2017-02-16
DE112015000489B4 (de) 2023-03-16
CN106103795B (zh) 2019-03-12
GB2539572B (en) 2019-03-20
WO2015112728A1 (en) 2015-07-30
GB2539572A (en) 2016-12-21
FI20165624A (fi) 2016-08-22
SG11201605901QA (en) 2016-08-30
DE112015000489T5 (de) 2016-11-10
US20170145564A1 (en) 2017-05-25
TWI633200B (zh) 2018-08-21
KR101846763B1 (ko) 2018-04-06
CN106103795A (zh) 2016-11-09
TW201540864A (zh) 2015-11-01

Similar Documents

Publication Publication Date Title
KR101846763B1 (ko) 증기 전달 시스템
RU2503744C2 (ru) Устройство и способ для реакторов осаждения (варианты)
US9150961B2 (en) Gas delivery for beam processing systems
US9938620B2 (en) Gas supply mechanism, gas supplying method, film forming apparatus and film forming method using the same
JP5350824B2 (ja) 液体材料の気化供給システム
JP2011231935A5 (ko)
US20110180002A1 (en) Vaporizer and deposition system using the same
JP2004036004A (ja) 気化液体反応物のパルス的供給の方法および装置
JP2008240153A (ja) 前駆体用の供給装置
KR100360494B1 (ko) 기화장치
US20090223451A1 (en) Method and apparatus for precursor delivery system for irradiation beam instruments
WO2004040630A1 (ja) 半導体デバイスの製造方法及び基板処理装置
US20130309401A1 (en) Atomic layer deposition apparatus and atomic layer deposition method
KR101585054B1 (ko) 액상 전구체 공급장치
JP5547762B2 (ja) 薄膜形成装置
TWI821363B (zh) 前驅物遞送系統
KR101678100B1 (ko) 원료 공급기 및 기판 처리 장치
KR20230022113A (ko) 전구체 전달 시스템 및 그에 대한 방법
WO2020179575A1 (ja) 成膜装置及び原料ガス供給方法
KR101773038B1 (ko) 기화기를 갖는 증착장치 및 증착방법
KR101351438B1 (ko) 소스 공급 장치 및 이를 포함하는 박막 증착 시스템
KR200460716Y1 (ko) 소스가스 공급장치
KR20240046602A (ko) 전구체 전달 시스템, 전구체 공급 패키지, 및 관련 방법
KR20230086586A (ko) 기상 증착 반응기용 원격식 고체 소스 반응물 전달 시스템
JP2008308707A (ja) 液体原料供給装置

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant