WO2004040630A1 - 半導体デバイスの製造方法及び基板処理装置 - Google Patents

半導体デバイスの製造方法及び基板処理装置 Download PDF

Info

Publication number
WO2004040630A1
WO2004040630A1 PCT/JP2003/013606 JP0313606W WO2004040630A1 WO 2004040630 A1 WO2004040630 A1 WO 2004040630A1 JP 0313606 W JP0313606 W JP 0313606W WO 2004040630 A1 WO2004040630 A1 WO 2004040630A1
Authority
WO
WIPO (PCT)
Prior art keywords
liquid
flow rate
raw material
vaporizer
substrate
Prior art date
Application number
PCT/JP2003/013606
Other languages
English (en)
French (fr)
Other versions
WO2004040630A8 (ja
Inventor
Sadayoshi Horii
Hironobu Miya
Original Assignee
Hitachi Kokusai Electric Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc. filed Critical Hitachi Kokusai Electric Inc.
Priority to JP2004548036A priority Critical patent/JP4427451B2/ja
Priority to US10/529,466 priority patent/US20060035470A1/en
Publication of WO2004040630A1 publication Critical patent/WO2004040630A1/ja
Publication of WO2004040630A8 publication Critical patent/WO2004040630A8/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles

Definitions

  • the present invention relates to a method for manufacturing a semiconductor device and a substrate processing apparatus, and more particularly to a method for processing a substrate using a reactant containing a source gas obtained by evaporating a liquid source.
  • a substrate processing apparatus for manufacturing a semiconductor device that processes a substrate using a liquid raw material requires a liquid raw material vaporizing system for vaporizing the liquid raw material.
  • the pipes must be heated as necessary to prevent the gas that has been vaporized by elevating the temperature of the liquid raw material in the liquid raw material vaporization system (hereinafter referred to as vaporized gas) to be liquefied.
  • vaporized gas the gas that has been vaporized by elevating the temperature of the liquid raw material in the liquid raw material vaporization system
  • the vapor pressure is low and the pipe is cooled and liquefied, so the pipe must be heated.
  • the simplest way to control the flow of vaporized gas is with a valve.
  • valve when simply controlling the flow of vaporized gas with a valve, the valve must also be heated. Generally, a valve that can be heated has a short life. If the valve is repeatedly opened and closed frequently, we estimate that 100 days of use may extend its life. Further, even if the vaporized gas is controlled by the valve, there is a problem that the vaporized gas raw material is adsorbed to the inside of the valve, particularly to the driving section, and in response, the film is peeled off and particles are generated. This adhesion of particles to the wafer surface must be avoided as much as possible, as the minimum processing size of semiconductor devices becomes smaller, causing chip failure.
  • the pressure of the piping that carries the vaporized gas increases, and the gas may liquefy.
  • the liquid generated here forms a film inside the pipe due to the self-decomposition reaction, and the diameter of the pipe gradually becomes narrower, and the pipe may be clogged.
  • the flow rate of a liquid raw material is controlled by feedback control based on flow rate information.
  • the feedback control of the liquid raw material has a problem that the controllability is very poor compared to the case where the flow rate control target is a vaporized gas. Therefore, various methods have been proposed to improve this.
  • a liquid metal vaporization unit of a CVD apparatus which has a metal liquid flow controller and a vaporizer, and the flow controller can control a valve for opening and closing the flow path by both a pulse width and a frequency
  • Metal liquid controlled by a flow controller is intermittently injected into a vaporizer as fine particles (for example, see Patent Document 1).
  • a liquid material supply device using the MOCVD method a pressure chamber whose volume changes by driving a piezoelectric element, an introduction portion for introducing the material into the pressure chamber, and a material liquid compressed by the pressure chamber is ejected. And a control unit for controlling the amount of liquid material to be jetted. There is no vaporizer. A driving voltage pulse generated by a power supply circuit of a control unit is applied to the piezoelectric element to control the amount of raw liquid ejected (for example, see Patent Document 2).
  • a control device for supplying a control signal for flowing out at a constant flow rate to the flow control valve, and a flow rate control valve having a droplet output structure for outputting the inflowing liquid phase material as droplets are provided. It has a pressure chamber that stores a liquid phase material, a diaphragm that can change the volume of the pressure chamber, and a piezoelectric element that changes the volume by generating a volume change corresponding to a control signal (for example, see Patent Reference 3).
  • a reactant vaporized from a reactant source is led to a reaction chamber through a first conduit, and the reactant is converted into a gas phase pulse in the form of a gas phase pulse.
  • the reactant is repeatedly supplied to the reaction chamber alternately with the gas phase pulse of the reactant, and reacts with the surface of the substrate to form a thin film compound on the substrate.
  • Inert gas is supplied to the first conduit through the second conduit connected to the first conduit between the gas phase pulses of the reactants, thereby allowing the reactant source to pass through the first conduit through the first conduit.
  • a vapor-phase barrier is formed for the flow of the vapor-phase reactant leading to, and high-speed switching of the raw material is performed without using the vapor-phase barrier (for example, see Patent Document 4).
  • Patent Document 1 Japanese Patent Application Laid-Open No. 200-2-177377
  • Patent Document 2 Japanese Patent Application Laid-Open No. 2000-175
  • Patent Document 3 Japanese Patent Application Laid-Open No. 2000-2012
  • Patent Document 4 Japanese Patent Application Laid-Open No. 2002-04054
  • An object of the present invention is to improve the throughput of substrate processing in a substrate processing by repeating a plurality of reactant supplying steps a plurality of times without wasting a raw material as a reactant. It is an object of the present invention to provide a possible semiconductor device manufacturing method and a substrate processing apparatus.
  • a first invention provides a semiconductor comprising: a step of supplying one reactant onto a substrate; a step of supplying another reactant onto a substrate; and a step of treating the substrate by repeating these steps a plurality of times.
  • a method of manufacturing a device wherein both or one of the reactants includes a source gas obtained by evaporating a liquid source in a vaporization unit, and determines a flow rate in one discharge operation of the liquid source to the vaporization unit.
  • a method for manufacturing a semiconductor device characterized in that the method is performed such that the liquid material is immobilized and ejected intermittently to a vaporizing section.
  • the discharge rate of the liquid material flowing into the vaporizing section that vaporizes the liquid material is directly controlled, a certain amount of the liquid material can be vaporized in a shorter time, A constant amount of source gas can be supplied onto the substrate in a shorter time from the vaporizing section. Therefore, when a substrate is processed by repeating the supply of a plurality of reactants including a gas obtained by vaporizing a liquid raw material in the vaporization section, the repetition can be performed at high speed, and the throughput of the substrate processing can be increased. Can be improved.
  • the flow rate in one discharge operation of the liquid source to the vaporization section corresponds to one supply operation of the source gas vaporized in the vaporization section onto the substrate.
  • the flow rate in one discharge operation of the liquid raw material to the vaporization unit corresponds to one supply operation of the raw material gas vaporized in the vaporization unit to the substrate.
  • a method for manufacturing a semiconductor device characterized in that the flow rate is controlled to be smaller than the flow rate and to be controlled by the number of discharges.
  • the flow rate in one discharge operation of the liquid material to the vaporization section is made smaller than the flow rate corresponding to one supply operation of the reactant to the substrate, and the flow rate is controlled by the number of discharges, one supply During the operation period, a non-discharge period in which the liquid material is not discharged to the vaporization unit is formed, and during that period, the temperature of the vaporization unit can be recovered. Therefore, it is possible to prevent a decrease in the vaporization efficiency due to a decrease in the temperature of the vaporization section.
  • the treatment is a step of supplying one reactant on the substrate and adsorbing the same, and supplying another reactant to the reactant adsorbed on the substrate
  • a process for forming a desired film by repeating a film forming process and a reforming process a plurality of times hereinafter, referred to as “process”).
  • a processing chamber for processing a substrate a container for accommodating a liquid material, a vaporizer having a vaporizing section for vaporizing the liquid material, and supplying the liquid material contained in the container to the vaporizer.
  • a liquid raw material supply pipe for supplying the raw material gas vaporized by the vaporizer into the processing chamber, and a fixed flow rate in one discharge operation of the liquid raw material to the vaporizing section, and A discharge drive control mechanism for intermittently discharging to the vaporizing section, a supply pipe for supplying a reactant different from the source gas into the processing chamber, and a supply of the source gas into the processing chamber; And a control means for controlling supply of a reactant different from the source gas into the processing chamber a plurality of times.
  • a discharge drive control mechanism for fixing the flow rate in one discharge operation of the liquid raw material to the vaporizing section and controlling the liquid raw material to be intermittently discharged to the vaporizing section; supplying the raw material gas into the processing chamber; and If control means is provided for controlling the supply of a reactant different from the source gas to the processing chamber to be repeated a plurality of times, the method of manufacturing a semiconductor device according to the first invention can be easily implemented.
  • the control means further controls the flow rate in one discharge operation of the liquid raw material to the vaporizing section by once supplying the raw material gas vaporized in the vaporizing section to the substrate.
  • a substrate processing apparatus having a function of controlling so as to be equal to an amount corresponding to an operation.
  • control means When the control means has such a function, the method of manufacturing a semiconductor device according to the second invention can be easily implemented.
  • control means further controls a flow rate of the liquid material in one discharge operation to the vaporization section by one time in which the source gas vaporized in the vaporization section is sent to the substrate.
  • a substrate processing apparatus characterized in that it has a function of controlling the flow rate according to the number of discharges by making the flow rate smaller than the flow rate corresponding to the supply operation.
  • control means further comprises a step of supplying one of the reactants onto the substrate to cause the reactant to adsorb, and a step of causing another reactant to react with the reactant adsorbed on the substrate.
  • a substrate processing apparatus characterized by having a function of controlling the formation of a film by ALD on a substrate by repeating the steps of supplying and causing a reaction to form a film a plurality of times.
  • control means further measures in advance a correlation between a pressure at which the liquid raw material is pumped to the vaporizing section and a flow rate in one discharge operation to the vaporizing section.
  • the substrate processing apparatus has a function of calibrating a flow rate in one ejection operation based on the correlation. Since the control means has a function to calibrate the flow rate based on the correlation between the pressure and the flow rate, it is possible to fix the flow rate in one discharge operation to the vaporizing section without being affected by the pressure change. it can.
  • a liquid flow meter is provided between the vaporizer and the container, and a discharge drive control mechanism having a flow rate adjusting mechanism electrically connected to the liquid flow meter is provided.
  • the flow rate adjustment mechanism calculates the integrated flow rate for a certain period of time or a certain number of discharges based on the electric signal from the liquid flow meter, monitors the integrated flow over time, and discharges one time to the vaporizer.
  • a substrate processing device having a control means for adjusting a temporal change of a flow rate in an operation. It is a physical device.
  • control means Since the control means has a function of adjusting the change over time of the flow rate in one discharge operation to the vaporizing section, the control of the vaporizing section is not affected by the discharge drive control mechanism and the temporal change of the vaporizing section.
  • the flow rate in one ejection operation can be fixed.
  • the vaporizer comprises: a vaporizing unit for vaporizing a liquid raw material; a flow path for sending the liquid raw material to the vaporizing unit; and a discharge of the liquid raw material to the vaporizing unit.
  • valve body is configured to be able to adjust not only the opening and closing but also the opening, it is possible to calibrate the fixed flow rate in one discharge operation of the liquid raw material to the vaporizing part.
  • any one of the reactants is a gas obtained by vaporizing the liquid raw material in a vaporization unit, and any other one of the reactants is the vaporized gas.
  • a method of manufacturing a semiconductor device characterized in that the supply of the reaction gas to the substrate is controlled by opening and closing a valve, and the flow rate of the reaction gas is controlled by a throttle provided in a flow path. It is.
  • the reaction gas is controlled by controlling the opening and closing of the valve and the throttle, the reaction gas can be controlled at a higher speed as compared with the mass flow controller.
  • the reaction gas is activated by plasma
  • a preliminary plasma may be generated before the plasma is generated. If a preliminary plasma is generated when activating the reaction gas, the reaction gas can be instantaneously activated by this plasma. Therefore, even when the reaction gas is activated by the plasma and supplied to the substrate, the throughput of the substrate processing can be further improved.
  • FIG. 1 is a block diagram of a substrate processing apparatus for carrying out a method of manufacturing a semiconductor device according to the present invention.
  • FIG. 2 is a longitudinal sectional view of the vaporizer according to the embodiment.
  • FIG. 3 is a diagram illustrating a comparison between the conventional example and the embodiment showing vaporization characteristics according to a controller (control device) instruction.
  • FIG. 3 (A) shows a conventional example
  • FIG. 3 (B) shows an embodiment. .
  • FIG. 4 is an overall configuration diagram of an ALD device used in the class semiconductor manufacturing apparatus according to the embodiment.
  • FIG. 5 is a main part configuration diagram of an ALD device according to the embodiment.
  • FIG. 6 is a reactant supply sequence diagram of the ALD method according to the embodiment.
  • FIG. 7 is a reactant supply sequence diagram of the ALD method according to the embodiment.
  • FIG. 8 is a timing chart comparing the discharge method of the embodiment and the conventional example.
  • FIG. 9 is a characteristic diagram in which the relationship between the discharge flow rate and the N 2 pumping pressure is measured with the opening degree of the valve body according to the embodiment being a parameter.
  • FIG. 10 is a block diagram of a substrate processing apparatus for performing the method of manufacturing a semiconductor device according to the embodiment.
  • FIG. 11 is a configuration diagram of a reaction gas supply system according to an embodiment.
  • FIG. 12 shows the reaction gas supply system according to the embodiment taken into account.
  • FIG. 4 is a reactant supply sequence diagram of the ALD method.
  • FIG. 13 is an explanatory diagram of a remote plasma unit capable of generating a preliminary plasma according to the embodiment.
  • FIG. 14 is a schematic configuration diagram of a microplasma generator that generates preliminary plasma according to the embodiment.
  • FIG. 15 is a configuration diagram of a reaction gas supply system according to the embodiment.
  • FIG. 16 is a main part diagram of the reaction gas supply system according to the embodiment. 1 Processing room
  • FIG. 1 is a block diagram of an apparatus for carrying out a method of manufacturing a semiconductor device, which is an example of a substrate processing apparatus employing a liquid source vaporization system.
  • the method of manufacturing a semiconductor device employed in this substrate processing apparatus includes a step of supplying one reactant on a substrate, a step of supplying another reactant on a substrate, and repeating these steps a plurality of times. And a step of processing It is a way to
  • the substrate processing apparatus includes a processing chamber 1, a raw material container 2, a vaporizer 3, a liquid raw material supply pipe 4, a raw material gas supply pipe 5, a discharge drive control mechanism 6, a reactive gas supply pipe 7, Means 8.
  • the processing chamber 1 is configured so that a substrate is processed therein, and is connected to a pump 9 so as to be able to evacuate.
  • Source container 2 houses the liquid material, the contained liquid material H e, A r, so as to feed pressure into the vaporizer 3 through the liquid material supply pipe 4 at a pressure of an inert gas such as N 2 Be composed.
  • the vaporizer 3 elevates the temperature of the liquid raw material to vaporize it, and generates a raw material gas as one reactant.
  • the vaporizer 3 has a vaporizing section 3 1 for vaporizing the liquid raw material, a liquid raw material passage 3 2 for sending the liquid raw material to the vaporizing section 3 1, and a discharge of the liquid raw material to the vaporizing section 3 1.
  • a liquid flow control valve element 33 for controlling the flow rate of the liquid raw material sent to the liquid raw material flow path 32 during opening control by adjusting the opening degree of the valve, and a liquid substance downstream of the valve element 33. It has a diluent gas flow path 34 which is connected to the raw material flow path 32 and sends a diluent gas for diluting the liquid raw material to be sent to the vaporizing section 31.
  • the dilution gas supply pipe 10 connects a dilution gas supply source (not shown) to the dilution gas flow path 34 of the vaporizer 3, and the dilution gas is supplied from the dilution gas supply source to the vaporizer 3 via the mass flow controller 13. Configured to supply.
  • the liquid raw material supply pipe 4 connects the raw material container 2 and the liquid raw material flow path 3 2 of the vaporizer 3, and supplies the liquid raw material contained in the raw material container 2 to the vaporizer 3 via the liquid flow meter 11. It is configured to
  • the source gas supply pipe 5 connects the vaporizer 31 of the vaporizer 3 to the processing chamber 1 so that the source gas as one reactant vaporized by the vaporizer 3 is supplied onto the substrate in the processing chamber 1.
  • the reaction gas supply pipe 7 connects a reaction gas supply source (not shown) for supplying a reaction gas as another reactant to the processing chamber 1 so that the reaction gas is supplied onto the substrate in the processing chamber 1.
  • the flow rate of the reaction gas is controlled by a controller mechanism 12 provided in the reaction gas supply pipe 7. Although a mass flow controller may be used as the controller mechanism 12, it is preferable to use one having a high operation speed in accordance with the discharge drive control mechanism 6 and the vaporizer 3 for controlling the flow rate of the liquid raw material at high speed.
  • the discharge drive control mechanism 6 functions to fix the flow rate of the liquid raw material in one discharge operation to the vaporizing section 31 of the vaporizer 3 and to intermittently discharge the liquid raw material to the vaporizing section 31. .
  • the discharge drive control mechanism 6 has a flow control mechanism 61 that is moved by a program for this purpose.
  • the flow control mechanism 61 is electrically connected to the vaporizer 3 and vaporized by a command from the discharge drive control mechanism 6.
  • the device 3 is activated. That is, a pulse-like electric signal composed of an amplitude, a pulse width, and a period is applied to the valve element 33 of the vaporizer 3 from the flow rate adjusting mechanism 61, and the valve element 33 is subjected to open-loop control.
  • the valve opening of the valve element 33 is determined according to the amplitude, and the valve is opened for a time corresponding to the pulse width to discharge the liquid material. Also, the number of ejections is determined by the cycle. The flow rate in one discharge operation of the liquid material to the vaporizing section 31 is fixed by these amplitudes and pulse widths. Also, the number of discharges in one supply operation (one step) of supplying the vaporized gas onto the substrate is determined by the cycle, and the discharge flow in one step is determined by the number of discharges and the amplitude and pulse width described above. The total amount of the quantity is determined. These values can be set in advance by the user in the flow rate adjusting mechanism 61 or can be automatically changed by a program.
  • the flow rate in one discharge operation of the liquid raw material to the vaporizing section 31 is fixed, but the fixing is usually determined under a predetermined discharge pressure. Is done. However, fluctuations in discharge pressure may require calibration of the fixed flow rate. Depending on the usage mode in which such a flow rate needs to be calibrated, the flow rate is calibrated by adjusting the opening of the valve of the valve element 33 integrated with the carburetor 3, that is, by adjusting the amplitude. Has become. The calibration may be performed based on not only the amplitude but also the pulse width or the amplitude and the pulse width.
  • the discharge drive control mechanism 6 is electrically connected not only to the vaporizer 3 but also to the liquid flow meter 11 described above. Then, the valve is adjusted by a command from the discharge drive control mechanism 6. That is, the flow rate detected by the liquid flow meter 11 is notified to the discharge drive control mechanism 6, and the accumulated flow rate of a predetermined number of discharges is monitored by the flow rate control mechanism 61 based on the notification. According to the monitoring result, the valve 33 of the carburetor 3 is controlled by a command from the discharge drive control mechanism 6 to adjust the discharge amount.
  • the discharge drive control mechanism 6 receives a signal from a pressure gauge 66 that measures the pressure in a pipe that supplies an inert gas such as N 2 to the raw material container 2. The pressure inside can be monitored.
  • the control means 8 controls the controller mechanism so that the supply of the source gas vaporized by the vaporizer 3 into the processing chamber 1 and the subsequent supply of the reaction gas different from the source gas to the processing chamber 1 are repeated a plurality of times. It is configured to control 12 and the ejection drive control mechanism 6.
  • the symbol AC shown in the liquid flow meter 11 and the vaporizer 3 in FIG. 1 means an AC power supply.
  • MR C VD method There are MR C VD method and ALD method.
  • the processing temperature and pressure are low, and a film having a desired thickness is formed by forming the film one atomic layer at a time.
  • the MR C VD method has a higher processing temperature and pressure than the ALD method, and forms a thin film (several atomic layers to several tens of atomic layers) multiple times to form a film with a desired film thickness. Form. If the temperature is high, the MR C VD method is used, and if the temperature is low, the ALD method is used.
  • the method for manufacturing a semiconductor device of the present invention can be applied to any of these methods.
  • a semiconductor device is manufactured by using the above-described substrate processing apparatus and performing a method mainly including the following three steps.
  • a discharge drive control mechanism 6 is set in advance with a flow value to be discharged to the vaporizing section 31.
  • the processing chamber 1 is evacuated by the pump 9 to a predetermined pressure, and the substrate in the processing chamber 1 is heated to a predetermined temperature.
  • the liquid raw material is pressure-fed from the raw material container 2 to the liquid raw material supply pipe 4 with N 2 gas and supplied to the vaporizer 3 via the liquid flow meter 11.
  • a pulse-like control electrical signal composed of a pulse amplitude, a pulse width, and a cycle from the discharge drive control mechanism 6 is applied to the valve body 33, whereby the valve body 33 operates, and the liquid raw material is discharged to the vaporizing section 31 for a time corresponding to the pulse width.
  • the discharge operation is compared with the case where the flow rate is varied by feedback control. Highly responsive. Also, since the liquid material having a fixed flow rate in one discharge operation is discharged in a pulsed manner, even if the flow rate in one discharge operation is fixed, the supply amount of the liquid material depends on the number of discharges. Can be adjusted. Furthermore, the flow rate of the liquid raw material discharged to the vaporizing section 31 that vaporizes the liquid raw material is directly controlled, not the external piping leading to the vaporizer 3 or the flow path leading to the vaporizing section 31 inside the vaporizer 3.
  • a certain amount of the liquid material is discharged in a shorter time. It can be vaporized, and a constant amount of source gas can be supplied from the vaporization section 31 to the substrate in a shorter time.
  • a reaction gas as another reactant is sent from a reaction gas supply source (not shown) to the reaction gas supply pipe 7 and supplied to the substrate in the processing chamber 1 via the controller mechanism 12.
  • Other reactants whose flow rate is controlled by the controller mechanism 12 are gas at room temperature and not liquid. Therefore, the controllability is good even if a mass flow controller serving as feedback control is used for the controller mechanism 12.
  • agile operation such as supplying a constant flow of source gas to the substrate in a short time can be guaranteed.
  • a controller having a high operation speed in accordance with the discharge drive control mechanism 6 for controlling the flow rate of the liquid material at a high speed in the controller mechanism 12 more agile operation can be guaranteed.
  • the method for manufacturing a semiconductor device described above not only the vaporized gas but also the Since a constant amount of reactive gas can be supplied to the substrate in a short time, it is possible to switch a plurality of gases at high speed. Therefore, in the process of switching and supplying a plurality of gases as in the embodiment, it is possible to improve the throughput of the substrate film forming process.
  • FIG. 2 shows a structural example of a vaporizer suitable for use in the above-described substrate processing apparatus.
  • This vaporizer is provided with a fluid flow control valve element integrally with the main body, and is generally called an injection type vaporizer.
  • the vaporizer 3 mainly has a vaporizer main body 30 and a valve body 33 for controlling a liquid flow rate for controlling the supply of the liquid raw material, and a vaporized portion is disposed immediately below the valve body 33. It is constituted by.
  • the vaporizer body 30 mixes the liquid raw material with the diluent gas to atomize and then heats to vaporize.
  • the carburetor body 30 is composed of a metal cylindrical block. As the material, for example, stainless steel or a material coated with Teflon (registered trademark) is used.
  • a liquid filling container 35 and a mixing container 36 are provided on the upper surface of the vaporizer main body 30.
  • the liquid raw material is stored when the valve body 33 is closed, and the liquid raw material stored when the valve body 33 is opened is uniformly sent to the mixing container 36 from the outer periphery of the mixing container 36. It is provided for.
  • the liquid container 35 is formed by recessing the upper surface of the vaporizer main body 30 into a ring shape.
  • the bottom of the liquid-filled container 35 communicates with a liquid inlet 38 provided on the side surface of the vaporizer main body 30 via a liquid material introduction passage 37 provided in the vaporizer main body 30.
  • the liquid material is stored in the liquid filling vessel 35, and when the valve body 33 is opened, the liquid filling vessel 35 and the mixing vessel 36 communicate with each other, and the liquid filling vessel is made.
  • the liquid raw material stored in 35 is sent to the mixing vessel 36.
  • the flow rate of the supplied liquid raw material changes according to the vertical position of the valve element 33.
  • Liquid filling container 35, mixing container 36, liquid material introduction The channel 37 and the liquid inlet 38 constitute the liquid source channel 32 of the present invention.
  • the liquid raw material fed from the liquid filling vessel 35 is mixed with a diluent gas to dilute the liquid raw material, and the amount of the liquid raw material fed out from the orifice 39 provided at the bottom of the mixing vessel 36 is adjusted.
  • the mixing vessel 36 even when the valve element 33 is closed, the dilution vessel is relayed so that the diluted gas always flows into the vaporizer body 30. .
  • the dilution gas is allowed to flow into the vaporizer main body 30 when the valve element 33 is closed by removing the residual liquid raw material from the mixing vessel 36 and the vaporization vessel 40.
  • it is for increasing the switching speed of the supply and stop of the vaporized gas and the stop and supply of the vaporized gas by constantly flowing the dilution gas.
  • the orifice 39 and the vaporization container 40 constitute the vaporization section 31 of the present invention.
  • the mixing container 36 is formed by recessing the upper surface 42 of the vaporizer body 30 in the same manner as the liquid filling container 35 inside the ring-shaped liquid filling container 35.
  • the bottom of the mixing vessel 36 communicates with a dilution gas introduction port 41 provided on a side surface of the vaporizer body 30 via a dilution gas introduction path 34 provided in the vaporizer body 30.
  • the dilution gas introduction passage 34 narrows the introduction passage from the middle and communicates with the mixing vessel 36. The reason why the dilution gas introduction passage 34 is narrowed halfway is to push out the liquid material from the orifice 39 by increasing the flow rate of the dilution gas.
  • the dilution gas is supplied to the vaporizer 3 in a heated state.
  • the diluent gas is heated to a temperature at which the liquid source is vaporized when the diluent gas is mixed with the liquid source in the vaporizer 3.
  • the temperature at which the liquid raw material vaporizes is the optimal temperature for vaporizing the liquid raw material. The temperature varies depending on the type of liquid raw material, the shape and heat capacity of the vaporizer 3, but is lost on the way. To compensate for the heat generated, the temperature is, for example, about 10 to 20 ° C higher than the vaporization temperature.
  • the heated dilution gas is sent to the dilution gas supply pipe 10.
  • Dilution gas introduction path 3 4 The diluent gas flow path 34 is composed of the diluent gas inlet 41.
  • the bottom of the mixing vessel 36 communicates with the vaporization vessel 40 via an orifice 39.
  • the vaporization container 40 is provided for mixing the liquid raw material ejected from the orifice 39 in a mist state with a diluent gas and vaporizing the mixture.
  • mixing in the vaporization vessel 40 is also an essential requirement. This is because the liquid raw material ejected in the form of a mist does not vaporize sufficiently unless it is mixed with the heated diluent gas.
  • the vaporization container 40 is formed in the thickness direction of the vaporizer main body 30, and communicates with the raw material gas outlet 43 provided on the lower surface of the vaporizer main body 30.
  • the vaporization container 40 When the orifice 39 is at the top, the vaporization container 40 has a shoulder portion whose diameter gradually increases downward from the top portion, and a body portion of the same diameter that is continuous with the shoulder portion.
  • a heater 44 is embedded in the vaporizer main body 30 to heat the vaporizer main body 30 to a temperature lower than the vaporization temperature of the liquid raw material.
  • the temperature lower than the vaporization temperature is a temperature lower than the vaporization temperature, but such that the liquid raw material does not adsorb to the wall surface of the vaporizer body and desorbs from the wall surface.
  • the “vaporization temperature” varies depending on the raw material, for example, PET (T a ( ⁇ C 2 H 5 ) 5 ), H f (MM P) 4 (H f [OC (CH 3 ) 2 CH 2 ⁇ CH 3 ] 4) In 1 8 0 ° C, TD E AH f (H f [N (C 2 H 5)] 4) In Ru 1 2 0 ° C der.
  • the “temperature lower than the vaporization temperature” is, for example, a temperature about 50 ° C. lower than the vaporization temperature.
  • the purpose of heating the vaporizer body 30 is to keep the temperature of the liquid raw material and the dilution gas introduced into the vaporizer body 30.
  • the reason for heating the vaporizer main body 30 to a temperature lower than the vaporization temperature is that the liquid raw material introduced into the vaporizer main body 30 is self-decomposed by the heat of the vaporizer main body 30, and the vaporizer main body is heated. This is to prevent the film from being formed on the substrate.
  • the heater 44 is preferably provided so as to uniformly heat the vaporizer body 30. In the illustrated example, the heater 44 is located downstream of the narrowed dilution gas flow path 34. It is provided so as to surround the vaporizing container 40 and the side near the orifice 39 in a ring shape.
  • the vaporizer main body 30 is provided with a temperature sensor 45 for measuring the vaporizer main body temperature, for example, a thermoelectric device. A pair is provided.
  • the heater 44 may be provided on the outer periphery of the vaporizer main body 30 instead of being provided inside the vaporizer main body 30.
  • the valve element 33 controls the flow rate in the discharge operation of the liquid raw material to the vaporizing section 31 by sealing the surface of the vaporizer main body 30 or releasing the sealing.
  • the valve element 33 has a cylindrical shape, and is hermetically attached to the upper surface 42 of the vaporizer main body 30 so as to cover the upper openings of the liquid filling container 35 and the mixing container 36.
  • the valve element 33 includes a cylinder 21, a piston 22 as a valve, a piston rod 23, and an actuator 24.
  • the cylinder 21 is on the upper surface 42 of the vaporizer main body 30 and is hermetically mounted on the outer periphery of the ring-shaped liquid filling container 35 so as to surround the liquid filling container 35.
  • the piston 22 is fitted into the cylinder 21 so as to be able to move up and down.
  • the piston 22 rises in the cylinder 21 and moves away from the upper surface 42 of the vaporizer body 30 to form a space 25, it mixes with the liquid-filled container 35 through the space 25.
  • the sealing of the liquid-filled container 35 is released by communicating with the container 36.
  • the piston 22 descends and is pressed against the upper surface 42 of the vaporizer body 30, the communication between the liquid filling container 35 and the mixing container 36 is cut off, and the liquid filling container 35 is sealed.
  • the lifting and lowering movements of the pistons 22 indicated by the white arrows are performed by Actu Yue 24.
  • the flow rate in the discharge operation of the liquid raw material to the vaporizing section 31 is determined by a pulse-like electrical signal composed of the amplitude, pulse width, and period applied to the actuator 24.
  • the valve body 33 employs a generally used cylinder type, but a valve other than the cylinder type may be employed.
  • Liquid inlet port 3 8 above, liquid material introduction path 37, a liquid material flow path 32 is constituted by the liquid filling container 35.
  • the liquid raw material in the raw material container 2 is pressurized and vaporized through the liquid raw material supply pipe 4, which is kept warm as necessary. It is supplied to the container 3. Further, the diluent gas for diluting the liquid raw material is heated and supplied to the vaporizer 3 through the insulated diluent gas supply pipe 10. The liquid raw material and the dilution gas supplied to the vaporizer 3 are mixed in the vaporizer 3 and heated to vaporize. The vaporized source gas is exhausted while being supplied from the vaporizer 3 to the processing chamber 1 through the source gas supply pipe 5 kept warm. At this time, the vaporized gas contributes to film formation on the substrate.
  • the valve element 33 is closed, the piston 22 is lowered and is at the position indicated by the dotted line, and the liquid-filled container 35 is sealed.
  • the liquid source is injected into the vaporizer main body 30 from the liquid inlet 38 and stored in the sealed liquid container 35 through the liquid source inlet 37.
  • the piston 22 is raised to the solid line position to release the seal of the liquid filling container 35, and the vaporizer body 30 inside the cylinder 21 1 25 is formed, and the liquid filling container 35 and the mixing container 36 are communicated through the space 25.
  • the liquid raw material stored in the liquid filling container 35 by this communication flows into the mixing container 36.
  • the heated dilution gas is always supplied to the carburetor body 30 regardless of whether the valve body 33 is opened or closed. That is, the dilution gas flows from the dilution gas inlet 41 through the dilution gas introduction path 34, the flow velocity is increased on the way, flows into the mixing vessel 36, and flows into the vaporization vessel 4 through the orifice 39. It is discharged from 0 through the raw material gas outlet 43.
  • the valve element 33 when the valve element 33 is opened and the liquid filling container 35 and the mixing container 36 communicate with each other, and the liquid material flows into the mixing container 36, the liquid material is reduced in flow velocity.
  • the mixed liquid raw material is diluted so as to be easily vaporized, and is pushed out from the orifice 39 to the vaporization container 40 by the diluent gas.
  • the liquid raw material is sprayed out of the orifice 39 into the vaporization container 40 in a mist state, and is mixed with the diluent gas pushed out together with the liquid raw material in the vaporization container 40.
  • the liquid raw material is in the form of fine mist, the liquid raw material is heated to the vaporization temperature by the heated diluent gas and is instantaneously vaporized.
  • the vaporized source gas is discharged from the source gas outlet 43 as shown by the arrow.
  • an electrical signal command composed of a pulse width, an amplitude, and a cycle is sent from the discharge drive control mechanism 6 to the actuator 24 of the valve element 33 of the carburetor 3, and inside the carburetor 3,
  • the piston 22 is moved up and down and the piston 22 is moved upward, the liquid material stored in the liquid filling container 35 is instantaneously discharged to the mixing container 36, and the orifice It is vaporized in vaporization vessel 40 through 39.
  • the vaporization portion is disposed immediately below the valve body 33 for controlling the liquid flow rate, the effect of such a time lag and the remaining portion of the liquid can be significantly reduced.
  • FIG. 3 (B) it is possible to obtain the vaporization characteristic (b) having a sharp fall as indicated by the instruction (a) of the discharge drive control mechanism 6.
  • the flow rate in one discharge operation is determined by Pumps the gas to the vaporizer 3 depending on the pressure of N 2 . Therefore, once the flow rate in the discharge operation for immobilizing regardless pressure N 2 is obtained in advance the correlation between the flow rate in one ejection operation of the pressure of the pumped N 2 and the liquid material, the relationship It is necessary to calibrate the discharge flow rate.
  • step 6 a change in the flow rate at that time is observed based on the flow rate notification from the liquid flow meter 11, and the integrated value is used as the integrated flow rate to determine a single discharge rate.
  • the liquid flow meter 11 is composed of a mass flow controller, and the mass flow controller and the vaporizer 3 are electrically connected as indicated by a dotted line, and flow into the vaporizer 3.
  • the flow rate to be controlled is feedback-controlled to the mass flow controller.
  • the valve opening degree when the number pattern measure the relationship between the discharge flow rate to the parameters Isseki and the N 2 feeding pressure can is possible to get a flow rate characteristic as a ninth diagram.
  • the N 2 pumping pressure and the valve opening required to obtain a required discharge flow rate are determined.
  • the flow characteristic is held as electronic data (look-up table) in the ejection drive control mechanism 6, and the user operates the ejection drive control mechanism 6 in one ejection operation.
  • Set the flow rate The program incorporated in the discharge drive control mechanism 6 calibrates the set flow rate by obtaining the pressure and the opening of the valve element from the above look-up table and controlling them to be those values.
  • the flow rate in the operation can be fixed. However, the flow rate in one discharge operation may change over time. In order to improve the flow rate over time, it is necessary to monitor the flow rate over time and adjust the discharge rate.
  • FIG. 10 shows a block diagram of an example of a substrate processing apparatus in which such a change in flow rate with time is improved.
  • an upper-level control device 63 that is electrically connected to the discharge drive control mechanism 6 is provided.
  • a weight notification is given from a weighing scale 62 arranged below the raw material container 2 and measuring the weight of the container.
  • a flow rate is notified from a liquid flow meter 11 that is provided in the liquid source supply pipe 4 and measures the flow rate of the liquid flowing in the liquid source supply pipe 4.
  • flow rate instruction is given to a mass flow controller 6 5 kicked set to N 2 gas feed pipe 6 7 for connecting the N 2 gas cylinder 6 4 and the source container 2. Further, it is configured such that the amplitude (opening degree of the valve element), the pulse width, and the cycle are instructed to the discharge drive control mechanism 6.
  • the upper-level control device 63 calculates an integrated discharge flow rate corresponding to several hundreds to tens of thousands of discharge times based on the electric signal of the flow rate notification from the liquid flow meter 11.
  • the accumulated discharge flow rate is stored and monitored for a change over time in one discharge amount. If there is a change, the change can be calibrated for aging If it is within the allowable range of several to several tens percent, it is assumed that the characteristics of the carburetor 3 or the discharge drive control mechanism 6 have changed, and a valve body that adjusts the change over time of one discharge amount. Is given to the carburetor 3 to adjust the opening of the valve element 3 3.
  • the change in the characteristics of the discharge drive control mechanism 6 described above is caused by, for example, deterioration of a piezo valve used in the discharge drive control mechanism.
  • the piezo valve is made of ferroelectric material, and the ferroelectric material becomes fatigued after long-term operation.
  • the higher-level control device 63 calculates an integrated flow rate for a fixed time and a fixed number of discharges based on the electric signal from the liquid flow meter 11, and calculates the integrated flow rate. By monitoring the change in the amount of one discharge over time, the reliability of the liquid material supply system can be improved, and the processing accuracy of the wafer can be constantly maintained.
  • the lookup table of the flow rate characteristics described above is not held in the discharge drive control mechanism 6, but is stored in a higher-order electrical connection with the discharge drive control mechanism 6.
  • the controller holds the controller 63, and the user sets the flow rate in the controller 63, and the program incorporated in it determines the pressure and the opening of the valve body 33 from this look-up table, and discharges the drive. It is preferable to give an instruction to the control mechanism 6.
  • the fixing when fixing the flow rate in one discharge operation of the liquid raw material to the vaporizing section, the fixing is not performed by the flow rate to the vaporizer 3 but by the vaporizing section 3 of the vaporizer 3. It is the flow rate for 1. Therefore, the vaporizer 3 is not limited to the one with the valve body integrated, but can be applied to the case where the valve body 33 is a separate body.
  • the method for manufacturing a semiconductor device is described by using a plurality of gases.
  • the general explanation was that the process was limited to the process of forming a film by repeating this supply, but the process was not limited to either the MRCVD method or the ALD method.
  • the present invention is further limited to the ALD method.
  • FIGS. 4 and 5 show an example of the configuration of an ALD device which is particularly advantageous when the present invention is applied.
  • an oxide film is formed on a wafer as a substrate.
  • An ALD device is often used in a cluster type semiconductor manufacturing device as shown in FIG.
  • This apparatus mainly consists of an atmospheric wafer transfer machine 16, a load lock chamber 17, a vacuum transfer chamber 18, and a processing chamber 1.
  • the processing chamber 1 is provided with a reactant supply system 19 that controls the flow rate of the liquid raw material and supplies it by vaporization, and a remote plasma unit 20 that generates activated oxygen used as a reaction gas.
  • the wafer is transferred from the wafer cassette 15 to the atmospheric wafer transfer device 16 and the wafer is placed in the load lock chamber 17 where the load lock chamber 17 is evacuated from the atmosphere to a vacuum.
  • the wafer is transferred to the processing chamber 1 via the vacuum transfer chamber 18.
  • a vaporized gas and activated oxygen are alternately supplied in the processing chamber 1 and supplied to form a film having a desired thickness on the surface.
  • the wafer is returned to the wafer cassette 15 in a flow reverse to the flow described above.
  • FIG. 5 shows a detailed view of the vacuum transfer chamber 18, the reactant supply system 19, the remote plasma unit 20, and the processing chamber 1, which constitute the main parts of FIG.
  • the vacuum transfer chamber 18 has a transfer port 26 inside the chamber.
  • the transfer port 26 has an arm 27 that can be extended and retracted and is rotatable.
  • the transfer port 26 is configured to hold and transfer the wafer W on the arm 27.
  • One side of the vacuum transfer chamber 18 is connected to the load lock chamber, and the other side is connected to the processing chamber 1.
  • Transfer port 2 In step 6, the wafer W before processing is received from the load lock chamber, transported to the processing chamber 1, and transferred onto the susceptor 56. Also, the processed wafer W is received from the processing chamber 1, transported to the load lock chamber, and transferred.
  • the reactant supply system 19 supplies a remote plasma source to the remote plasma unit 20 to supply activated oxygen as a reaction gas to the processing chamber 1; It is composed of two systems, a liquid raw material vaporization system 29 to be supplied to the processing chamber 1 after being converted.
  • Reaction gas supply system 2 8 is here shown schematically, and Ma scan flow controller 4 6, 4 7 oxygen respectively provided ( ⁇ 2) 0 2 supply pipe 4 8 for supplying gas, argon (A r) It mainly consists of an Ar supply pipe 49 for supplying gas.
  • a r gas is a gas for discharge, the remote Topurazumayuni' preparative 2 0, 0 2 is activated by A r plasma.
  • Remote plasma unit 2 0, 0 2 O 2 gas supplied from the supply pipe 4 8 and A r supply pipe 4 9 Prefecture, A r of A r gas to form a plasma causing the discharge, the plasma activated by exciting ⁇ 2 by. It activated ⁇ 2 together with A r plasma supplied from a remote plasma unit 2 0 to the reaction gas supply pipe 5 0.
  • the activated oxygen is controlled at a high speed to match the control speed of the liquid material controlled by the discharge drive control mechanism.
  • the high-speed control is performed by ONZOFF control of the plasma.
  • the reaction gas supply system 28 is specifically configured as shown in FIG. 11, and uses this system to process oxygen activated at high speed according to the sequence shown in FIG. Send to room.
  • the reaction gas supply system shown in FIG. 11 includes a remote plasma unit 20 and pipes 72 and 70.
  • the pipe 72 flows Ar
  • the pipe 70 flows a mixed gas of oxygen 2 and argon Ar.
  • a reaction gas supply pipe 50 is connected to the outlet side of the remote plasma unit 20 to supply activated oxygen to the processing chamber via the reaction gas supply pipe 50.
  • the introduction side of the remote plasma Interview Stevenage preparative 2 0 is connected to the pipe 7 0 described above, the pipe 7 2 to the pipe 7 0 is merged connected, remote plasma Interview two Tsu preparative gas mixture of ⁇ 2 and A r Supply 20.
  • a mixer 74, a second valve 75, and a throttle 73 are provided in the pipe 70 for flowing the mixed gas from the upstream side to the downstream side.
  • the throttle 73 is provided on the upstream side of the junction with the pipe 72.
  • the pipe 7 2 0 2 supply pipe 4 8 the mass flow controller 71 to and A r supply pipe 4 9, 4 6, 4 7 are respectively provided, ⁇ second supply pipe 4 8, and
  • a r supply pipe 4 9 is further provided with a second valve 76 and a third valve 77, respectively.
  • Ar introduced from the pipe 72 always flows into the processing chamber through the remote plasma unit 20. This is to prevent the vaporized gas, which is the other raw material, from diffusing into the remote plasma unit 20. If vaporized gas enters, it reacts by plasma, causing particles.
  • the second valve 76 and the third valve 77 are opened for a certain time while the first valve 75 is closed, and the mixed gas of Ar and oxygen O 2 is sealed. Close the second valve 76 and the third valve 77. This is because the plasma may be extinguished when a large amount of oxygen is suddenly introduced into the remote plasma unit 20 when the first valve 75 is opened. However, it may not be necessary depending on the capability of the remote plasma unit 20.
  • a throttle 73 for adjusting the cross-section of the flow path to adjust the flow rate of the mixed gas is inserted into the pipe 70 between the first valve 75 and the remote plasma unit 20 so that a large amount of gas flows. I do not have it. That is, the flow rate is fixed.
  • Fig. 14 shows a small plasma generator 78, in which a small amount of power is supplied from a high-frequency power supply 79 between terminals 80 and 81, which are separated by several hundreds of zz to several millimeters. Generate.
  • the flow rate of the oxygen activated by the throttle 73 whose flow rate is set in advance is controlled, and the oxygen 2 is instantaneously activated by the preliminary plasma and the main plasma. This makes it possible to send the activated oxygen to the processing chamber at a high speed.
  • the liquid raw material vaporization system 29 is composed of a raw material container 2, a liquid flow meter 11, a vaporizer 3, a liquid raw material supply pipe 4, a dilution gas supply pipe 10 provided with a mass flow controller 13, and a heater 14. .
  • the liquid raw material is pressure-fed from the raw material container 2 to the liquid raw material supply pipe 4 with N 2 gas and supplied to the vaporizer 3 via the liquid flow meter 11.
  • the vaporizer 3 is controlled by the discharge drive control mechanism, and the liquid raw material is discharged for a time corresponding to the pulse width.
  • the flow rate in one discharging operation is fixed and discharged to the vaporizing section of the vaporizer 3.
  • Liquid material is diluted by mixing with diluent gas N 2 supplied from the dilution gas supply pipe 1 0, it is discharged into the vaporizing unit.
  • the vaporized gas vaporized in the vaporizing section is intermittently introduced into the source gas supply pipe 5 according to a pulse-like control electric signal.
  • Heat pipes 14 are provided in the liquid raw material supply pipe 4, the raw material gas supply pipe 5, and the dilution gas supply pipe 10, and heat the pipes as necessary to lower the temperature of the liquid or gas transported inside. Do not heat.
  • the processing chamber 1 is configured to process, for example, one substrate in a single-wafer manner.
  • a wafer transfer port 52 is provided on one side of the processing chamber 1 and communicates with the vacuum transfer chamber 18 via a gate valve 51.
  • An exhaust port 53 is provided on the other side of the processing chamber 1 so that the pump 9 can exhaust the processing chamber 1.
  • a shower head 53 is provided at the upper part of the processing chamber 1, and a raw material gas supply pipe 5 and a reaction gas supply pipe 50 are connected to the shower head 53, and a shower head is provided from these supply pipes 5, 5Q. In this way, two types of gas can be supplied onto the wafer W.
  • a purge gas supply pipe is connected to the shower head 53 so that the purge gas can be introduced into the processing chamber 1 and supplied onto the wafer W.
  • the light unit 54 holds and heats the wafer W, and is provided in the processing chamber 1 so as to be able to move up and down in the directions indicated by the up and down arrows and to be rotatable as indicated by the arrows.
  • the heating unit 54 includes a unit main body 55, a susceptor 56 provided on the upper part of the unit main body 55 to hold a wafer, and a susceptor 56 provided inside the unit main body 55. And a heater 57 for heating the wafer W through the heater.
  • An optical fiber 58 and a thermocouple 59 necessary for controlling the wafer temperature are drawn out of the processing chamber 1 from the inside of the unit body 55.
  • wafer W is showered as shown
  • the heater unit 54 is raised to a position near the head 53, and is lowered so that the susceptor 56 comes to a position facing the wafer transfer port 52 at the time of transfer.
  • the transfer port 26 attached to the vacuum transfer chamber 18 takes out the wafer W from the load lock chamber.
  • the heater unit 54 composed of the susceptor 56 and the heater 57 descends, and the wafer transfer port 52 and the surface of the susceptor 56 are almost at the same height.
  • the gate valve 51 is opened, and the arm 27 of the transfer rod 26 sends the wafer W into the processing chamber 1.
  • three push-up pins (not shown) rise from below from the susceptor 56 and hold the wafer W.
  • the arm 27 of the transfer robot 26 is taken out of the processing chamber 1, and the gate valve 51 is closed.
  • the inside of the processing chamber 1 is evacuated by the pump 9 through the exhaust port 53.
  • the heater unit 54 is raised, the push-up pins are lowered, and the wafer W is transferred onto the susceptor 56. Further raise the heater unit 54 and move the wafer W held on the susceptor 56 to a position where the distance between the shower head 53 and the shower head 53 becomes, for example, 10 mm to 20 mm. . Then, rotate Jeha W together with Susep Y 56. At this time, the heater 57 is fixed. The rotation of the wafer W is to reduce the temperature non-uniformity in the wafer surface due to the heating of the heater 57.
  • the pressure in the processing chamber reaches a predetermined pressure and the temperature of the wafer W approaches the susceptor temperature and becomes substantially constant, the film forming process by the ALD method is performed.
  • the reactant supply step includes a liquid raw material vaporization system 29 and a reaction gas supply system 28.
  • the liquid raw material vaporization system 29 discharges the liquid raw material from the raw material container 2 to the vaporization container 31 of the vaporizer 3 to vaporize it, introduces the vaporized raw material gas A into the processing chamber 1, and transfers the gas raw material to the surface of the wafer W. To be absorbed.
  • a non-reactant such as an inert gas is introduced into the processing chamber 1, and excess gas A in the processing chamber 1 is discharged from the exhaust port 53 and removed.
  • a plasma-excited reaction gas B activated oxygen ⁇ 2
  • the wafer is introduced into the processing chamber 1 from 8 and a single atomic layer of a thin film is formed on the wafer 8 by a wafer surface reaction.
  • a non-reactant such as an inert gas is introduced into the processing chamber 1, and excess gas B and reaction by-products in the processing chamber 1 are exhausted from the exhaust port 53. remove.
  • the steps (1) to (4) are defined as one cycle, and a plurality of cycle processes are performed until a desired film thickness is reached.
  • the rotation of the heater unit 54 is stopped, and the height of the surface of the susceptor 56 is lowered so that it is the same height as the wafer transfer port 52.
  • the push-up pin is lifted to separate the wafer W from the susceptor 56, the gate valve 51 is opened, and the wafer W is taken out of the processing chamber 1 through the transfer port 26.
  • the film thickness formed in one cycle is fixed, and it is required to form the desired film thickness within the required time. It is necessary to perform the necessary number of cycles in time. Required services within the required time In order to achieve the required number of cycles, the time per cycle is inevitably determined.However, in order to achieve the number of films that can be formed per time that satisfies the economics of production, that is, to achieve the throughput, the time per cycle is required. For example, less than one second may be required.
  • the gases A and B and the non-reactant must be supplied to the processing chamber 1 only for a quarter second, assuming that the time required for each step is the same.
  • gas A is generated by vaporizing a liquid
  • agile operation is required if a constant flow rate is applied for a quarter second.
  • the discharge amount to the vaporization unit 31 is controlled by controlling the discharge amount to the vaporization unit 31 while performing open loop control by the discharge command from the discharge drive control mechanism.
  • Agile operation such as flowing a constant flow for only 1 second can be easily realized.
  • the reactive gas supply system 28 can easily realize the agile operation of flowing a constant flow for only 1/4 second by controlling the flow rate to the processing chamber 1 by controlling the throttle 73 and plasma ONZOFF control. it can. Therefore, the reactant supply system 19 of the embodiment is preferably used particularly for the ALD method.
  • the gas is switched in a sequence as shown in FIG. 6, but in the purge cycle after the introduction of the raw material, it is desired to completely exhaust the remaining surplus raw material.
  • the controller is separate from the vaporizer
  • the vaporization characteristics fall off as shown in Fig. 3 (A) and (b).
  • the source gas cannot be sufficiently exhausted from the processing chamber 1.
  • the controller is integrated with the vaporizer
  • the liquid material is sealed with good responsiveness to the command of the discharge drive control mechanism 6 as shown in FIGS. 3 (B) and 3 (b).
  • the raw material can be completely exhausted from the processing chamber 1 during the purge sequence.
  • Activated acid which is a reaction gas
  • the element 2 can also completely exhaust the raw material from the processing chamber 1 during the purge sequence.
  • the film formation mechanism is self-limited, so that the film thickness per cycle is several A to several tens of A. Therefore, in order to increase the film formation rate per unit time, it is necessary to shorten the cycle of one cycle as shown in FIG. From this point of view, the method of the embodiment in which the discharge of the raw material and the non-discharge (introduction Z sealing) of the raw material can be controlled at high speed by the open loop control is superior to the feedback control method.
  • ALD can also be used to form a film in units close to the atomic layer by introducing a raw material in a short time, oxidize or nitridate by introducing a reaction gas, and repeat the removal of impurities.
  • the present invention can be applied to these systems, and they are also superior to the conventional systems.
  • the process of repeating the film formation and impurity removal in units close to the atomic layer by introducing the raw material in a short time includes, for example, film formation by gas supply of an organic liquid raw material and reforming by supply of plasma excitation gas. There is a repeat MRCVD method.
  • the flow rate in one discharge operation of the liquid material to the vaporizing section 31 is determined by changing the flow rate of the vaporized gas to the substrate. Equivalent to the flow rate corresponding to each supply operation
  • the liquid flow rate is controlled so as to be as described above, that is, the case where the discharge control is performed once in one step has been described (first embodiment).
  • the vaporizer touches the liquid material
  • the sequence of the liquid material supply is changed, and the flow rate of the liquid material in one discharge operation to the vaporizing section 31 is changed to the vaporized gas wafer. It is better to make the flow rate smaller than the flow rate for one supply operation, and to control the flow rate by the number of discharges (second embodiment). In this way, the flow rate of the liquid material in one discharge operation to the vaporization section is made smaller than the flow rate corresponding to one supply operation of the reactant to the substrate, and the liquid material is discharged in multiple steps in one step.
  • FIG. 8 shows the difference in the discharge method between the embodiment and Patent Documents 1 to 3 (conventional examples 1 to 3).
  • the ALD is such that a plurality of reactants are alternately supplied with a non-reactant supply interposed therebetween, when another reactant or a non-reactant is supplied, one reactant is used.
  • intermittent supply of the reactants is interrupted
  • the conventional one is a CVD or MOCVD in which multiple reactants are mixed and supplied continuously, so the intermittent supply of the reactants is interrupted. There is no drip.
  • the reaction gas uses oxygen 2 that requires a remote plasma unit as the reaction gas supply system for introducing the reaction gas at a high speed for ALD film formation.
  • a different reaction gas supply system may be used. Need to be This, connexion be described as an example of ozone ⁇ 3 and water H 2 ⁇ .
  • Ozone always flows from the ozone generator 82 at a constant flow rate via the pipe 84.
  • the pipe 84 branches downstream into a pipe 85 and a bypass line 86.
  • One branched pipe 85 is connected to a pump 90 via the processing chamber 1.
  • the other branched bypass line 86 is connected to a pump 90 via an ozone killer 83.
  • the pipe 85 is provided with a flow restrictor 87, a second valve 89, a storage container 91, and a first valve 88 from the upstream to the downstream.
  • the piping 85 and the bypass line 86 are evacuated by the pump 90 from the processing chamber 1 side, and the first valve 88 and the second valve 89 provided in the piping 85 must be open. if, at the adjusted flow rate by the flow rate restrictor 8 7 provided on the pipe 8 5, ozone 0 3 it is mainly made to the flow so that the process chamber 1 side. If you do not introduce ozone 0 3 into the processing chamber 1, closes the first valve 8 8.
  • the storage container 9 when a certain constant pressure of ozone Ru is introduced, ozone 0 3 flows to the bypass line 8 6 side is exhausted through the ozone killer 8 3.
  • the introduction of ozone 3 into the processing chamber 1 is performed by opening the first valve 88 and closing the second valve 89.
  • the flow rate from the flow restrictor 87 and the flow from the ozone generator 82 can be adjusted to eliminate the need for the second valve 89.
  • the storage container 91 may be constituted by piping.
  • a first pipe 94 for leading out water is inserted into the water container 92.
  • the water container 92 is connected to the system instead of the ozone generator 82.
  • Vaporized from the first pipe 94 according to vapor pressure Introduce moisture into the system.
  • an inert gas such as He may flow as a carrier gas from the second pipe 93 in FIG. 16 (a).
  • publishing may be performed by inserting the second pipe 93 into the water in the container 92.
  • Liquid materials include metal-ligand complex precursors in which the ligand is an alkyl, alkoxide, halogen, hydrogen, amide, imide, azide ion, nitrate, cyclopentene dienyl, kyruponil, And a composition selected from the group consisting of their fluorine, oxygen and nitrogen substituted analogs.
  • the reaction gas may be water, oxygen, or ammonia, but sometimes radicals or ions that have been activated in some way.
  • reaction is used for the reaction gas, but it does not actually react with the “raw material”.
  • a substance that gives energy to the self-decomposition reaction of the “raw material” may be used.
  • raw materials include TMA (A 1 (CH 3 ) 3: trimethylaluminum) and TD EAH f (H f (N (C 2 H 5 ) 2 ) 4 : tetrakis the Chiruami de hafnium), the "reaction gas” used ⁇ 3 (ozone), respectively, a 1 2 0 3 (alumina) or H f 0 2 (Hough Nia: depositing a hafnium oxide).
  • the pressure in the processing chamber is 100 to 1 Pa.
  • the temperature of the Si wafer is used in the range of 150 to 500 ° C. depending on the difference in the self-decomposition temperature of the source gas. For example, for TM A and TD EAH f, use 200-400 ° C.
  • a cycle consisting of four steps of material introduction, purging, reaction gas introduction and purging is repeatedly formed.
  • the time for each step is from 0.1 second to several seconds.
  • the film thickness per cycle is about 0.7 to 2 A depending on the wafer temperature.
  • This cycle is repeated to form a thin film having a predetermined thickness. For example, when using the A l 2 0 3 and H f ⁇ 2 as a gate insulating film and Capacity evening insulating film is 1. 5 to 5 0 A film formation is repeated several to several tens of cycles.
  • ADVANTAGE OF THE INVENTION when processing a board

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

複数の反応物の供給工程を複数回繰り返すことにより基板を処理するものにおいて、反応物である原料を無駄に捨てることなく、基板処理のスループットを向上させる。 基板処理装置は、反応物として液体原料を気化した原料ガスを含み、その原料ガスの処理室1内への供給と、その後に行う原料ガスとは異なる反応物の処理室1への供給を複数回繰り返すことにより、基板を処理するものである。液体原料の流量制御は吐出駆動制御機構6によって制御する。吐出駆動制御機構6は、気化器3内に一体的に組込まれた弁体33を制御することにより、気化器3や気化器3に接続される外部配管ではなく、気化器3中の気化部へ直接流れ込む液体原料の1回の吐出動作における流量を固定化し、液体原料を気化部31に間欠的に吐出させるようにする。

Description

半導体デバイスの製造方法及び基板処理装置
觸分野
本発明は、 半導体デバイスの製造方法及び基板処理装置に係り、 特に 液体原料を気化させた原料ガスを含む反応物を用いて基板を処理するも のに関する。 明 書
背景漏
一般に、 液体原料を用いて基板を処理する半導体デバイスを製造する ための基板処理装置には、 液体原料を気化させる液体原料気化システム が必要となる。 液体原料気化システムで液体原料を高温化して気化させ たガス (以下、 気化ガスと称す) は、 液化するのを防ぐために、 必要に 応じて配管を加熱しなければならない。 特に、 原料が気化させた金属の ガス状のものでは、 蒸気圧が低く配管で冷やされて液化するので、 配管 を加熱する必要がある。 このような気化ガスを使って基板を処理するに は、 気化ガスの流れを適切に制御する必要がある。 気化ガスの流れを制 御する最も簡単な方法は、 バルブを用いる方法である。
しかし、 単純にバルブで気化ガスの流れを制御しょうとした場合、 バ ルブも加熱しなければならないが、 一般に加熱できるタイプのバルブは 寿命が短い。 頻繁にバルブの開閉を繰り返すと、 我々の試算によると 1 0 0 日の使用で、 寿命が来てしまう恐れがある。 また気化ガスをバルブ で制御しても、 気化ガス原料がバルブの内部、 特に駆動部に吸着し、 反 応して、 膜剥れを起こし、 パーティクルが発生するという問題がある。 このパーティクルのウェハ表面への付着は、 半導体デバイスの最小加工 寸法が小さくなるにつれ、 チップの不良の原因になるため、 極力避けな ければならない。 また、 バルブを閉じている間は、 気化ガスを搬送する 配管の圧力が上昇し、 ガスが液化する可能性がある。 ここで発生した液 体は、 そのうち自己分解反応により、 配管内部に成膜され、 徐々に配管 径がせまくなり、 配管が詰まる恐れがある。
そこで、 バルブを用いて原料の流れを制御するには、 気化する前の液 体状態で制御することが考えられる。 液体状態では原料を構成する分子 の状態は活性化されていないので、 気体の状態よりは成膜されにくいか らである。 一般に、 液体原料の流量制御には、 流量情報によりフィード バック制御する方法がとられている。 しかし、 この液体原料のフィード バック制御は、 流量制御対象が気化ガスである場合に比べて、 制御性が 非常に悪いという問題があった。 そこで、 従来、 これを改善すべく種々 の方法が提案されている。
例えば、 C V D装置の液体金属気化ユニッ トであって、 金属液体流量 コントローラと気化器とを有し、 流量コントローラはその流路を開閉す るバルブをパルス幅及び周波数の両方により制御可能であり、 流量コン トローラにより制御された金属液体を微小粒として間欠的に気化器に投 入している (例えば、 特許文献 1参照) 。
また、 M O C V D法を用いた液体原料供給装置であって、 圧電素子の 駆動により体積が変化する圧力室と、 この圧力室内に原料を導く導入部 と、 圧力室で圧縮された原料液体を噴出して気相化する噴出ノズルと、 液体原料の噴出量を制御する制御部を有する。 なお気化器は有さない。 圧電素子に制御部の電源回路で発生させた駆動電圧パルスを印加して原 料液体の噴出量を制御している (例えば、 特許文献 2参照) 。
また、 C V D装置のマスフローコントローラであって、 液相材料を所 定流量で流出させるための制御信号を流量制御バルブに供給する制御装 置と、 流入した液相材料を液滴として出力する液滴出力構造を備える流 量制御バルブを備え、 液滴出力構造は液相材料を貯める圧力室と、 圧力 室の体積を変化させることが可能な振動板と、 制御信号に対応した体積 変化を生じ振動板を変形させる圧電素子とを有している (例えば、 特許 文献 3参照) 。
また、 A L D (Atomic Layer Deposition) 法を用いた薄膜成長方法で あって、 反応物発生源から気化した反応物を第 1導管を通じて反応室に 導き、 上記反応物を気相パルスの形態で、 他の反応物の気相パルスと交 互に繰り返し反応室に供給し、 基板の表面と反応させて基板上に薄膜化 合物を形成する。 反応物の気相パルスと気相パルスの間に、 第 1導管に 接続する第 2導管を通じて不活性ガスを第 1導管に供給することにより、 反応物発生源から第 1導管を通って反応室内に至る気相反応物の流れに 対して気相バリヤを形成し、 この気相バリァを用いてバブルレスで原料 高速切換えを行っている (例えば、 特許文献 4参照) 。
特許文献 1 : 特開 2 0 0 2 — 1 7 3 7 7 7号公報
特許文献 2 : 特開 2 0 0 2— 1 7 5 9 8 7号公報
特許文献 3 : 特開 2 0 0 0— 1 2 1 4 0 0号公報
特許文献 4 : 特開 2 0 0 2 — 4 0 5 4号公報
発明の開示
上述した従来技術には次のような問題があった。 特許文献 1〜特許文 献 3に記載された半導体デバイスの製造方法は、 いずれも、 1回の液体 の吐出動作における流量は固定であり、 液体原料を間欠的に吐出させる よう制御する吐出駆動制御機構を備えており、 流量制御方法は吐出回数 により制御するようになっている。 しかし、 上述したものは、 いずれも 複数の反応物を混合して一緒に基板に供給するプロセスを用いた C V D 法や M O C V D法に適用することを前提としている。 したがって、 複数 の反応物の切換えを想定していないため、 A L D法などのように複数の 反応物を切換えて供給するプロセスを用いたデバイス製造方法に適用す る場合には、 複数の反応物を高速に切換えることができず、 C V D法や M O C V D法に比べて吐出回数が多くなるため、 スループッ トを向上で きないという問題があった。
この点で、 特許文献 4に記載されたものは、 気相バリアを用いて反応 物を高速に切換えることができるので、 A L D法を用いた薄膜成長方法 において、 スループッ トを向上することは可能である。 しかし、 気相バ リァを用いて反応物である原料の高速切換えを行う塲合、 原料は供給し 続けるので、 反応室への原料導入時以外は原料を無駄に捨てることとな り、 その分コス トが高くなるという欠点があった。
本発明の課題は、 複数の反応物の供給工程を複数回繰り返すことによ り基板を処理するものにおいて、 反応物である原料を無駄に捨てること なく、 基板処理のスループッ トを向上させることが可能な半導体デバイ スの製造方法及び基板処理装置を提供することにある。
第 1の発明は、 一つの反応物を基板上に供給する工程と、 他の反応物 を基板上に供給する工程と、 これらの工程を複数回繰り返すことにより 基板を処理する工程とを有する半導体デバイスの製造方法であって、 前 記反応物の両方又は何れか一つは液体原料を気化部で気化させた原料ガ スを含み、 液体原料の気化部への 1回の吐出動作における流量を固定化 し、 液体原料を気化部に間欠的に吐出させるように制御することを特徴 とする半導体デバイスの製造方法である。
液体原料を気化する気化部に流入する液体原料の吐出量を直接制御し ているので、 より短時間に一定量の液体原料を気化させることができ、 気化部からより短時間に一定量の原料ガスを基板上に供給することがで きる。 したがって、 液体原料を気化部で気化させたガスを含む複数の反 応物の供給を複数回繰り返すことにより基板を処理する場合に、 その繰 り返しを高速に行うことができ、基板処理のスループッ トを向上できる。 第 2の発明は、 第 1の発明において、 液体原料の気化部への 1回の吐 出動作における流量を、 前記気化部で気化させた原料ガスの基板上への 1回の供給動作に対応する流量と同等にしたことを特徴とする半導体デ バイスの製造方法である。 液体原料の気化部への 1回の吐出動作におけ る流量を、 反応物の基板への 1回の供給動作に対応する流量と同等とす ると、 制御が容易になる。
第 3の発明は、 第 1の発明において、 液体原料の気化部への 1回の吐 出動作における流量を、 前記気化部で気化させた原料ガスの基板への 1 回の供給動作に対応する流量よりも少なく し、 吐出回数により流量を制 御することを特徴とする半導体デバイスの製造方法である。 液体原料の 気化部への 1回の吐出動作における流量を、 反応物の基板への 1回の供 給動作に対応する流量よりも少なく し、 吐出回数により流量を制御する と、 1回の供給動作期間中に液体原料が気化部へ吐出されない非吐出期 間が形成されて、 その期間中、 気化部の温度を回復させることができる。 したがって、 気化部の温度低下に起因して気化効率が下がることを防止 できる。
第 4の発明は、 第 1の発明において、 前記処理とは、 一つの反応物を 基板上に供給して吸着させる工程と、 基板上に吸着させた反応物に対し て他の反応物を供給して反応を起こさせ膜を形成する工程と、 を複数回 繰り返す制御を行うことにより所望の膜厚の膜を形成する A L D処理で あることを特徴とする半導体デバイスの製造方法である。 成膜工程と改 質工程とを複数繰り返すことにより、 所望の膜を形成する処理 (以下、 M R C V D処理又は M R C V D法という) 等にも有効であるが、 特に、 吸着工程と成膜工程とを複数回繰り返すことにより所望の膜厚の膜を形 成する A L D処理では、 1サイクルで形成される膜厚が決まっているた め、 M O C V D処理よりも吐出回数が多くなるが、 繰り返し速度を上げ ることができるので、 スループッ トの向上に大きく寄与できる。
第 5の発明は、 基板を処理する処理室と、 液体原料を収容する容器と、 液体原料を気化させる気化部を有する気化器と、 前記容器内に収容され た液体原料を気化器へ供給する液体原料供給管と、 前記気化器で気化し た原料ガスを処理室内に供給する原料ガス供給管と、 前記液体原料の気 化部への 1回の吐出動作における流量を固定化し、 液体原料を気化部に 間欠的に吐出させるよう制御する吐出駆動制御機構と、 前記原料ガスと は異なる反応物を処理室内に供給する供給管と、 前記原料ガスの処理室 内への供給と、 その後に行う原料ガスとは異なる反応物の処理室内への 供給を複数回繰り返すよう制御する制御手段と、 を有することを特徴と する基板処理装置である。
液体原料の気化部への 1回の吐出動作における流量を固定化し、 液体 原料を気化部に間欠的に吐出させるよう制御する吐出駆動制御機構と、 前記原料ガスの処理室内への供給と、 その後に行う原料ガスとは異なる 反応物の処理室内への供給を複数回繰り返すよう制御する制御手段とを 備えれば、第 1の発明の半導体デバイスの製造方法を容易に実施できる。 第 6の発明は、 第 5の発明において、 前記制御手段は、 更に液体原料 の気化部への 1回の吐出動作における流量を、 気化部で気化させた原料 ガスの基板への 1回の供給動作に対応する量と同等にするよう制御する 機能を有することを特徴とする基板処理装置である。 このような機能を 制御手段が有すると、 第 2の発明の半導体デバイスの製造方法を容易に 実施できる。 第 7の発明は、 第 5の発明において、 前記制御手段は、 更に液体原料 の気化部への 1回の吐出動作における流量を、 前記気化部で気化させた 原料ガスの基板への 1回の供給動作に対応する流量よりも少なく し、 吐 出回数により流量を制御する機能を有することを特徴とする基板処理装 置である。 このような機能を制御手段が有すると、 第 3の発明の半導体 デバイスの製造方法を容易に実施できる。
第 8の発明は、 第 5の発明において、 前記制御手段は、 更に一つの反 応物を基板上に供給して吸着させる工程と、 基板上に吸着させた反応物 に対して他の反応物を供給して反応を起こさせ膜を形成する工程と、 を 複数回繰り返すことにより、 基板に対して A L Dによる成膜を行うよう 制御する機能を有することを特徴とする基板処理装置である。 このよう な機能を制御手段が有すると、 第 4の発明の半導体デバイスの製造方法 を容易に実施できる。
第 9の発明は、 第 5の発明において、 前記制御手段は、 更に液体原料 を気化部へ圧送する圧力と気化部への 1回の吐出動作における流量との 相関関係を予め測定しておき、 その相関関係に基づいて 1回の吐出動作 における流量を校正する機能を有することを特徴とする基板処理装置で ある。 制御手段が、 圧力と流量との相関関係に基づいて流量を校正する 機能を備えたので、 圧力変化の影響を受けずに、 気化部への 1回の吐出 動作における流量を固定化することができる。
第 1 0の発明は、 第 5の発明において、 気化部と容器との間に液体流 量計を設け、 液体流量計に電気的に接続された流量調節機構を有する吐 出駆動制御機構を設置し、 流量調節機構は液体流量計からの電気信号に 基づいて、 ある一定時間或いはある一定吐出回数の積分流量を計算し、 経時的にその積分流量を監視し、 気化部への 1回の吐出動作における流 量の経時的な変化を調節する制御手段を有することを特徴とする基板処 理装置である。 制御手段が、 気化部への 1回の吐出動作における流量の 経時的な変化を調節する機能を有するので、 吐出駆動制御機構や気化部 の経時的変化の影響を受けずに、 気化部への 1回の吐出動作における流 量を固定化することができる。
第 1 1の発明は、 第 5の発明において、 前記気化器を、 液体原料を気 化する気化部と、 該気化部へ液体原料を送る流路と、 前記気化部への液 体原料の吐出 Z非吐出を弁の開閉により制御するとともに、 開制御時に 前記流路に送られる液体原料の流量を弁の開度調節により制御する弁体 とを一体的に有するインジ:!:クション方式の気化器で構成し、 前記弁体 の開度調節、 開閉を前記吐出駆動制御機構により行うことを特徴とする 基板処理装置である。 弁体を一体的に有する気化器を用いて気化部へ送 る液体原料を制御するようにしたので、 弁体を別体に有する気化器と比 ベて、 制御性がよくなり、 優れた気化特性が得られる。 また、 弁体は開 閉のみならず開度調整可能に構成されているので、 液体原料の気化部へ の 1回の吐出動作における固定化した流量の校正も可能である。
第 1 2の発明は、 第 1の発明において、 前記反応物のいずれか一つが 前記液体原料を気化部で気化させたガスであり、 前記反応物のいずれか 他の一つが前記気化ガスとは異なる反応ガスである場合に、 前記反応ガ スの基板への供給を弁の開閉により制御し、 前記反応ガスの流量を流路 に設けた絞りによって制御することを特徴とする半導体デバイスの製造 方法である。 反応ガスを弁の開閉制御及び絞りによって制御すると、 マ スフローコントローラと比べて反応ガスをより高速に制御できる。 した がって、 基板上への気化ガスと反応ガスとの供給を複数回繰り返すこと により基板を処理する場合に、 気化ガスのみならず、 反応ガスの供給の 繰り返しもより高速に行うことができるので、 基板処理のスループッ ト をより向上できる。 この場合において、 反応ガスをプラズマにより活性 化して基板へ供給するときは、 前記プラズマの生成に先立って予備ブラ ズマを生成するようにしておく とよい。 反応ガスを活性化する際、 予備 プラズマを生成しておく と、 本プラズマにより反応ガスを瞬時に活性化 できる。 したがって、 反応ガスをプラズマにより活性化して基板へ供給 する場合においても、 基板処理のスループッ トをより向上できる。
図面の簡単な説明
第 1図は、 本発明の半導体デバイスの製造方法を実施するための基板 処理装置のブロック構成図である。
第 2図は、 実施の形態による気化器の縦断面図である。
第 3図は、 コントローラ (制御装置) 指示に応じた気化特性を示す従 来例と実施の形態との比較説明図であり、 (A ) は従来例、 (B ) は実 施の形態を示す。
第 4図は、 実施の形態によるクラス夕型半導体製造装置で用いられる A L D装置の全体構成図である。
第 5図は、 実施の形態による A L D装置の要部構成図である。
第 6図は、 実施の形態による A L D法の反応物供給シーケンス図であ る。
第 7図は、 実施の形態による A L D法の反応物供給シーケンス図であ る。
第 8図は、 実施の形態と従来例との吐出方法を比較したタイミングチ ヤー卜である。
第 9図は、 実施の形態による弁体の開度をパラメ一夕として吐出流量 と N 2圧送圧力との関係を測定した特性図である。
第 1 0図は、 実施の形態による半導体デバイスの製造方法を実施する ための基板処理装置のブロック構成図である。 第 1 1図は、実施の形態による反応ガス供給システムの構成図である。 第 1 2図は、 実施の形態による反応ガス供給システムを考慮に入れた
A L D法の反応物供給シーケンス図である。
第 1 3図は、 実施の形態による予備プラズマを起こすことが可能なリ モートプラズマユニッ トの説明図である。
第 1 4図は、 実施の形態による予備プラズマを起こす微小プラズマ発 生器の概略構成図である。
第 1 5図は、実施の形態による反応ガス供給システムの構成図である。 第 1 6図は、実施の形態による反応ガス供給システムの要部図である。 1 処理室
2 容器
3 気化器
4 液体原料供給管
5 原料ガス供給管
6 吐出駆動制御機構
7 供給管
8 制御手段
3 1 気化部
発明を実施するための最良の形態
以下に本発明の実施の形態を説明する。
第 1図は半導体デバイスの製造方法を実施するための装置であって、 液体原料気化システムを採用した基板処理装置例のプロック図を示す。 この基板処理装置で採用する半導体デバイスの製造方法は、 一つの反応 物を基板上に供給する工程と、 他の反応物を基板上に供給する工程と、 これらの工程を複数回繰り返すことにより基板を処理する工程とを有す る方法である。
基板処理装置は、 処理室 1 と、 原料容器 2 と、 気化器 3 と、 液体原料 供給管 4と、 原料ガス供給管 5 と、 吐出駆動制御機構 6 と、 反応ガス供 給管 7 と、 制御手段 8 とを有する。
処理室 1は、 その内部で基板が処理されるように構成され、 ポンプ 9 に接続されて排気可能になっている。
原料容器 2は、 液体原料を収容し、 収容された液体原料を H e 、 A r 、 N 2などの不活性ガスの圧力で液体原料供給管 4を介して気化器 3に圧 送するように構成される。
気化器 3は、 前記液体原料を高温化して気化させ、 一つの反応物とし ての原料ガスを発生させる。 気化器 3は、 液体原料を気化する気化部 3 1 と、 気化部 3 1へ液体原料を送る液体原料流路 3 2 と、 気化部 3 1へ の液体原料の吐出 Z非吐出を弁の開閉により制御するとともに、 開制御 時に液体原料流路 3 2に送られる液体原料の流量を弁の開度調節により 制御する液体流量コントロール用の弁体 3 3 と、 弁体 3 3より下流の液 体原料流路 3 2に接続されて気化部 3 1へ送られる液体原料を希釈化す るための希釈ガスを送る希釈ガス流路 3 4とを一体的に有する。
希釈ガス供給管 1 0は、 図示しない希釈ガス供給源と気化器 3の希釈 ガス流路 3 4とを接続し、 希釈ガスを希釈ガス供給源からマスフローコ ントローラ 1 3を介して気化器 3へ供給するように構成される。
液体原料供給管 4は、 原料容器 2 と気化器 3の液体原料流路 3 2とを 接続し、 原料容器 2内に収容された液体原料を液体流量計 1 1 を介して 気化器 3へ供給するように構成される。
原料ガス供給管 5は、 気化器 3の気化部 3 1 と処理室 1 とを接続し、 気化器 3で気化した一つの反応物としての原料ガスを処理室 1内の基板 上に供給するように構成される。 反応ガス供給管 7は、 他の反応物としての反応ガスを供給する図示し ない反応ガス供給源と処理室 1 とを接続し、 反応ガスを処理室 1内の基 板上に供給するように構成される。 反応ガスは反応ガス供給管 7に設け たコントローラ機構 1 2により流量制御される。 このコントローラ機構 1 2には、 マスフローコントローラを用いてもよいが、 液体原料を高速 で流量制御する吐出駆動制御機構 6及び気化器 3に合わせて、 動作速度 が速いものを用いることが好ましい。
吐出駆動制御機構 6は、 気化器 3の気化部 3 1への 1回の吐出動作に おける液体原料の流量を固定化し、 液体原料を気化部 3 1に間欠的に吐 出させるように機能する。 吐出駆動制御機構 6は、 そのためにプロダラ ムで動く流量調節機構 6 1 を有し、 この流量調節機構 6 1を気化器 3に 電気的に接続して、 吐出駆動制御機構 6からの指令により気化器 3を動 作させるようになつている。 すなわち、 流量調節機構 6 1から、 振幅、 パルス幅、 周期から構成されるパルス的な電気的信号を気化器 3の弁体 3 3に加えて、 弁体 3 3をオープンループ制御する。 振幅に応じて弁体 3 3の弁開度が決定され、 パルス幅に相当する時間だけ弁が開いて液体 原料が吐出される。 また、 周期により吐出回数が決定される。 気化部 3 1への液体原料の 1回の吐出動作における流量は、 これらの振幅、 パル ス幅によって固定化される。 また、 周期によって気化ガスを基板上に供 給する 1回の供給動作 ( 1ステップ) 中での吐出回数が決定され、 この 吐出回数と前述した振幅 ' パルス幅とによって、 1ステップ中の吐出流 量の総量が決定される。 これらの値は使用者が予め流量調節機構 6 1に 設定することも、 プログラムによって自動的に変更することも可能であ る。
上述したように、 液体原料の気化部 3 1への 1回の吐出動作における 流量は固定化するが、 その固定化は、 通常、 所定の吐出圧力の下で決定 される。 しかし、 吐出圧力の変動によって、 固定化した流量を校正する 必要が生じる場合もある。 そのような流量を校正する必要のある使用形 態によっては、 流量の校正は、 気化器 3に一体的に設けた弁体 3 3の弁 の開度、 すなわち振幅を調節することにより行うようになっている。 な お、 振幅のみならず、 パルス幅、 あるいは振幅及びパルス幅によって校 正するようにしてもよい。
また、 吐出駆動制御機構 6や気化器 3を長時間使用すると、 吐出量に 経時変化が生じるので、 固定化した流量を調整する必要が生じる場合も ある。 そのような吐出量の経時変化の調整を行うという使用形態によつ ては、 吐出駆動制御機構 6は、 気化器 3 とだけでなく、 前述した液体流 量計 1 1 とも電気的に接続して、 吐出駆動制御機構 6からの指令により 弁の調整を行う。 すなわち、 液体流量計 1 1で検出した流量を吐出駆動 制御機構 6に通知し、 その通知に基づいてある決められた吐出回数の積 算流量を流量調節機構 6 1で監視する。 その監視結果に応じて、 吐出駆 動制御機構 6からの指令により気化器 3の弁体 3 3を制御して吐出量を 調節する。
なお、 吐出駆動制御機構 6には、 N 2などの不活性ガスを原料容器 2 へ供給する配管内の圧力を測定する圧力計 6 6からの信号が入力されて、 流量調節機構 6 1は配管内の圧力を監視できるようになつている。
制御手段 8は、 気化器 3で気化させた原料ガスの処理室 1内への供給 と、 その後に行う原料ガスとは異なる反応ガスの処理室 1への供給とを 複数回繰り返すよう、 コントローラ機構 1 2と吐出駆動制御機構 6 とを 制御するように構成される。
なお、 第 1図中の液体流量計 1 1及び気化器 3に示した符号 A Cは A C電源を意味する。
上述したような基板処理装置における作用を説明する。 反応物の供給を複数回繰り返して成膜する成膜方法を、 例示すれば、
MR C VD法と AL D法がある。 AL D法は処理温度、 圧力が低く、 膜 を 1原子層ずつ形成していく ことで、 所望の膜厚の膜を形成する。 これ に対して、 MR C VD法は、 AL D法よりも処理温度、 圧力は高く、 薄 い膜 (数原子層〜数十原子層) を複数回形成して、 所望の膜厚の膜を形 成する。 温度が高いと MR C VD法となり、 温度が低いと AL D法とな る。 本発明の半導体デバイスの製造方法は、 これらの方法のいずれにも 適用できる。
半導体デバイスは、 上記基板処理装置を用いて、 主に次の 3つの工程 を含む方法を実施することによって製造される。
( 1 ) 液体原料を気化した一の反応物である原料ガスを基板上に供給 する工程
( 2 ) 他の反応物である反応ガスを基板上に供給する工程
( 3 ) 原料ガス供給工程、 反応ガス供給工程を複数回繰り返す工程 以下、 これらの工程を個別に説明する。
( 1 ) 液体原料を気化した一の反応物である気化ガスを基板上に供給 する工程 予め吐出駆動制御機構 6には気化部 3 1へ吐出すべき流量値 を設定しておく。 そのうえで、 処理室 1をポンプ 9で真空引きして所定 圧にし、 処理室 1内の基板を所定の温度に加熱する。 液体原料を N2ガ スで原料容器 2から液体原料供給管 4に圧送して、 液体流量計 1 1を介 して気化器 3へ供給する。 気化器 3には、 その弁体 3 3に、 吐出駆動制 御機構 6からのパルス振幅、 パルス幅、 周期から構成されるパルス的な 制御用電気的信号が加えられており、 それにより弁体 3 3が動作して、 パルス幅に相当する時間、 液体原料は気化部 3 1へ吐出される。
ここで液体原料の 1回の吐出動作における流量は固定化されているの で、 フィードバック制御により流量を可変する場合に比して、 吐出動作 の即応性が高い。 また、 1回の吐出動作における流量を固定化した液体 原料をパルス的に吐出させるようにしているので、 1回の吐出動作にお ける流量を固定化しても、 吐出回数によって液体原料の供給量を調節で きる。 さらに、 気化器 3に通じる外部配管や、 気化器 3内の気化部 3 1 に通じる流路ではなく、 液体原料を気化する気化部 3 1へ吐出する液体 原料の流量を直接制御しているので、 気化器 3に通じる外部配管や、 気 化器 3内の気化部 3 1に通じる流路に流入する液体原料の吐出量を制御 する場合に比べて、 より短時間に一定量の液体原料を気化させることが でき、 気化部 3 1からより短時間に一定量の原料ガスを基板上に供給す ることができる。
( 2 ) 他の反応物であるガスを基板上に供給する工程
気化ガスの処理室内への供給後に、 図示しない反応ガス供給源から他 の反応物としての反応ガスを反応ガス供給管 7に送ってコントローラ機 構 1 2を介して処理室 1内の基板に供給する。 コントローラ機構 1 2に よって流量制御する他の反応物は常温でガスであり液体ではない。 した がって、 コントローラ機構 1 2にフィードバック制御となるマスフロー コント口一ラを用いても制御性は良好である。 その結果、 短時間に一定 流量の原料ガスを基板に供給するといった機敏な動作を保証できる。 特 に、 コントローラ機構 1 2に液体原料を高速で流量制御する吐出駆動制 御機構 6に合わせて、 動作速度が速いものを用いると、 より機敏な動作 を保証できる。
( 3 ) 気化ガス供給工程、 ガス供給工程を複数回繰り返す工程 制御手段 8によって、 コントローラ機構 1 2及び流量調節機構 6 1を 制御することによって基板上に気化ガスと反応ガスとの供給を複数回繰 り返し、 基板上に所望の膜厚の膜を形成する。
上述した半導体デバイスの製造方法によれば、 気化ガスのみならず反 応ガスも短時間に一定量を基板に供給できるから、 複数のガスの切換え を高速に行うことが可能になる。 したがって、 実施の形態のように、 複 数のガスを切換えて供給するプロセスにおいて、 基板成膜処理のスルー プッ トを向上できる。
第 2図に上述した基板処理装置に用いるのに適した気化器の構造例を 示す。 この気化器は流体流量コントロール用弁体が本体と一体的に設け られており、 一般にはインジェクション方式の気化器と呼ばれる。 気化 器 3は、 気化器本体 3 0 と、 液体原料の供給を制御する液体流量コント ロール用の弁体 3 3 とを主に有し、 弁体 3 3の直下に気化部分を配置す ることにより構成してある。
気化器本体 3 0は、液体原料を希釈ガスと混合させて霧化させたうえ、 加熱して気化させる。 気化器本体 3 0は金属製の円柱状ブロックで構成 される。 その材料には、 例えば、 ステンレスや、 これにテフロン (登録 商標) コートを施したものなどが用いられる。 気化器本体 3 0の上面に 液体充てん容器 3 5 と混合容器 3 6 とが設けられる。
液体充てん容器 3 5は、 弁体 3 3の閉時に液体原料が溜められ、 弁体 3 3の開時に溜められた液体原料を混合容器 3 6 へ、 その混合容器 3 6 の外周から均一に送り込むために設けられる。 そのために液体充てん容 器 3 5は、 気化器本体 3 0の上面をリング状に凹ませて形成してある。 液体充てん容器 3 5の底部は、 気化器本体 3 0内に設けた液体原料導入 路 3 7を介して気化器本体 3 0側面に設けた液体導入口 3 8に通じてい る。 弁体 3 3が閉じているとき、 液体充てん容器 3 5に液体原料が溜め られ、 弁体 3 3が開になると、 液体充てん容器 3 5 と混合容器 3 6 とが 連通されて、 液体充てん容器 3 5に溜められた液体原料が混合容器 3 6 に送り込まれる。 弁体 3 3の上下位置に応じて、 送り込まれる液体原料 の流量が変る。 上記液体充てん容器 3 5、 混合容器 3 6、 液体原料導入 路 3 7、 液体導入口 3 8から、 本発明の液体原料流路 3 2が構成される。 混合容器 3 6は、 液体充てん容器 3 5から送り込まれた液体原料を希 釈ガスと混合させて希釈し、 混合容器 3 6の底部に設けたオリフィス 3 9から押し出す量を調節して、 液体原料を気化させやすくするために設 けられる。 また、 混合容器 3 6を設けることで、 弁体 3 3が閉の状態で も、 この混合容器 3 6を中継させることで、 気化器本体 3 0内に常時希 釈ガスが流れるようにしている。 ここで、 弁体 3 3が閉のときでも気化 器本体 3 0内に希釈ガスを流すのは、 弁体 3 3が閉のとき、 混合容器 3 6および気化容器 4 0から残留液体原料を排除するとともに、 希釈ガス を常時流すことにより気化ガスの供給—停止、 および気化ガスの停止— 供給の切換え速度を高めるためである。 なお、 上記オリフィス 3 9と気 化容器 4 0とから本発明の気化部 3 1が構成される。
混合容器 3 6は、 リング状の液体充てん容器 3 5の内側に、 液体充て ん容器 3 5 と同様に気化器本体 3 0上面 4 2を凹ませて形成してある。 混合容器 3 6の底部は、 気化器本体 3 0内に設けた希釈ガス導入路 3 4 を介して気化器本体 3 0の側面に設けた希釈ガス導入口 4 1 に通じてい る。 希釈ガス導入路 3 4は途中から導入路を絞って混合容器 3 6に通じ ている。 希釈ガス導入路 3 4を途中で絞っているのは、 希釈ガスの流速 を上げて液体原料をオリフィス 3 9から押し出すためである。 希釈ガス は加熱された状態で気化器 3に供給される。 気化器 3において希釈ガス を液体原料と混合させたときに液体原料が気化する程度の温度となるよ うに希釈ガスは加熱される。 「液体原料が気化する程度の温度」 は、 液 体原料を気化させるのに最適な温度であり、 その温度としては、 液体原 料種、 気化器 3の形状や熱容量でも異なるが、 途中で奪われる熱を補う ために、 例えば気化温度よりも 1 0〜 2 0 °C程度高い温度である。 加熱 された希釈ガスは希釈ガス供給管 1 0に送られる。 希釈ガス導入路 3 4 と希釈ガス導入口 4 1 とから、 上記希釈ガス流路 3 4が構成される。 また、 混合容器 3 6の底部は、 オリフィス 3 9を介して気化容器 4 0 と通じている。 気化容器 4 0はオリフィス 3 9から霧状に噴出される液 体原料を希釈ガスと混合して気化させるために設けられる。 混合容器 3 6 と同様に気化容器 4 0における混合も必須要件である。 霧状に噴出さ れた液体原料を、 加熱された希釈ガスと混合しなければ、 液体原料は十 分に気化しないからである。 気化容器 4 0は、 気化器本体 3 0の厚さ方 向に形成され、 気化器本体 3 0下面に設けた原料ガス導出口 4 3と通じ ている。 気化容器 4 0は、 オリフィス 3 9を頂部とすると、 頂部から下 方に向けて漸次拡径する肩部と、 この肩部と連続する同一径の胴部とを 有する。
気化器本体 3 0内にヒータ 44が埋め込まれ、 気化器本体 3 0を液体 原料の気化温度よりも低い温度に加熱するようになっている。 ここで、 気化温度よりも低い温度とは、 気化温度よりも低いが、 気化器本体の壁 面に液体原料が吸着せず、 壁面から脱離するような温度である。 ここで、 「気化温度」 は原料によって異なるが、 例えば P E T (T a (〇 C2H5) 5) 、 H f (MM P ) 4 (H f [O C ( C H3) 2C H2〇 C H3] 4) では 1 8 0 °C、 TD E AH f (H f [N (C2H5) ] 4) では 1 2 0 °Cであ る。 また、 「気化温度よりも低い温度」 としては、 例えば気化温度より も 5 0 °Cく らい低い温度である。 気化器本体 3 0を加熱するのは、 気化 器本体 3 0内に導入される液体原料や希釈ガスを保温するためである。 また、 気化器本体 3 0を気化温度よりも低い温度に加熱するのは、 気化 器本体 3 0内に導入される液体原料が、 気化器本体 3 0の熱によって自 己分解し、 気化器本体に成膜しないようにするためである。 ヒータ 44 は、 気化器本体 3 0を均一に加熱できるように設けられることが好まし い。 図示例では、 ヒー夕 44は、 絞り込んだ希釈ガス流路 3 4の下流側 と気化容器 4 0のオリフィス 3 9近傍側とをリング状に囲むように設け られる。 また、 気化器本体 3 0の温度を液体原料の気化温度よりも低い 温度に設定できるようにするために、 気化器本体 3 0に、 気化器本体温 度を測定する温度センサ 4 5、 例えば熱電対が設けられる。 なお、 ヒー 夕 4 4は気化器本体 3 0内に設ける代りに、 気化器本体 3 0の外周に設 けても良い。
弁体 3 3は、 気化器本体 3 0の表面を封止、 又はその封止を解除する ことにより気化部 3 1への液体原料の吐出動作における流量を制御する。 弁体 3 3は、 シリンダ型をしており、 液体充てん容器 3 5および混合容 器 3 6の上部開口を覆うように、 気化器本体 3 0の上面 4 2に気密に取 り付けられる。 弁体 3 3は、 シリンダ 2 1 と、 弁としてのピストン 2 2 と、 ピストンロッ ド 2 3 と、 ァクチユエ一夕 2 4とを備える。 シリンダ 2 1は、 気化器本体 3 0上面 4 2であって、 リング状の液体充てん容器 3 5の外周に、液体充てん容器 3 5を取り囲むように気密に載置される。 シリンダ 2 1内に昇降自在にピストン 2 2が嵌合される。 シリンダ 2 1 内をピストン 2 2が上昇して気化器本体 3 0の上面 4 2から離れて、 空 間 2 5が形成されると、 その空間 2 5を介して液体充てん容器 3 5 と混 合容器 3 6 とが連通して、 液体充てん容器 3 5の封止が解除される。 ピ ストン 2 2が下降して気化器本体 3 0の上面 4 2に圧接されると、 液体 充てん容器 3 5 と混合容器 3 6との連通は断たれて、 液体充てん容器 3 5は封止される。 白抜き矢印で示すピス トン 2 2の昇降動作は、 ァクチ ユエ一夕 2 4によってなされる。 このァクチユエ一夕 2 4に加えられる 振幅、 パルス幅、 周期から構成されるパルス的な電気的信号によって、 液体原料の気化部 3 1への吐出動作における流量が決まる。 なお、 弁体 3 3は、 一般的に使用されるシリンダ型を採用しているが、 シリンダ型 以外のバルブを採用してもよい。 上記液体導入口 3 8、 液体原料導入路 3 7、 液体充てん容器 3 5で液体原料流路 3 2が構成される。
上記のような気化器 3の構成において、 搬送気体を原料容器 2に供給 することにより、 原料容器 2内の液体原料が加圧され、 必要に応じて保 温された液体原料供給管 4を通して気化器 3に供給される。 また液体原 料を希釈する希釈ガスは加熱され、 保温された希釈ガス供給管 1 0を通 して気化器 3に供給される。 気化器 3 に供給された液体原料と希釈ガス とは気化器 3で混合されて、 加熱されて気化する。 気化した原料ガスは 気化器 3から保温された原料ガス供給管 5を通って処理室 1に供給され つつ排気される。 このとき、 気化ガスは基板上の成膜に寄与する。
次に上述した気化器 3の構成の作用について説明する。 弁体 3 3は閉 じてピス トン 2 2が下降して点線位置にあり、 液体充てん容器 3 5は封 止されている。 液体原料は、 液体導入口 3 8から気化器本体 3 0内に圧 入され、 液体原料導入路 3 7を通って封止された液体充てん容器 3 5に 溜められている。 液体原料をオリフィス 3 9から噴出するには、 ピスト ン 2 2を実線位置まで上昇させて液体充てん容器 3 5の封止を解除し、 シリンダ 2 1内の気化器本体 3 0上面 4 2に空間 2 5を形成して、 この 空間 2 5を介して液体充てん容器 3 5 と混合容器 3 6 とを連通させる。 この連通により液体充てん容器 3 5に溜められた液体原料は、 混合容器 3 6に流れ込む。
一方、 加熱された希釈ガスは、 弁体 3 3の開閉にかかわらず、 常時、 気化器本体 3 0に供給されている。 すなわち、 希釈ガスは、 希釈ガス導 入口 4 1から希釈ガス導入路 3 4を通り、 途中で流速を高められて、 混 合容器 3 6に流入し、 流入後、 オリフィス 3 9を経て気化容器 4 0から 原料ガス導出口 4 3を介して排出されている。
したがって、 弁体 3 3が開き、 液体充てん容器 3 5 と混合容器 3 6 と が連通して、 混合容器 3 6に液体原料が流れ込むと、 液体原料は流速の 高められた希釈ガスと混合容器 3 6で直ちに混合される。 混合された液 体原料は気化しやすい量となるように希釈され、 希釈ガスによりオリフ イス 3 9から気化容器 4 0へ押し出される。 このとき液体原料はオリフ イス 3 9から気化容器 4 0へ霧状に噴出されて、 気化容器 4 0で液体原 料と一緒に押し出された希釈ガスと混合される。 液体原料は細かい霧状 となっているので、 液体原料は加熱された希釈ガスによつて気化温度に まで高められて一瞬のうちに気化する。 気化した原料ガスは、 原料ガス 導出口 4 3から矢印に示すように排出される。
このようにして吐出駆動制御機構 6から気化器 3の弁体 3 3のァクチ ユエ一夕 2 4にパルス幅、 振幅、 周期から構成される電気的信号指令が 送られ、 気化器 3内部では、 この指令どおり、 ピス トン 2 2が上下に操 作され、 ピス トン 2 2が上方操作されたとき、 液体充てん容器 3 5に溜 まっている液体原料が混合容器 3 6に瞬時に吐出され、 オリフィス 3 9 を通って気化容器 4 0で気化される。
上述したようなインジヱクシヨン方式の気化特性を、 他の方式の気化 特性と比較して説明すれば、 次の通りである。 例えば、 液体流量コント ローラを気化器と別体に設けて両者を配管で接続した気化ュニッ トを用 いた特許文献 1では、 二つの要素間に液体が流れるための時間差や、 配 管の液体残留物によって、 第 3図 (A ) に示すように、 コントローラの 指示 ( a ) どおりの気化特性が得られず、 (b ) のように立下がりがだ れる。 この点で、 実施の形態の気化器 3では、 液体流量コントロール用 の弁体 3 3の直下に気化部分を配置するので、 そのような時間差や液体 残留部の影響を大幅に低減でき、 その結果、 第 3図 (B ) に示すように、 吐出駆動制御機構 6の指示 ( a ) どおりの、 立下がりが急峻な気化特性 ( b ) を得ることができる。
ところで、 本発明において、 1回の吐出動作における流量は、 液体原 料を気化器 3までに圧送する N 2の圧力に依存する。 したがって、 1回 の吐出動作における流量を N 2の圧力にかかわらず固定化するためには、 圧送 N 2の圧力と液体原料の 1回の吐出動作における流量との相関関係 を予め求め、 その関係から吐出流量を校正する必要がある。
その方法を第 1図を用いて具体的に説明する。 同図の N 2の圧送圧力 をある一定年力に保ち、 ある決められた弁体 3 3の開度で、 数百から数 千回を数十 H zの速度で吐出させ、 吐出駆動制御機構 6は、 その際の流 量変化を液体流量計 1 1からの流量通知に基づいて観測し、 その積分値 を積算流量として用い、 1回の吐出量を求める。 ここで、 通常の流量制 御は、 液体流量計 1 1をマスフローコントローラで構成し、 マスフロー コントロ一ラと気化器 3とを点線でしめすように電気的に接続して、 気 化器 3に流入する流量をマスフローコントローラにフィードバック制御 するというものである。 しかし、 ここでは X印で示すように結線をせず、 そのような通常の流量制御は行わない。 なお、 上記速度で吐出させると、 液体の流量が高速で変動するので、 液体流量計 1 1の示す値に信頼性が ないことがある。 その場合は、 液体原料を保管している原料容器 2の重 さの変動で流量を観測する必要がある。 具体的には、 第 1 0図に示すよ うに、 原料容器 2の下に重量計 6 2を配置し、 原料容器 2への配管は、 フレキシブルの配管を用い、 原料容器 2の重量変動が正確に重量計 6 2 に反映されるようにする。
上記方法で、 弁体開度をパラメ一夕とした N 2圧送圧力に対する吐出 流量の関係を数パターン測定すると、 第 9図のような流量特性を得るこ とができる。 この流量特性に基づいて、 必要な吐出流量を得るために必 要となる N 2の圧送圧力と弁体の開度を決定する。 この場合、 この流量 特性を電子的なデータ (ルックアップテーブル) として吐出駆動制御機 構 6に保持し、 使用者がこの吐出駆動制御機構 6に 1回の吐出動作にお ける流量を設定する。吐出駆動制御機構 6に組み込まれたプログラムが、 圧力と弁体の開度を上記ルックアツプテーブルから求めて、 それらの値 になるように制御することにより、 設定流量を校正する。
上述したように液体圧送の圧力と吐出流量の関係に基づいて流量を校 正するようにしたので、 N 2の圧送圧力が変動しても、 液体原料の気化 部 3 1への 1回の吐出動作における流量を固定化できるようになる。 と ころで、 1回の吐出動作における流量は経時的に変化することも考えら れる。 流量の経時的変化を改善するためには、 経時的に流量を監視し、 吐出量を調節する必要がある。
第 1 0図は、 そのような流量の経時変化の改善を図った基板処理装置 例のブロック図を示す。 第 1図に示す基板処理装置と異なる点は、 吐出 駆動制御機構 6 と電気的に接続される上位の制御装置 6 3を設けた点で ある。 この上位の制御装置 6 3には、 N 2ガスボンベ 6 4と原料容器 2 とを接続する N 2ガス供給管 6 7内の圧力を測定する圧力計 6 6から圧 力通知がなされる。 また、 原料容器 2の下に配置されて容器の重量を測 定する重量計 6 2からの重量通知がなされる。 また、 液体原料供給管 4 に設けられて液体原料供給管 4内を流れる液体の流量を測定する液体流 量計 1 1からの流量通知がなされる。 他方、 上位の制御装置 6 3からは、 N 2ガスボンベ 6 4と原料容器 2 とを接続する N 2ガス供給管 6 7に設 けられたマスフローコントローラ 6 5へ流量指示がなされる。 また、 吐 出駆動制御機構 6へ振幅 (弁体の開度) 、 パルス幅、 周期の指示がなさ れるように構成される。
上位の制御装置 6 3は、 液体流量計 1 1からの流量通知の電気信号に 基づいて、 数百〜数万回の吐出回数にあたる積算吐出流量を計算する。 この積算吐出流量を記憶しておき、 1回の吐出量に経時的に変化がない か監視しておく。 もし、 変化があり、 その変化が経時変化の校正が可能 である数〜十数パーセントの許容範囲内であれば、 気化器 3又は吐出駆 動制御機構 6の特性に変化があったものとし、 1回の吐出量の経時的な 変化を調節する弁体の上下動指示を気化器 3に与えて、 弁体 3 3の開度 を調節する。 しかし、 その変化量が許容範囲を超えるようであれば、 気 化器 3の寿命を示すアラームを表示し、 気化器 3の交換を促すようにす る。 なお、 上述した吐出駆動制御機構 6の特性の変化は、 例えば、 吐出 駆動制御機構中に使用されているピエゾバルブの劣化により起こる。 ピ ェゾバルブは強誘電体で構成されており、 強誘電体は長時間の動作を続 けていると、 疲労するためである。
この第 1 0図に示す実施の形態によれば、上位の制御装置 6 3により、 液体流量計 1 1からの電気信号に基づいて一定時間 一定吐出回数の積 分流量を計算し、 その積分流量を監視して、 1回の吐出量の経時的な変 化を調節するので、液体原料供給システムの信頼性を高めることができ、 ウェハの処理精度を常に維持できる。
なお、 前述した流量特性のルックアップテーブルは、 第 1 0図に示す ようなシステムにおいては、吐出駆動制御機構 6に保持するのではなく、 吐出駆動制御機構 6 と電気的に接続される上位の制御装置 6 3に保持し、 使用者がこの制御装置 6 3に流量を設定することにより、 それに組み込 まれたプログラムが圧力と弁体 3 3の開度をこのルックアツプテーブル から求め、 吐出駆動制御機構 6に指示を与えるようにするとよい。
なお、 本発明において、 液体原料の気化部への 1回の吐出動作におけ る流量を固定化するにあたって、 固定化するのは、 気化器 3に対する流 量ではなく、 気化器 3の気化部 3 1に対する流量である。 したがって、 気化器 3は弁体一体型のものに限定されず、 弁体 3 3が別体のものにも 適用可能である。
上述した実施の形態では、 半導体デバイスの製造方法を、 複数のガス を供給し、 この供給を繰り返して成膜するプロセスに限定したが、 その プロセスとしては M R C V D法、 A L D法のどちらにも限定しないとい う一般的な説明を行った。 ここでは、 さらに本発明を A L D法に限定し た具体的な説明を行う。
第 4図及び第 5図は、 本発明を適用すると特にメリッ トが大きい A L D装置の構成例を示す。 この例では、 基板としてのウェハ上に酸化膜を つける場合を想定している。
A L D装置は、 第 4図に示すようなクラスタ型半導体製造装置で用い られることが多い。 この装置は、 大気ウェハ搬送機 1 6、 ロードロック 室 1 7、 真空搬送室 1 8、 処理室 1から主に構成される。 処理室 1には、 液体原料を流量制御するとともに気化して供給する反応物供給システム 1 9と、 反応ガスとして用いられる活性化された酸素を生成するリモー トプラズマュニッ ト 2 0が取り付けられている。
ウェハカセッ ト 1 5から、大気ウェハ搬送機 1 6へとウェハが渡され、 ロードロック室 1 7にウェハが入れられ、 ここで、 ロードロック室 1 7 は大気から真空へと排気される。 次に、 真空搬送室 1 8を経由して、 処 理室 1 にウェハが搬送される。 処理室 1で気化ガスと活性化された酸素 とを交互に切換えて供給して、 所望の厚さの膜をゥヱ八上に成膜する。 成膜後は、 上述した流れと逆の流れで、 ウェハがウェハカセッ ト 1 5へ と戻される。
第 5図に、 第 4図の要部を構成する真空搬送室 1 8、 反応物供給シス テム 1 9、 リモートプラズマユニッ ト 2 0、 処理室 1 の詳細図を示す。 真空搬送室 1 8は、 室内に搬送口ポッ ト 2 6を備える。 搬送口ポッ ト 2 6は伸縮自在で旋回自在なァ一ム 2 7を有し、 アーム 2 7上にウェハ Wを保持して搬送するように構成される。 真空搬送室 1 8の一側はロー ドロック室に連結され、 他側は処理室 1 に連結される。 搬送口ポッ ト 2 6は、 ロードロック室から処理前のウェハ Wを受け取り、 処理室 1 に搬 送して、 サセプ夕 5 6上に移載する。 また、 処理室 1から処理済みのゥ ェハ Wを受け取り、 ロードロック室に搬送して、 移載する。
A L D法では、 第 6図に示すように、 原料供給、 パージ、 反応ガス供 給、 パージの 4つのステップを反応物導入シーケンスの 1サイクルとし て、 成膜を繰り返す。 この反応物供給ステップに反応物供給システム 1 9を用いる。 反応物供給システム 1 9は、 リモートプラズマユニッ ト 2 0ヘリモートプラズマ源を供給して反応ガスとしての活性化された酸素 を処理室 1へ供給する反応ガス供給システム 2 8 と、 液体原料を気化し て処理室 1へ供給する液体原料気化システム 2 9 との 2系統から構成さ れる。
反応ガス供給システム 2 8は、 ここでは概略的に示されているが、 マ スフローコントローラ 4 6 、 4 7をそれぞれ設けた酸素 (〇2) ガスを 供給する 0 2供給管 4 8 と、 アルゴン (A r ) ガスを供給する A r供給 管 4 9 とから主に構成される。 A rガスは放電用のガスであり、 リモー トプラズマュニッ ト 2 0によって、 0 2は A rプラズマにより活性化さ れる。 リモートプラズマユニッ ト 2 0は、 0 2供給管 4 8 と A r供給管 4 9とから供給される O 2ガス、 A rガスのうちの A rが放電を起こし てプラズマを形成し、 このプラズマにより〇2を励起して活性化する。 活性化した〇2は A rプラズマとともに、 リモートプラズマユニッ ト 2 0から反応ガス供給管 5 0へ供給される。
この活性化された酸素は、 吐出駆動制御機構により制御される液体原 料の制御速度と合わせるために高速制御するが、 その高速制御はプラズ マを O N Z O F F制御することによって行う。 反応ガス供給システム 2 8は、 具体的には、 第 1 1図に示すように構成され、 このシステムを用 いて、 第 1 2図に示すシーケンスに従い、 高速に活性化した酸素を処理 室へ送り込む。
第 1 1図の反応ガス供給システムは、 リモートプラズマユニッ ト 2 0 と配管 7 2、 7 0 とを備える。 配管 7 2は A rを流し、 配管 7 0は酸素 〇2とアルゴン A r との混合ガスを流す。 リモートプラズマュニッ ト 2 0の導出側には反応ガス供給管 5 0が接続されて活性化された酸素を反 応ガス供給管 5 0を介して処理室へ供給する。 リモートプラズマュニッ ト 2 0の導入側には前述した配管 7 0が接続され、 この配管 7 0に配管 7 2が合流接続されて、 〇 2と A rの混合ガスをリモートプラズマュニ ッ ト 2 0に供給する。
0 2供給管 4 8 と A r供給管 4 9とは合流接続されて前述した配管 7 0に接続される。 混合ガスを流す配管 7 0には上流側から下流側にわた つて混合器 7 4、 第 2バルブ 7 5、 絞り 7 3が設けられる。 絞り 7 3は 配管 7 2 との合流接続点の上流側に設けられる。 また、 配管 7 2、 0 2 供給管 4 8、 及び A r供給管 4 9にはマスフローコントローラ 7 1 、 4 6 、 4 7がそれぞれ設けられ、 〇2供給管4 8、 及び A r供給管 4 9に はさらに第 2バルブ 7 6、 第 3バルブ 7 7がそれぞれ設けられる。
配管 7 2から導入される A rは常にリモートプラズマュニッ ト 2 0を 通って処理室へ流している。 これは、 リモートプラズマユニッ ト 2 0内 に、 もう一方の原料である気化ガスが拡散されて入ってこないようにす るためである。 もし、 気化ガスが入ってきた場合には、 プラズマによつ て反応を起こし、 パーティクルの原因となるからである。
また、 混合器 7 4には、 第 1バルブ 7 5が閉の状態で、 第 2バルブ 7 6と第 3バルブ 7 7を一定時間開け、 A r と酸素 O 2の混合気体を封じ 込め、 第 2バルブ 7 6および第 3バルブ 7 7を閉じておく。 これは、 第 1バルブ 7 5を開にした場合に、 いきなり多量の酸素がリモートプラズ マユニッ ト 2 0に導入された場合、 プラズマが消える可能性があるから であるが、 リモートプラズマユニッ ト 2 0の能力により、 不要な場合も ある。
また、 第 1バルブ 7 5とリモートプラズマユニッ ト 2 0の間の配管 7 0には、 流路断面を調節して混合ガスの流量を調整するための絞り 7 3 を入れ、 多量のガスが流れないようにしてある。 すなわち流量を固定化 している。 第 6図のシーケンスにおける反応ガスの導入時には、 第 1 2 図に示すように、 プラズマを O Nし、 第 1バルブ 7 5を開き、 A r と酸 素 0 2の混合ガスを流し、 反応ガスの導入の停止時には、 プラズマを〇 F Fし、 第 1バルブ 7 5を閉じる。 ここで、 プラズマ O Nの場合に、 瞬 時にプラズマ (これを本プラズマという) を生成するために、 第 1 3図 のように、 小型のプラズマ発生器 7 8をリモートプラズマュニッ ト 2 0 の上流側の配管 7 0に設置し、高周波電源 7 9から微小電力を投入して、 わずかにプラズマ (予備プラズマ) を生成しておく ことが有効である。 第 1 4図に小型プラズマ発生器 7 8を示すが、 数百 zz m〜数 m m程度離 れた端子 8 0 、 8 1間に高周波電源 7 9から小電力を投入し、 微小のプ ラズマを生成する。
このように反応ガスをマスフローコントローラで制御するのではなく、 予め流量を設定した絞り 7 3によって活性化した酸素を流量制御し、 予 備プラズマ及び本プラズマにより瞬時に酸素〇2を活性化するので、 活 性化した酸素を高速に処理室へ送り込むことが可能となる。
ここで説明を再び第 5図へ戻す。 液体原料気化システム 2 9は、 原料 容器 2、 液体流量計 1 1、 気化器 3、 液体原料供給管 4、 マスフローコ ントローラ 1 3を設けた希釈ガス供給管 1 0、 ヒータ 1 4から構成され る。 液体原料を N 2ガスで原料容器 2から液体原料供給管 4に圧送して、 液体流量計 1 1を介して気化器 3へ供給する。 ここで、 気化器 3が吐出 駆動制御機構によって制御され、 パルス幅に相当する時間、 液体原料は 1回の吐出動作における流量が固定化されて気化器 3の気化部へ吐出さ れる。 液体原料は、 希釈ガス供給管 1 0から供給される希釈ガス N 2と 混合され希釈され、 気化部へ吐出される。 気化部で気化された気化ガス は、 パルス的な制御用電気的信号に応じて間欠的に原料ガス供給管 5に 導入される。
ヒー夕 1 4は、 液体原料供給管 4、 原料ガス供給管 5、 及び希釈ガス 供給管 1 0に設けられ、 必要に応じて配管を加熱し、 内部を搬送される 液体またはガスの温度が低下しないように加熱する。
処理室 1は、枚葉式で例えば 1枚の基板を処理するように構成される。 処理室 1の一側部にゲートバルブ 5 1 を介して真空搬送室 1 8に通じる ウェハ搬送口 5 2が設けられる。 処理室 1の他側部には、 排気口 5 3が 設けられ、 ポンプ 9によって処理室 1 を排気可能にしている。 処理室 1 の上部にはシャワーへッ ド 5 3が設けられ、 このシャワーヘッ ド 5 3に 原料ガス供給管 5 と反応ガス供給管 5 0が接続され、これらの供給管 5、 5 Qからシャヮー状に 2種類のガスをウェハ W上に供給できるようにな つている。 また、 シャワーヘッ ド 5 3には、 図示していないが、 パージ ガス供給管が接続され、 パージガスを処理室 1内に導入してウェハ W上 に供給できるようになつている。
ヒ一夕ユニッ ト 5 4は、 ウェハ Wを保持して加熱し、 処理室 1内に上 下矢印で示す方向に昇降自在、 かつ矢印で示すように回転自在に設けら れる。 ヒー夕ユニッ ト 5 4は、 ユニッ ト本体 5 5 と、 ユニッ ト本体 5 5 上部に設けられてウェハを保持するサセプ夕 5 6 と、 ュニッ 卜本体 5 5 内部に設けられてサセプ夕 5 6を介してウェハ Wを加熱するヒータ 5 7 とから構成される。 なお、 ユニッ ト本体 5 5内部からは、 ウェハ温度を 制御するために必要な光ファイバ 5 8や熱電対 5 9などが処理室 1の外 部に引き出されている。 成膜時は図示するように、 ウェハ Wをシャワー ヘッ ド 5 3の近傍位置に来るようにヒータユニッ ト 5 4を上昇させ、 搬 送時はサセプタ 5 6がウェハ搬送口 5 2に臨む位置に来るように下降す る。
上記した A L D装置の作用を説明する。 真空搬送室 1 8に取り付けら れた搬送口ポッ ト 2 6が、 ロードロック室からウェハ Wを取り出す。 ゥ ェハ Wを処理室 1 に搬送するには、 サセプ夕 5 6 とヒ一夕 5 7から構成 されるヒータュニッ 卜 5 4が下降し、 ウェハ搬送口 5 2 とサセプタ 5 6 表面をほぼ同じ高さにし、 ゲ一トバルブ 5 1 を開き、 搬送ロポッ ト 2 6 のアーム 2 7がウェハ Wを処理室 1へ送り込む。 その際、 サセプ夕 5 6 から 3本の突き上げピン (図示せず) が下から上がってきてウェハ Wを 保持する。 次に、 搬送ロボッ ト 2 6のアーム 2 7を処理室 1から取り出 し、 ゲートバルブ 5 1 を閉じる。 ポンプ 9により処理室 1内を排気口 5 3を介して真空引きする。
ヒータュニッ ト 5 4を上昇して、 突き上げピンを下方に下げ、 ウェハ Wをサセプタ 5 6上に移載する。ヒー夕ュニッ ト 5 4をさらに上昇して、 サセプ夕 5 6上に保持されたウェハ Wを、 シャワーへッ ド 5 3 との間隔 が、 例えば 1 0 m m〜 2 0 m mになる位置まで移動する。 そして、 ゥェ ハ Wをサセプ夕 5 6とともに回転させる。 この際、 ヒータ 5 7は固定さ れている。 ウェハ Wを回転させるのは、 ヒー夕 5 7の加熱によるウェハ 面内温度不均一性を緩和させるためである。処理室内が所定圧力となり、 ウェハ Wの温度がサセプ夕温度に近づきほぼ一定になったら、 A L D法 による成膜プロセスを行う。
A L D法では、 第 6図に示すように、 原料供給、 パージ、 反応ガス供 給、 パージの 4つのステップを 1サイクルとして、 成膜を繰り返す。 こ の反応物供給ステツプに液体原料気化システム 2 9 と反応ガス供給シス テム 2 8 とを いる。 ( 1 ) 原料供給ステツプ
液体原料気化システム 2 9によって、 原料容器 2から液体原料を気化 器 3の気化容器 3 1に吐出して気化し、 気化した原料ガス Aを処理室 1 に導入し、 ガス原料をウェハ Wの表面に吸着させる。
( 2 ) パージステップ
吸着後、 不活性ガスなどからなる非反応物を処理室 1内に導入して、 処理室 1内の余分なガス Aを排気口 5 3から排出して取り除く。
( 3 ) 反応ガス供給ステツプ
余分なガス Aを取り除いた後、 基板に吸着したガス原料と反応を起こ し、酸化薄膜を形成させることができるプラズマ励起した反応ガス B (活 性化した酸素〇2) を反応ガス供給システム 2 8から処理室 1 に導入し て、 ウェハ表面反応により薄膜の 1原子層をゥェ八上に形成させる。
( 4 ) パージステップ
1原子層を形成後、 不活性ガスなどからなる非反応物を処理室 1に導 入して、 処理室 1内の余分なガス Bおよび反応副生成物を排気口 5 3か ら排出して取り除く。
この ( 1 ) 〜 ( 4 ) のステップを 1サイクルとして、 所望の膜厚に達 するまで、 複数のサイクル処理を行う。 所望の膜厚になったら、 ヒー夕 ユニッ ト 5 4の回転を停止し、 サセプタ 5 6の表面の高さが、 ウェハ搬 送口 5 2 と同じく らいの高さになるように下げる。 引き続き、 突き上げ ピンを上げてウェハ Wをサセプタ 5 6から離し、 ゲートバルブ 5 1を開 けてウェハ Wを搬送口ポッ ト 2 6により処理室 1から取り出す。
この A L Dのような方法においては、決められたある条件においては、 1サイクルにおいて形成される膜厚は決まっており、 要求される時間内 に所望の膜厚を形成するためには、 要求される時間内に必要なサイクル 数の処理を行うことが必要になってくる。 要求される時間内に必要なサ ィクル数を行うためには、 1サイクルあたりの時間が必然的に決まって くるが、 生産に関する経済性を満足する時間あたりの成膜可能枚数、 つ まりスループッ トを達成するには、 1サイクルあたりの時間に対し、 例 えば 1秒以内が要求される場合がある。
この場合、 上記ガス A、 Bおよび非反応物は、 各ステップに要する時 間を同じとすると、 4分の 1秒間だけ処理室 1に供給されなければなら ない。 ガス Aが液体を気化させて生成するものである場合、 4分の 1秒 間だけ一定流量を流すといつた機敏な動作が必要になってくる。 この点 で、 上述した A L D装置の液体原料供給システム 1 9では、 吐出駆動制 御機構からの吐出指令でオープンループ制御しながら気化部 3 1への吐 出量を制御することにより、 4分の 1秒間だけ一定流量を流すといった 機敏な動作を容易に実現できる。 また、 反応ガス供給システム 2 8でも、 絞り 7 3 とプラズマの O N Z O F F制御で処理室 1への流量を制御する ことにより、 4分の 1秒間だけ一定流量を流すといった機敏な動作を容 易に実現できる。 したがって、 実施形態の反応物供給システム 1 9は、 特に A L D法に用いることが好ましい。
また、 A L D法では、 第 6図に示すようなシーケンスでガスを切換え るが、 原料導入後のパージのサイクルでは、 残留した余分な原料を完全 に排気することが望まれる。 コントローラが気化器と別体の従来方式を A L D法に適用した場合では、 第 3図 (A ) ( b ) のように気化特性の 立下がりがだれるため、原料がパージシーケンス中でも導入されつづけ、 処理室 1から十分に原料ガスを排気させることができない。これに対し、 コントローラが気化器と一体の実施の形態による方式では、 第 3図 (B ) ( b ) のように、 原料を吐出駆動制御機構 6の指令に対して応答性良く 液体原料を封止できるので、 パージシーケンス中に処理室 1から完全に 原料を排気することが可能となる。 また、 反応ガスである活性化した酸 素〇 2も同様にパ一ジシーケンス中に処理室 1から完全に原料を排気す ることが可能となる。
また、 A L D法は、 成膜機構にセルフリミッ トがかかっているので、 1サイクルあたりの成膜膜厚は数 A〜十分の数 Aになる。 そのため、 単 位時間あたりの成膜レートを向上させるには、 第 6図に示すような 1サ ィクルの周期をできるだけ短くする必要がある。 この見地からするとォ ープンループ制御で高速に原料の吐出 Z非吐出 (導入 Z封止) を制御で きる実施の形態の方式は、フィードバック制御方式に比べて優位にある。 また、 最近では、 成膜機構にセルフリミッ トがかからない場合でも、 短 時間の原料導入による原子層に近い単位での成膜、 反応ガス導入による 酸化あるは窒化や、 不純物除去を繰り返す処理も A L Dと呼ぶことがあ るが、 これらの方式にも、 本発明を適用することができ、 これらも従来 方式に比べて優位である。 なお、 短時間の原料導入による原子層に近い 単位での成膜と不純物除去を繰り返す処理としては、 例えば、 有機液体 原料を気化したガス供給による成膜と、 プラズマ励起ガス供給による改 質とを繰り返す M R C V D法がある。
また、 A L D成膜のための装置の実施例としては、 前述したように、 特許文献 4のようにバルブレスで気相バリァを用いて原料高速切換を行 うようにする方法もあるが、 この場合、 原料は供給し続けるので、 処理 室への原料導入時以外は原料を無駄に捨てることとなり、 その分コスト が高くなるというデメリッ トがある。 この点で、 実施の形態による弁体 ないしバルブ切換え方式では、 原料を処理室に導入する場合だけしか原 料を消費しないので、 原料資源の有効利用が図れる。
ところで、 上述した A L D法では、 第 6図に示すように、 液体原料供 給シーケンスにおいて、 液体原料の気化部 3 1への 1回の吐出動作にお ける流量を、 気化ガスの基板への 1回の供給動作に対応する流量と同等 になるように液体流量を制御する場合について、 すなわち 1ステップ内 で 1回の吐出制御をする場合について説明した (第 1実施例) 。 この場 合、 例えば、 液体原料が気化しているときに、 液体原料が触れる気化器
3の内壁、 特に気化容器 4 0の内壁からは、 気化熱が奪われ温度が下が り、 気化効率が下がることがある。 これを防止するために、 例えば、 第 7図に示すように、 液体原料供給のシーケンスを変更し、 液体原料の気 化部 3 1への 1回の吐出動作における流量を、 気化ガスのウェハへの 1 回の供給動作に対応する流量よりも少なく し、 吐出回数により流量を制 御するとよい (第 2実施例) 。 このように液体原料の気化部への 1回の 吐出動作における流量を、 反応物の基板への 1回の供給動作に対応する 流量よりも少なく し、 1ステップ内で複数に分けて吐出して、 その吐出 回数により流量を制御すると、 1回の供給動作期間中に液体原料が気化 部へ吐出されない非吐出期間が形成されて、 その期間中、 低下した気化 部の温度を回復させることができる。 したがって、 気化部の温度低下に 起因して気化効率が下がることを防止できる。
なお、 実施の形態と特許文献 1〜 3 (従来例 1〜 3 ) との吐出方法の 違いを示せば第 8図の通りである。 実施の形態では、 複数の反応物が間 に非反応物の供給を挟んで交互に供給される A L Dであるため、 他の反 応物や、 非反応物が供給される時は、 一の反応物の間欠的な供給が断た れるのに対して、 従来例のものは、 複数の反応物が混合されて連続的に 供給される C V Dないし M O C V Dであるため、 反応物の間欠的な供給 は断たれることはない。
なお、 上述した実施の形態では、 A L D成膜のための反応ガスの高速 導入のための反応ガス供給システムとして、 反応ガスがリモートプラズ マユニッ トを必要とする酸素〇2を扱う場合について説明したが、 反応 ガスの種類によっては、 これとは異なる反応ガス供給システムを採用す る必要がある。 これを、 オゾン〇3と水 H 2〇の例をとつて説明する。 オゾンの場合は、 反応ガス供給システムとして、 第 1 5図のような構 成を用いる。 オゾン発生器 8 2からは、 配管 8 4を介して常に一定の流 量でオゾンが流れている。 配管 8 4は、 その下流で配管 8 5とバイパス ライン 8 6 とに分岐される。 分岐した一方の配管 8 5は処理室 1 を介し てポンプ 9 0に接続される。 分岐した他方のバイパスライン 8 6はォゾ ンキラー 8 3を介してポンプ 9 0に接続される。 配管 8 5には、 上流か ら下流にかけて流量絞り 8 7、 第 2バルブ 8 9、 保管容器 9 1、 及び第 1バルブ 8 8が設けられる。 配管 8 5、 バイパスライン 8 6は処理室 1 側の方からポンプ 9 0で真空に引かれており、 配管 8 5に設けた第 1バ ルブ 8 8、 および第 2バルブ 8 9が開であれば、 配管 8 5に設けた流量 絞り 8 7により調整された流量で、 オゾン 0 3は主に処理室 1側に流れ るようになっている。 オゾン 0 3を処理室 1 に導入しない場合は、 第 1 バルブ 8 8を閉じる。 保管容器 9 1に、 或る一定圧のオゾンが導入され ると、 オゾン 0 3はバイパスライン 8 6側へ流れ、 オゾンキラー 8 3を 通って排気される。 処理室 1へのオゾン〇3の導入は、 第 1バルブ 8 8 を開き、 第 2バルブ 8 9を閉じることにより行う。 より高速な動作が必 要な場合は、 流量絞り 8 7とオゾン発生器 8 2からの流量とを調整し、 第 2バルブ 8 9を不要とすることも可能である。 また、 保管容器 9 1は 配管で構成してもよい。
反応ガスが水 H 20の場合は、 反応ガス供給システムとして、 第 1 6 図に示すような水容器 9 2へ純水 (脱イオン水) を充てんする。 この水 容器 9 2に、 水分を導出する第 1配管 9 4を挿入する。 第 1 5図に示す システムの配管 8 4からオゾン発生器 8 2を取り外し、 配管 8 4に第 1 配管 9 4を接続することによって、 水容器 9 2をオゾン発生器 8 2の代 わりにシステムに接続する。 第 1配管 9 4から蒸気圧に従い気化される 水分をシステムに導入する。 この際、 第 1 6図 ( a) の第 2配管 9 3か らキャリアガスとして H eのような不活性ガスを流しても良い。 また、 第 1 6図 ( b ) に示すように、 第 2配管 9 3を容器 9 2内の水中に挿入 して、 パブリングを行っても良い。
次に、 本発明を適用した AL D法による成膜の実施例を示す。 液体原 料には、 金属一配位子錯体前駆物質の、 当該配位子がアルキル、 アルコ キシド、 ハロゲン、 水素、 アミ ド、 イミ ド、 アジ化物イオン、 硝酸根、 シクロペン夕ジニエル、 力ルポニル、 並びにそれらのフッ素、 酸素およ び窒素置換類似物からなる群より選ばれる組成物が選ばれる。 反応ガス としては、 通常、 水、 酸素、 アンモニアでよいが、 時には何らかの方法 で活性化されたラジカルやイオンの場合もある。 また、 反応ガスは、 「反 応」 という言葉を使用するが、 実際には 「原料」 と反応を起こさないが、
「原料」 の自己分解反応にエネルギーを与えるものでも良い。 例えば、 プラズマなどで活性化された希ガスや不活性ガスの場合もある。
ここでは、 具体的な例として、 「原料」 には、 TMA (A 1 (CH3) 3: トリメチルアルミニウム) や、 TD EAH f (H f (N (C2H5) 2) 4: テトラキスジェチルアミ ドハフニウム) を、 「反応ガス」 には、 〇3 (オゾン) を用い、 それぞれ、 A 1203 (アルミナ) や H f 02 (ハフ ニァ : 酸化ハフニウム) を成膜する。 ここで、 処理室の圧力は、 1 0 0 〜 1 P aを用いる。 また、 S i ウェハの温度は、 原料ガスの自己分解温 度の違いにより 1 5 0〜 5 0 0 °Cの範囲内を用いる。 たとえば、 TM A および TD EAH f では、 2 0 0〜 4 0 0 °Cを用いる。
ここで、 第 6図に示すように、 この原料導入、 パージ、 反応ガス導入 とパージの 4ステップからなるサイクルを繰り返し成膜する。この場合、 各々の 1ステップの時間は、 0. 1秒から数秒とする。 このとき、 1サ ィクルあたりの成膜膜厚はウェハ温度により 0. 7〜 2 A程度になる。 このサイクルを繰り返して所定膜厚の薄膜を形成する。 例えば、 A l 2 0 3や H f 〇2をゲート絶縁膜やキャパシ夕絶縁膜として用いる場合、 数 〜数十サイクル繰り返して 1 5〜 5 0 A成膜する。
産業上の利用可能 I、生
本発明によれば、 複数の反応物の供給工程を複数回繰り返すことによ り基板を処理する際に、 反応物である原料を無駄に捨てることなく、 反 応物の高速切換えが行え、 基板処理のスループッ トを向上させることが できる。

Claims

請 求 の 範 囲
1 . 一つの反応物を基板上に供給する工程と、 他の反応物を基板上に 供給する工程と、 これらの工程を複数回繰り返すことにより基板を処理 する工程とを有する半導体デバイスの製造方法であって、
前記反応物の両方又は何れか一つは液体原料を気化部で気化させた原 料ガスを含み、 液体原料の気化部への 1回の吐出動作における流量を固 定化し、 液体原料を気化部に間欠的に吐出させるように制御することを 特徴とする半導体デバイスの製造方法。
2 . 液体原料の気化部への 1回の吐出動作における流量を、 前記気化 部で気化させた原料ガスの基板上への 1回の供給動作に対応する流量と 同等にしたことを特徴とする請求の範囲第 1項に記載の半導体デバイス の製造方法。
3 . 液体原料の気化部への 1回の吐出動作における流量を、 前記気化 部で気化させた原料ガスの基板への 1回の供給動作に対応する流量より も少なくし、 吐出回数により流量を制御することを特徴とする請求の範 囲第 1項に記載の半導体デバイスの製造方法。
4 . 前記処理とは、 一つの反応物を基板上に供給して吸着させる工程 と、 基板上に吸着させた反応物に対して他の反応物を供給して反応を起 こさせ膜を形成する工程と、 を複数回繰り返す制御を行うことにより所 望の膜厚の膜を形成する A L D処理であることを特徴とする請求の範囲 第 1項に記載の半導体デバイスの製造方法。
5 . 基板を処理する処理室と、
液体原料を収容する容器と、
前記液体原料を気化させる気化部を有する気化器と、
前記容器内に収容された液体原料を気化器へ供給する液体原料供給管 と、
前記気化器で気化した原料ガスを処理室内に供給する原料ガス供給管 と、
前記液体原料の気化部への 1回の吐出動作における流量を固定化し、 液体原料を気化部に間欠的に吐出させるよう制御する吐出駆動制御機構 と、
前記原料ガスとは異なる反応物を処理室内に供給する供給管と、 前記原料ガスの処理室内への供給と、 その後に行う原料ガスとは異な る反応物の処理室内への供給を複数回繰り返すよう制御する制御手段と、 を有することを特徴とする基板処理装置。
6 . 前記制御手段は、 更に液体原料の気化部への 1回の吐出動作にお ける流量を、 気化部で気化させた原料ガスの基板への 1回の供給動作に 対応する量と同等にするよう制御する機能を有することを特徴とする請 求の範囲第 5項に記載の基板処理装置。
7 . 前記制御手段は、 更に液体原料の気化部への 1回の吐出動作にお ける流量を、 前記気化部で気化させた原料ガスの基板への 1回の供給動 作に対応する流量よりも少なく し、 吐出回数により流量を制御する機能 を有することを特徴とする請求の範囲第 5項に記載の基板処理装置。
8 . 前記制御手段は、 更に一つの反応物を基板上に供給して吸着させ る工程と、 基板上に吸着させた反応物に対して他の反応物を供給して反 応を起こさせ膜を形成する工程と、 を複数回繰り返すことにより、 基板 に対して A L Dによる成膜を行うよう制御する機能を有することを特徴 とする請求の範囲第 5項に記載の基板処理装置。
9 . 前記制御手段は、 更に液体原料を気化部へ圧送する圧力と気化部 への 1回の吐出動作における流量との相関関係を予め測定しておき、 そ の相関関係に基づいて 1回の吐出動作における流量を校正する機能を有 することを特徴とする請求の範囲第 5項に記載の基板処理装置。
1 0 . 前記気化部と容器との間に液体流量計を設け、 液体流量計に電 気的に接続された流量調節機構を有する吐出駆動制御機構を設置し、 前記流量調節機構は液体流量計からの電気信号に基づいて、 ある一定 時間或いはある一定吐出回数の積分流量を計算し、 経時的にその積分流 量を監視し、 気化部への 1回の吐出動作における流量の経時的な変化を 調節する制御手段を有することを特徴とする請求の範囲第 5項に記載の 基板処理装置。
1 1 . 前記気化器を、 液体原料を気化する気化部と、 該気化部へ液体 原料を送る流路と、 前記気化部への液体原料の吐出 Z非吐出を弁の開閉 により制御するとともに、 開制御時に前記流路に送られる液体原料の流 量を弁の開度調節により制御する弁体とを一体的に有するィンジェクシ ヨン方式の気化器で構成し、 前記弁体の開度調節、 開閉を前記吐出駆動 制御機構により行うことを特徴とする請求の範囲第 5項に記載の基板処 理装置。
PCT/JP2003/013606 2002-10-30 2003-10-24 半導体デバイスの製造方法及び基板処理装置 WO2004040630A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004548036A JP4427451B2 (ja) 2002-10-30 2003-10-24 基板処理装置
US10/529,466 US20060035470A1 (en) 2002-10-30 2003-10-24 Method for manufaturing semiconductor device and substrate processing system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002-316154 2002-10-30
JP2002316154 2002-10-30

Publications (2)

Publication Number Publication Date
WO2004040630A1 true WO2004040630A1 (ja) 2004-05-13
WO2004040630A8 WO2004040630A8 (ja) 2005-03-10

Family

ID=32211674

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/013606 WO2004040630A1 (ja) 2002-10-30 2003-10-24 半導体デバイスの製造方法及び基板処理装置

Country Status (3)

Country Link
US (1) US20060035470A1 (ja)
JP (1) JP4427451B2 (ja)
WO (1) WO2004040630A1 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175408A (ja) * 2003-12-05 2005-06-30 Semiconductor Res Found 酸化・窒化絶縁薄膜の形成方法
JP2005347446A (ja) * 2004-06-02 2005-12-15 Nec Electronics Corp 気相成長装置、薄膜の形成方法、および半導体装置の製造方法
JP2006190788A (ja) * 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
WO2006100862A1 (ja) * 2005-03-22 2006-09-28 Tokyo Electron Limited 基板処理方法および記録媒体
WO2007097024A1 (ja) * 2006-02-27 2007-08-30 Youtec Co., Ltd. 気化器、半導体製造装置及び半導体製造方法
JP2008007826A (ja) * 2006-06-29 2008-01-17 Horiba Stec Co Ltd 成膜装置の噴射弁異常判断方法、気化器の噴射弁異常判断方法、成膜装置及び気化器
JP2008508430A (ja) * 2004-08-04 2008-03-21 インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ Dcバイアスを利用したリモートプラズマ原子層蒸着装置及び方法
JP2010003974A (ja) * 2008-06-23 2010-01-07 Stanley Electric Co Ltd 成膜装置および半導体素子の製造方法
JP2010519701A (ja) * 2007-02-23 2010-06-03 ウニヴェルシタ デグリ ステュディ ディ ミラノ‐ビコッカ 材料を処理するための大気圧プラズマ処理方法
JP2011082196A (ja) * 2009-10-02 2011-04-21 Hitachi Kokusai Electric Inc 気化器、基板処理装置及び半導体装置の製造方法
JP2011518256A (ja) * 2008-04-22 2011-06-23 ピコサン オーワイ 堆積反応炉のための装置および方法
JP2011522969A (ja) * 2008-06-12 2011-08-04 ベネク・オサケユキテュア Ald反応器の接続部の構成
JP2013151723A (ja) * 2012-01-25 2013-08-08 Tokyo Electron Ltd 処理装置及びプロセス状態の確認方法
JP2013189662A (ja) * 2012-03-12 2013-09-26 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置
JP2014210946A (ja) * 2013-04-17 2014-11-13 三井造船株式会社 原子層堆積装置
JP2016196687A (ja) * 2015-04-03 2016-11-24 株式会社リンテック 高沸点液体材料の微小液滴発生装置
WO2017104485A1 (ja) * 2015-12-18 2017-06-22 株式会社日立国際電気 貯留装置、気化器、基板処理装置および半導体装置の製造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7094671B2 (en) * 2004-03-22 2006-08-22 Infineon Technologies Ag Transistor with shallow germanium implantation region in channel
US8393294B2 (en) * 2004-06-02 2013-03-12 James B. Watson Live bacteria liquid product applicator and remote management system therefore
KR20060007325A (ko) * 2004-07-19 2006-01-24 삼성전자주식회사 플라즈마 유도 원자층 증착 기술을 이용한 유전막 형성 방법
US20060093746A1 (en) * 2004-11-04 2006-05-04 Tokyo Electron Limited Method and apparatus for atomic layer deposition
KR100712525B1 (ko) * 2005-08-16 2007-04-30 삼성전자주식회사 반도체 소자의 커패시터 및 그 제조방법
WO2008013665A2 (en) * 2006-07-21 2008-01-31 The Boc Group, Inc. Methods and apparatus for the vaporization and delivery of solution precursors for atomic layer deposition
KR20090017758A (ko) * 2007-08-16 2009-02-19 삼성전자주식회사 강유전체 커패시터의 형성 방법 및 이를 이용한 반도체장치의 제조 방법
US20090214782A1 (en) * 2008-02-21 2009-08-27 Forrest Stephen R Organic vapor jet printing system
KR102483924B1 (ko) * 2016-02-18 2023-01-02 삼성전자주식회사 기화기 및 이를 구비하는 박막 증착 장치
JP6978865B2 (ja) * 2017-07-05 2021-12-08 株式会社堀場エステック 流体制御装置、流体制御方法、及び、流体制御装置用プログラム
KR102281686B1 (ko) * 2018-11-05 2021-07-23 세메스 주식회사 약액 공급 장치 및 이의 제어 방법
JP2021031769A (ja) * 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5953634A (en) * 1995-02-13 1999-09-14 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
WO2001036702A1 (en) * 1999-11-18 2001-05-25 Genitech Co., Ltd. Method of vaporizing liquid sources and apparatus therefor
JP2002164345A (ja) * 2000-11-28 2002-06-07 Tokyo Electron Ltd 成膜方法
JP2002173777A (ja) * 2000-12-01 2002-06-21 C Bui Res:Kk Cvd装置の金属液体気化ユニット及び気化方法
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
JP2003297814A (ja) * 2002-04-01 2003-10-17 Nec Corp 薄膜形成方法および半導体装置の製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
FR2707671B1 (fr) * 1993-07-12 1995-09-15 Centre Nat Rech Scient Procédé et dispositif d'introduction de précurseurs dans une enceinte de dépôt chimique en phase vapeur.
US5451260A (en) * 1994-04-15 1995-09-19 Cornell Research Foundation, Inc. Method and apparatus for CVD using liquid delivery system with an ultrasonic nozzle
US6007330A (en) * 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
FR2800754B1 (fr) * 1999-11-08 2003-05-09 Joint Industrial Processors For Electronics Dispositif evaporateur d'une installation de depot chimique en phase vapeur

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5953634A (en) * 1995-02-13 1999-09-14 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
WO2001036702A1 (en) * 1999-11-18 2001-05-25 Genitech Co., Ltd. Method of vaporizing liquid sources and apparatus therefor
JP2002164345A (ja) * 2000-11-28 2002-06-07 Tokyo Electron Ltd 成膜方法
JP2002173777A (ja) * 2000-12-01 2002-06-21 C Bui Res:Kk Cvd装置の金属液体気化ユニット及び気化方法
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
JP2003297814A (ja) * 2002-04-01 2003-10-17 Nec Corp 薄膜形成方法および半導体装置の製造方法

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175408A (ja) * 2003-12-05 2005-06-30 Semiconductor Res Found 酸化・窒化絶縁薄膜の形成方法
JP2005347446A (ja) * 2004-06-02 2005-12-15 Nec Electronics Corp 気相成長装置、薄膜の形成方法、および半導体装置の製造方法
JP4502189B2 (ja) * 2004-06-02 2010-07-14 ルネサスエレクトロニクス株式会社 薄膜の形成方法および半導体装置の製造方法
US8304021B2 (en) 2004-06-02 2012-11-06 Renesas Electronics Corporation Vapor phase deposition apparatus, method for depositing thin film and method for manufacturing semiconductor device
JP2008508430A (ja) * 2004-08-04 2008-03-21 インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ Dcバイアスを利用したリモートプラズマ原子層蒸着装置及び方法
JP2006190788A (ja) * 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
JP4716737B2 (ja) * 2005-01-05 2011-07-06 株式会社日立国際電気 基板処理装置
WO2006100862A1 (ja) * 2005-03-22 2006-09-28 Tokyo Electron Limited 基板処理方法および記録媒体
JP2006269511A (ja) * 2005-03-22 2006-10-05 Tokyo Electron Ltd 基板処理方法および記録媒体
JP4727266B2 (ja) * 2005-03-22 2011-07-20 東京エレクトロン株式会社 基板処理方法および記録媒体
KR100887443B1 (ko) 2005-03-22 2009-03-10 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기록 매체
US7582571B2 (en) 2005-03-22 2009-09-01 Tokyo Electron Limited Substrate processing method and recording medium
JPWO2007097024A1 (ja) * 2006-02-27 2009-07-09 株式会社ユーテック 気化器、半導体製造装置及び半導体製造方法
WO2007097024A1 (ja) * 2006-02-27 2007-08-30 Youtec Co., Ltd. 気化器、半導体製造装置及び半導体製造方法
JP2008007826A (ja) * 2006-06-29 2008-01-17 Horiba Stec Co Ltd 成膜装置の噴射弁異常判断方法、気化器の噴射弁異常判断方法、成膜装置及び気化器
JP2010519701A (ja) * 2007-02-23 2010-06-03 ウニヴェルシタ デグリ ステュディ ディ ミラノ‐ビコッカ 材料を処理するための大気圧プラズマ処理方法
JP2011518256A (ja) * 2008-04-22 2011-06-23 ピコサン オーワイ 堆積反応炉のための装置および方法
JP2011522969A (ja) * 2008-06-12 2011-08-04 ベネク・オサケユキテュア Ald反応器の接続部の構成
JP2010003974A (ja) * 2008-06-23 2010-01-07 Stanley Electric Co Ltd 成膜装置および半導体素子の製造方法
JP2011082196A (ja) * 2009-10-02 2011-04-21 Hitachi Kokusai Electric Inc 気化器、基板処理装置及び半導体装置の製造方法
JP2013151723A (ja) * 2012-01-25 2013-08-08 Tokyo Electron Ltd 処理装置及びプロセス状態の確認方法
JP2013189662A (ja) * 2012-03-12 2013-09-26 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置
JP2014210946A (ja) * 2013-04-17 2014-11-13 三井造船株式会社 原子層堆積装置
JP2016196687A (ja) * 2015-04-03 2016-11-24 株式会社リンテック 高沸点液体材料の微小液滴発生装置
WO2017104485A1 (ja) * 2015-12-18 2017-06-22 株式会社日立国際電気 貯留装置、気化器、基板処理装置および半導体装置の製造方法
JPWO2017104485A1 (ja) * 2015-12-18 2018-10-18 株式会社Kokusai Electric 貯留装置、気化器、基板処理装置および半導体装置の製造方法

Also Published As

Publication number Publication date
WO2004040630A8 (ja) 2005-03-10
US20060035470A1 (en) 2006-02-16
JPWO2004040630A1 (ja) 2006-03-02
JP4427451B2 (ja) 2010-03-10

Similar Documents

Publication Publication Date Title
JP4427451B2 (ja) 基板処理装置
EP1844178B1 (en) High accuracy vapor generation and delivery for thin film deposition
US7628860B2 (en) Pulsed mass flow delivery system and method
US7625609B2 (en) Formation of silicon nitride film
US10366898B2 (en) Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
TWI358769B (en) Method and apparatus for forming silicon oxynitrid
KR20190034104A (ko) 기상 반응물을 반응 챔버로 디스펜싱하는 장치 및 이와 관련된 방법
US20090325386A1 (en) Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber
US8697578B2 (en) Film formation apparatus and method for using same
US8394454B2 (en) Method and apparatus for precursor delivery system for irradiation beam instruments
KR100636038B1 (ko) 가스 공급 장치 및 이를 갖는 막 형성 장치
JP2006045640A (ja) 薄膜形成方法及び薄膜形成装置
KR20080106544A (ko) 직접 액체 분사 장치
JP2006052424A (ja) 薄膜形成装置及び薄膜形成方法
US20090114156A1 (en) Film formation apparatus for semiconductor process
KR20210010831A (ko) 중간 챔버를 갖는 반도체 기상 에칭 장치
JP4080392B2 (ja) ガス化モニタ、ミストの検出方法、成膜方法、成膜装置
KR20150128417A (ko) 액상 전구체 공급장치
JP2014210946A (ja) 原子層堆積装置
US11359286B2 (en) Quartz crystal microbalance concentration monitor
CN219013998U (zh) 前驱物输送系统及前驱物供应封装
JP2005302822A (ja) 薄膜製造装置および薄膜製造方法
JP2001284336A (ja) 成膜装置及び成膜方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR US

WWE Wipo information: entry into national phase

Ref document number: 2004548036

Country of ref document: JP

CFP Corrected version of a pamphlet front page
CR1 Correction of entry in section i

Free format text: IN PCT GAZETTE 20/2004 UNDER (51) REPLACE "H01L 21/21" BY "H01L 21/31"

ENP Entry into the national phase

Ref document number: 2006035470

Country of ref document: US

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 10529466

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 10529466

Country of ref document: US