KR101485615B1 - 반응물 분배 장치 및 전달 방법 - Google Patents

반응물 분배 장치 및 전달 방법 Download PDF

Info

Publication number
KR101485615B1
KR101485615B1 KR1020097017954A KR20097017954A KR101485615B1 KR 101485615 B1 KR101485615 B1 KR 101485615B1 KR 1020097017954 A KR1020097017954 A KR 1020097017954A KR 20097017954 A KR20097017954 A KR 20097017954A KR 101485615 B1 KR101485615 B1 KR 101485615B1
Authority
KR
South Korea
Prior art keywords
wall member
carrier gas
reactant
upper wall
gaseous
Prior art date
Application number
KR1020097017954A
Other languages
English (en)
Other versions
KR20090125242A (ko
Inventor
로날드 에프. 스폰
데이비드 더블유. 피터즈
Original Assignee
프랙스에어 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 프랙스에어 테크놀로지, 인코포레이티드 filed Critical 프랙스에어 테크놀로지, 인코포레이티드
Publication of KR20090125242A publication Critical patent/KR20090125242A/ko
Application granted granted Critical
Publication of KR101485615B1 publication Critical patent/KR101485615B1/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C9/00Methods or apparatus for discharging liquefied or solidified gases from vessels not under pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • F16J15/06Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces
    • F16J15/08Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with exclusively metal packing
    • F16J15/0887Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with exclusively metal packing the sealing effect being obtained by elastic deformation of the packing
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • F16J15/06Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces
    • F16J15/10Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with non-metallic packing
    • F16J15/12Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with non-metallic packing with metal reinforcement or covering
    • F16J15/128Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with non-metallic packing with metal reinforcement or covering with metal covering
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C13/00Details of vessels or of the filling or discharging of vessels
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

본 발명은 기상 또는 액상 반응물 분배 장치로서, 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재(20), 측벽 부재(22) 및 하벽 부재(26)를 포함하는 용기(40)로서, 측벽 부재(22)는 상벽 부재(20)에 인접하여 내부 용기 구획으로 연장되는 돌기부(24)를 갖고, 상벽 부재(20)와 돌기부(24)는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는(30), 용기(40); 및 정렬되어 상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)과 접촉하는 금속 시일(10)을 포함하는 기상 또는 액상 반응물 분배 장치에 관한 것이다. 본 분배 장치는 반도체 물질 및 디바이스의 제조에서 물질의 증착을 위한 전구체와 같은 반응물을 분배하는 데 사용할 수 있다.
반응물, 용기, 금속 시일, 전구체, 증착

Description

반응물 분배 장치 및 전달 방법{REAGENT DISPENSING APPARATUS AND DELIVERY METHOD}
본 발명은 반도체 물질 및 디바이스의 제조에서 물질의 증착을 위한 전구체와 같은 기상 또는 액상 반응물을 분배하는 데 사용할 수 있는 기상 또는 액상 반응물 분배 장치에 관한 것이다.
반도체 및 제약 산업에 사용되는 고순도 화학 물질은 저장 시 그 순도를 유지하도록 특수 포장을 필요로 한다. 이는, 공기 및/또는 공기 중의 수분과 반응하는 화학 물질에 대해서 특히 유효하다. 이러한 고순도 화학 물질은 일반적으로 버블러(bubbler) 또는 앰풀(ampoule)과 같은 용기에 공급된다.
최신 화학 증착 및 원자층 증착 기구는 버블러 또는 앰풀을 사용하여 전구체 화학 물질을 증착 챔버로 전달한다. 이들 버블러 또는 앰풀은 운반 기체(carrier gas)를 고순도 액체 전구체 화학 물질의 용기에 통과시키고 운반 기체와 함께 전구체 증기를 증착 챔버로 운반함으로써 작동한다.
용기는 일반적으로 일체형(one-part) (즉, 상부 커버 또는 뚜껑을 베이스로부터 제거할 수 없음) 또는 이체형(two-part) (즉, 상부 커버 또는 뚜껑을 베이스로부터 제거할 수 있고 볼트로 베이스에 부착할 수 있음) 용기로서 제조된다. 일 체형 용기는 완전성이 높지만, 이체형 용기보다 세정이 어렵다. 상부 커버 또는 뚜껑을 베이스로부터 제거할 수 있기 때문에, 이체형 용기는 세정이 쉽지만 밀봉 및 재사용이 어렵다. 세정의 용이성은 일체형 용기로 달성할 수 있는 것 이상으로 이체형 용기의 재사용을 가능하게 한다. 용기의 재사용은 비용 최소화 및 환경적 고려 측면에서 중요하다.
집적회로의 크기가 작아짐에 따라 내부 성분 또는 특징부의 치수도 작아진다. 크기가 작아짐에 따라 불순물의 효과를 최소화하도록 더욱 순수한 화학 물질에 대한 필요성이 증가한다. 그러므로 제조업자는 고순도 화학 물질을 제조할 수 있어야할 뿐만 아니라 고순도를 유지시킬 용기에 화학 물질을 전달할 수 있어야 한다.
이러한 용기의 표준 구성 물질은 1990년대 후반에 정교한 석영 용기로부터 스테인리스강으로 변경되었다. 예를 들어 미국특허 제5,607,002호를 참조한다. 이러한 용기는 당업계에서 버블러 또는 앰풀로서 알려져 있고, 요즘에는 일상적으로 스테인리스강, 예컨대 316SS로 구성된다. 예를 들어 미국특허 제3,930,591호, 제6,029,717호 및 제7,077,388호를 참조한다.
또한, 대부분의 경우 전구체의 증기압을 증가시켜 운반 기체 중 화학 물질의 양을 증가시키기 위하여 특정 수단으로 앰풀을 가열할 필요가 있다. 앰풀 내 액체 전구체 화학 물질의 온도를 모니터하여 증기압을 제어하는 것이 중요하다.
액체 전구체 화학 물질이 화학 증착 또는 원자층 증착 사이클의 말엽에 변경될 수 있도록 앰풀 내 액체 전구체 화학 물질이 언제 거의 소진되는지를 아는 것이 또한 중요하다. 사이클 도중에 앰풀을 건조시켜야 한다면, 전체 웨이퍼 배치(batch)는 못쓰게 되고 수백만 달러의 잠재적인 손실을 초래한다. 그러므로 앰풀 내부에 액체 전구체 화학 물질을 가능한 한 적게 남겨 값비싼 액체 전구체 화학 물질의 낭비를 방지하는 것이 바람직하다. 화학 전구체의 비용이 증가함에 따라 화학 물질을 가능한 한 적게 낭비하는 것이 더욱 중요해진다.
이체형 고순도 화학 물질 용기를 상업적으로 수용하기 위해서는 더욱 신뢰성 있는 시일(seal)을 개발할 필요가 있다. 미국특허 제6,905,125호는 반도체 제조 장치로부터 유체의 누출을 방지하는 C-링 개스킷과 같은 금속 개스킷에 관한 것이다. 전자 산업용 고순도 화학 물질은 높은 진공을 견딜 수 있는 누출 방지(leak-tight) 용기를 필요로 한다.
세정이 쉽고, 전구체 화학 물질의 고순도를 유지할 수 있고, 장치에서 전구체 화학 물질의 사용을 증가시킬 수도 있고, 전구체 화학 물질의 낭비를 줄일 수 있는 이체형 기상 또는 액상 반응물 분배 장치를 제공하는 것이 당업계에서 바람직하다.
본 발명은 부분적으로는 기상 또는 액상 반응물 분배 장치로서,
충진 레벨까지 소스 화학 물질을 유지하고, 추가로 충진 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기로서, 측벽 부재는 상벽 부재에 인접하여 내부 용기 구획으로 연장되는 돌기부를 갖고, 상벽 부재와 측벽 부재는 서로 임의로 접촉하는 대향 평탄면을 갖는, 용기;
서로 임의로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단으로서, 상벽 부재와 돌기부는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는, 고정 수단; 및
정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면과 접촉하는 금속 시일로서, 상벽 부재와 돌기부의 경화된 대향 평탄면은 금속 시일의 경도보다 큰 경도를 갖는, 금속 시일
을 포함하는 기상 또는 액상 반응물 분배 장치에 관한 것이다.
또한, 본 발명은 부분적으로는 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
(a) 충진 레벨까지 소스 화학 물질을 유지하고, 추가로 충진 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기로서, 측벽 부재는 상벽 부재에 인접하여 내부 용기 구획으로 연장되는 돌기부를 갖고, 상벽 부재와 측벽 부재는 서로 임의로 접촉하는 대향 평탄면을 갖는, 용기;
서로 임의로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단으로서, 상벽 부재와 돌기부는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는, 고정 수단;
정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면과 접촉하는 금속 시일로서, 상벽 부재와 돌기부의 경화된 대향 평탄면은 금속 시일의 경도보다 큰 경도를 갖는, 금속 시일;
운반 기체 공급 유입구가 있는 상벽 부재의 한 부분으로서, 유입구를 통해 운반 기체가 충진 레벨 위의 내부 기체 공간으로 공급되어 소스 화학 물질의 증기를 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있는, 상벽 부재의 한 부분;
상벽 부재의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되는 운반 기체 공급 라인으로서, 운반 기체를 충진 레벨 위의 내부 기체 공간으로 전달하고, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
기상 반응물 출구가 있는 상벽 부재의 한 부분으로서, 출구를 통해 기상 반응물을 장치로부터 분배할 수 있는, 상벽 부재의 한 부분; 및
상벽 부재의 위쪽으로 그리고 외부로 기상 반응물 출구로부터 연장되는 기상 반응물 방출 라인으로서, 충진 레벨 위의 내부 기체 공간으로부터 기상 반응물을 제거하고, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는, 기상 반응물 방출 라인
을 포함하는 기상 반응물 분배 장치를 제공하는 단계;
(b) 주변 온도에서 소스 화학 물질을 기상 반응물 분배 장치에 첨가하는 단계;
(c) 소스 화학 물질을 기화시키기 충분한 온도까지 기상 반응물 분배 장치 내 소스 화학 물질을 가열하여 기상 반응물을 제공하는 단계;
(d) 운반 기체를 운반 기체 공급 라인을 통해 기상 반응물 분배 장치로 공급하는 단계;
(e) 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 기상 반응물 분배 장치로부터 인출하는 단계; 및
(f) 기상 반응물과 운반 기체를 증착 챔버에 공급하는 단계
를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것이다.
또한, 본 발명은 부분적으로는 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
(a) 충진 레벨까지 소스 화학 물질을 유지하고, 추가로 충진 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기로서, 측벽 부재는 상벽 부재에 인접하여 내부 용기 구획으로 연장되는 돌기부를 갖고, 상벽 부재와 측벽 부재는 서로 임의로 접촉하는 대향 평탄면을 갖는, 용기;
서로 임의로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단으로서, 상벽 부재와 돌기부는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는, 고정 수단;
정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면과 접촉하는 금속 시일로서, 상벽 부재와 돌기부의 경화된 대향 평탄면은 금속 시일의 경도보다 큰 경도를 갖는, 금속 시일;
내부 기체 공간을 통과하여 소스 화학 물질로 연장되는 버블러 튜브를 포함하는 운반 기체 공급 유입구가 있는 상벽 부재의 한 부분으로서, 버블러 튜브를 통해 운반 기체가 소스 화학 물질에 버블링되어 소스 화학 물질 증기의 적어도 일부를 운반 기체 중에 비말 동반하게 하여 충진 레벨 위의 내부 기체 공간으로 기상 반응물의 흐름을 생성할 수 있고, 버블러 튜브는 상벽 부재에 인접한 유입구 말단 및 하벽 부재에 인접한 출구 말단을 갖는, 상벽 부재의 한 부분;
상벽 부재의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되는 운반 기체 공급 라인으로서, 운반 기체를 소스 화학 물질로 전달하고, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
기상 반응물 출구가 있는 상벽 부재의 한 부분으로서, 출구를 통해 기상 반응물을 장치로부터 분배할 수 있는, 상벽 부재의 한 부분; 및
상벽 부재의 위쪽으로 그리고 외부로 기상 반응물 출구로부터 연장되는 기상 반응물 방출 라인으로서, 충진 레벨 위의 내부 기체 공간으로부터 기상 반응물을 제거하고, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는, 기상 반응물 방출 라인
을 포함하는 기상 반응물 분배 장치를 제공하는 단계;
(b) 주변 온도에서 소스 화학 물질을 기상 반응물 분배 장치에 첨가하는 단계;
(c) 소스 화학 물질을 기화시키기 충분한 온도까지 기상 반응물 분배 장치 내 소스 화학 물질을 가열하여 기상 반응물을 제공하는 단계;
(d) 운반 기체를 운반 기체 공급 라인 및 버블러 튜브를 통해 기상 반응물 분배 장치로 공급하는 단계;
(e) 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 기상 반응물 분배 장치로부터 인출하는 단계; 및
(f) 기상 반응물과 운반 기체를 증착 챔버에 공급하는 단계
를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것이다.
또한, 본 발명은 부분적으로는 C. 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
(a) 충진 레벨까지 소스 화학 물질을 유지하고, 추가로 충진 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기로서, 측벽 부재는 상벽 부재에 인접하여 내부 용기 구획으로 연장되는 돌기부를 갖고, 상벽 부재와 측벽 부재는 서로 임의로 접촉하는 대향 평탄면을 갖는, 용기;
서로 임의로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단으로서, 상벽 부재와 돌기부는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는, 고정 수단;
정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면과 접촉하는 금속 시일로서, 상벽 부재와 돌기부의 경화된 대향 평탄면은 금속 시일의 경도보다 큰 경도를 갖는, 금속 시일;
불활성 기체 공급 유입구가 있는 상벽 부재의 한 부분으로서, 유입구를 통해 불활성 기체가 충진 레벨 위의 내부 기체 공간으로 공급되어 충진 레벨 위의 내부 기체 공간을 가압하는, 상벽 부재의 한 부분;
상벽 부재의 위쪽으로 그리고 외부로 불활성 기체 공급 유입구로부터 연장되는 불활성 기체 공급 라인으로서, 불활성 기체를 충진 레벨 위의 내부 기체 공간으로 전달하고, 통과하는 불활성 기체의 흐름을 제어하기 위한 불활성 기체 흐름 제어 밸브를 포함하는, 불활성 기체 공급 라인;
액상 반응물 출구가 있는 상벽 부재의 한 부분으로서, 내부 기체 공간을 통과하여 소스 화학 물질로 연장되는 침지 튜브를 포함하여 액상 반응물을 장치로부터 분배할 수 있고, 침지 튜브는 상벽 부재에 인접한 출구 말단 및 하벽 부재에 인접한 유입구 말단을 갖는, 상벽 부재의 한 부분; 및
상벽 부재의 위쪽으로 그리고 외부로 액상 반응물 출구로부터 연장되는 액상 반응물 방출 라인으로서, 액상 반응물을 용기로부터 제거하고, 통과하는 액상 반응물의 흐름을 제어하기 위한 액상 반응물 흐름 제어 밸브를 포함하는, 액상 반응물 방출 라인
을 포함하는 액상 반응물 분배 장치를 제공하는 단계;
(b) 주변 온도에서 소스 화학 물질을 액상 반응물 분배 장치에 첨가하는 단계;
(c) 고체 소스 화학 물질을 용융시키기 충분한 온도까지 액상 반응물 분배 장치 내 고체 소스 화학 물질을 임의로 가열하여 액상 반응물을 제공하는 단계;
(d) 불활성 기체를 불활성 기체 공급 라인을 통해 액상 반응물 분배 장치로 공급하는 단계;
(e) 침지 튜브와 액상 반응물 방출 라인을 통해 액상 반응물을 액상 반응물 분배 장치로부터 인출하는 단계;
(f) 액상 반응물을 기화시키기 위해 내부 용기 구획을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기;
액상 반응물 분배 장치를 기화 장치에 연결하는 액상 반응물 방출 라인;
운반 기체 공급 유입구가 있는 기화 장치의 한 부분으로서, 유입구를 통해 운반 기체가 기화 장치에 공급되어 액상 반응물의 증기를 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성하는, 기화 장치의 한 부분;
기상 반응물 출구가 있는 기화 장치의 한 부분으로서, 출구를 통해 기상 반응물을 기화 장치로부터 분배할 수 있는, 기화 장치의 한 부분;
기화 장치의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되는 운반 기체 공급 라인으로서, 운반 기체를 기화 장치에 전달하고, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
기화 장치의 위쪽으로 그리고 외부로 기상 반응물 출구로부터 연장되는 기상 반응물 방출 라인으로서, 기상 반응물을 기화 장치로부터 증착 챔버로 이동시키고, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는, 기상 반응물 방출 라인
을 포함하는 기화 장치를 제공하는 단계;
(g) 액상 반응물을 기화 장치에 공급하는 단계;
(h) 액상 반응물을 기화시키기 충분한 온도까지 기화 장치 내 액상 반응물을 가열하여 기상 반응물을 제공하는 단계;
(i) 운반 기체를 운반 기체 공급 라인을 통해 기화 장치에 공급하는 단계;
(j) 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 기화 장치로부터 인출하는 단계; 및
(k) 기상 반응물과 운반 기체를 증착 챔버에 공급하는 단계
를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것이다.
본 발명의 기상 반응물 분배 장치 또는 조립체는, 예를 들어 공급 용기로부터의 기상 반응물이 화학 증착 챔버로 전달되어 소스 증기로부터 화학 증착 챔버 내 기판상에 물질층을 증착시키는 화학 증착 시스템을 포함하는 매우 다양한 공정 시스템에 사용할 수도 있다.
본 발명의 기상 또는 액상 반응물 분배 장치 또는 조립체는 제거가능한 상벽 부재로 인해 세정이 쉽고, 액체 전구체 화학 물질의 순도를 유지하고, 액체 또는 고체 전구체 화학 물질의 사용률을 증가시켜 낭비를 줄인다.
본 발명의 다른 양상, 특징 및 실시양태는 다음의 내용 및 첨부한 청구범위로부터 더욱 명백해진다.
도 1은 일부 단면을 도시한 기상 반응물 분배 장치의 개략적인 도면이다.
도 2는 일부 단면을 도시한 기상 반응물 분배 장치의 개략적인 도면이다.
도 3은 일부 단면을 도시한 액상 반응물 분배 장치의 개략적인 도면이다.
도 4는 단면을 도시한 두 개의 금속 시일의 도면이다.
도 5는 단면을 도시한 기상 또는 액상 반응물 분배 장치의 한 부분의 개략적인 도면이다.
도 6은 단면을 도시한 금속 시일의 도면이다.
도 7은 단일 델타 금속 시일로부터 손상된 앰풀의 돌기부 표면의 사진이다. 돌기부 표면은 경화되지 않았다.
도 8은 두 개의 델타 금속 시일로부터 손상된 앰풀의 돌기부 표면의 사진이다. 돌기부 표면은 경화되지 않았다.
도 9는 정면도 및 부분 단면도로 도시한 기상 반응물 분배 장치를 포함하는 화학 증착 시스템의 개략적인 도면이다.
도 10은 정면도 및 부분 단면도로 도시한 기상 반응물 분배 장치를 포함하는 화학 증착 시스템의 개략적인 도면이다.
도 11은 정면도 및 부분 단면도로 도시한 액상 반응물 분배 장치를 포함하는 화학 증착 시스템의 개략적인 도면이다.
상술한 바와 같이, 본 발명은 부분적으로 기상 또는 액상 반응물 분배 장치 에 관한 것이다. 도 1, 2 및 3을 참조하면, 예시적인 기상 또는 액상 반응물 분배 장치는
충진 레벨(42)까지 소스 화학 물질(44)을 유지하고, 추가로 충진 레벨(42) 위의 내부 기체 공간(46)을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재(20), 측벽 부재(22) 및 하벽 부재(26)를 포함하는 용기(40);
서로 임의로 접촉하는 대향 평탄면을 통해 상벽 부재(20)를 측벽 부재(22)에 고정하기 위한 고정 수단(28); 및
정렬되어 상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)과 접촉하는 금속 시일(10)을 포함하며;
측벽 부재(22)는 상벽 부재(20)에 인접하여 내부 용기 구획으로 연장되는 돌기부(24)를 갖고;
상벽 부재(20)와 측벽 부재(22)는 서로 임의로 접촉하는 대향 평탄면을 갖고;
상벽 부재(20)와 돌기부(24)는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있고(30);
상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)은 금속 시일(10)의 경도보다 큰 경도를 갖는다.
도 1을 참조하면, 기상 반응물 분배 장치는, 운반 기체 공급 유입구(52)가 있는 상벽 부재(20)의 한 부분과, 기상 반응물 출구(54)가 있는 상벽 부재(20)의 한 부분을 더 포함하고, 유입구를 통해 충진 레벨(42) 위의 내부 기체 공간(46)으 로 운반 기체가 공급되어 소스 화학 물질(44)의 증기를 운반 기체에 비말 동반하게 하여 기상 반응물을 생성할 수 있고, 출구를 통해 장치로부터 기상 반응물을 분배할 수 있다.
도 1에 도시한 바와 같이, 기상 반응물 분배 장치는, 상벽 부재(20)의 위쪽으로 그리고 외부로 운반 기체 공급 유입구(52)로부터 연장되어 운반 기체를 충진 레벨(42) 위의 내부 기체 공간(46)으로 전달하기 위한 운반 기체 공급 라인(62)과, 상벽 부재(20)의 위쪽으로 그리고 외부로 기상 반응물 출구(54)로부터 연장되어 충진 레벨(42) 위의 내부 기체 공간(46)으로부터 기상 반응물을 제거하기 위한 기상 반응물 방출 라인(64)을 더 포함하고, 운반 기체 공급 라인(62)은 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브(56)를 구비하고, 기상 반응물 방출 라인(64)은 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브(58)를 구비한다.
도 2를 참조하면, 기상 반응물 분배 장치는, 내부 기체 공간(46)을 통과하여 소스 화학 물질(44)로 연장되는 버블러 튜브(72)를 포함하는 운반 기체 공급 유입구가 있는 상벽 부재(20)의 한 부분과, 기상 반응물 출구(54)가 있는 상벽 부재(20)의 한 부분을 더 포함하고, 버블러 튜브를 통해 운반 기체가 소스 화학 물질(44)에 버블링되어 소스 화학 물질 증기의 적어도 일부를 운반 기체에 비말 동반하게 하여 충진 레벨(42) 위의 내부 기체 공간(46)으로 기상 반응물의 흐름을 생성할 수 있고, 버블러 튜브(72)는 상벽 부재(20)에 인접한 유입구 말단 및 하벽 부재(26)에 인접한 출구 말단을 갖고, 출구를 통해 장치로부터 기상 반응물을 분배할 수 있다.
도 2에 도시한 바와 같이, 기상 반응물 분배 장치는, 상벽 부재(20)의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되어 운반 기체를 소스 화학 물질(44)에 전달하기 위한 운반 기체 공급 라인(62)과, 상벽 부재(20)의 위쪽으로 그리고 외부로 기상 반응물 출구(54)로부터 연장되어 충진 레벨(42) 위의 내부 기체 공간(46)으로부터 기상 반응물을 제거하기 위한 기상 반응물 방출 라인(64)을 더 포함하고, 운반 기체 공급 라인은 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브(56)를 구비하고, 기상 반응물 방출 라인(64)은 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브(58)를 구비한다.
도 3을 참조하면, 액상 반응물 분배 장치는, 불활성 기체 공급 유입구(52)가 있는 상벽 부재(20)의 한 부분과, 내부 기체 공간(46)을 통과하여 소스 화학 물질(44)로 연장되는 침지 튜브(diptube)(74)를 포함하는 액상 반응물 출구가 있는 상벽 부재(20)의 한 부분을 더 포함하고, 유입구를 통해 충진 레벨(42) 위의 내부 기체 공간(46)으로 불활성 기체가 공급되어 충진 레벨(42) 위의 내부 기체 공간에 불활성 기체를 가압할 수 있고, 출구를 통해 장치로부터 액상 반응물을 분배할 수 있고, 침지 튜브(74)는 상벽 부재(20)에 인접한 출구 말단 및 하벽 부재(26)에 인접한 유입구 말단을 갖는다.
도 3에 도시한 바와 같이, 액상 반응물 분배 장치는, 상벽 부재(20)의 위쪽으로 그리고 외부로 불활성 기체 공급 유입구(52)로부터 연장되어 불활성 기체를 충진 레벨(42) 위의 내부 기체 공간(46)으로 전달하기 위한 불활성 기체 공급 라 인(62)과, 상벽 부재(20)의 위쪽으로 그리고 외부로 액상 반응물 출구로부터 연장되어 용기(40)로부터 액상 반응물을 제거하기 위한 액상 반응물 방출 라인(63)을 더 포함하고, 불활성 기체 공급 라인(62)은 통과하는 불활성 기체의 흐름을 제어하기 위한 불활성 기체 흐름 제어 밸브(56)를 구비하고, 액상 반응물 방출 라인(63)은 통과하는 액상 반응물의 흐름을 제어하기 위한 액상 반응물 흐름 제어 밸브(57)를 구비한다.
용기 또는 앰풀은 일반적으로 스테인리스강, 예컨대 316L로 제조하고, 전구체 액체 또는 고체 소스 화학 물질의 오염을 방지하도록 전해 연마한다. 커버 또는 상벽 부재는 세정 및 재사용을 용이하게 하도록 제거가능하다. 용기는 원통형 측벽 부재 또는 비원통형 측벽 부재를 포함할 수 있다. 금속 시일은 정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면에 접촉하여 용기 또는 앰풀에 누출 방지 시일을 제공한다. 금속 시일, 예컨대 외부 금속 재킷에 사용된 금속 물질은 용기 또는 앰풀처럼 316L 스테인리스강이 바람직하다.
금속 시일 또는 개스킷은 바람직하게는, 외부 원주 개구부가 있는 단면을 갖고, 측면으로 C 형상 또는 U 형상으로 형성된 환형 시일을 포함한다. 도 4 및 6을 참조하면, 금속 시일(10)은 바람직하게는 외부 금속 재킷(16), 내부 엘라스토머 물질 또는 스프링(12) 및 외부 금속 재킷(16)과 내부 엘라스토머 물질 또는 스프링(12) 사이에 배치된 라이너(14)를 포함한다. 금속 시일은 낮은 클램핑 압력으로도 밀봉할 수 있다. 금속 시일은 일반적으로는 1회용 시일이지만 재사용가능할 수도 있다. 금속 시일 또는 개스킷은 용기의 밀봉에 필요한 고정력(tightening force)을 줄일 수 있다.
도 4, 5 및 6을 참조하면, 외부 금속 재킷(16)은 바람직하게는, 상부 외면에 환형으로 형성되고, 상벽 부재(20)의 경화된 평탄면(30)에 접하는 돌출부(18)와, 하부 외면에 환형으로 형성되고, 돌기부(24)의 경화된 평탄면(30)에 접하는 돌출부(18)를 포함한다. 상벽 부재(20)와 돌기부(24) 둘 다의 평탄면(30)상의 경화된 대향 면들은 용기의 사용 수명을 연장하고, 더욱 빈틈이 없는 밀봉을 가능하게 한다.
스테인리스강, 인코넬 등과 같은 금속 물질과, 니켈 등과 같은 연질 금속을 금속 물질의 표면상에 도금 또는 증착함으로써 얻은 물질이 금속 재킷에 사용되는 금속 물질로서 일반적으로 사용될 수 있다. 그러나 금속 시일을 반도체 응용에 사용하는 경우, 316L 스테인리스강과 같은 단일 내식성 오스테나이트 스테인리스강 물질과, 스테인리스강으로 제조한 2회 또는 3회 진공 용융된 물질, 예컨대 오염을 야기하는 화학 성분을 제거하기 위해 진공에서 2회 또는 3회 용융 및 제련된 물질을 사용하는 것이 바람직하다.
외부 금속 재킷(16)은 바람직하게는 스테인리스강, 즉 용기의 구성에 사용되는 동일한 물질로 제조한다. 기타 예시적인 시일의 예로는 비톤(Viton, 등록상표)으로 제조한 1회용 또는 재사용가능한 엘라스토머 시일, 혹은 테플론(Teflon, 등록상표)으로 제조한 1회용 또는 재사용가능한 경질 시일이 있다. 헬륨 누출 테스트로 측정한 바와 같이, 몇몇 물질은 누출 방지 시일을 형성하는 반면, 본 발명에 유용한 시일은 수분 불침투성이고, 따라서 수분 민감성 물질의 열화를 야기하지 않는 다.
금속 시일에 유용한 예시적인 엘라스토머 물질의 예로는 (1) 고무, 예컨대 천연 고무, 에틸렌 프로필렌 고무, 에틸렌 프로필렌 디엔 고무, 아크릴로니트릴-부타디엔 고무, 수소화 아크릴로니트릴-부타디엔 고무, 스티렌-부타디엔 고무, 실리콘 고무, 클로로프렌 고무, 클로로술폰화 폴리에틸렌 고무, 플루오르화 고무, 플루오르화 실리콘 고무, 아크릴 고무 및 에틸렌 아크릴 고무 또는 그의 가교 고무, 및 (2) 열가소성 엘라스토머, 예컨대 폴리스티렌계의 열가소성 엘라스토머, 폴리올레핀계의 열가소성 엘라스토머, 폴리염화비닐계의 열가소성 엘라스토머 및 폴리에스테르계의 열가소성 엘라스토머가 있다. 예를 들어 미국특허 6,357,759 B1을 참조한다.
본 발명의 금속 시일은 외부 스테인리스강, 예컨대 316 스테인리스강 혹은 내부 엘라스토머 물질 또는 스프링을 둘러싼 니켈 재킷으로 구성할 수 있다. 도 4 및 6에서 단면도로 도시한 바와 같이, 일반적인 시일은 "C" 형상을 갖고, C의 개구부가 밖으로 향한다. 비-델타(non-delta) 시일(즉, 돌출부가 없는 매끄러운 원형 금속 재킷을 구비한 시일)의 압축은 상벽 부재와 돌기부의 대향 평탄면 둘 다에 스프링 형상의 텔레그래핑(telegraphing)을 야기할 수 있다. 스테인리스강 재킷으로부터의 델타 시일(즉, 금속 재킷의 상부 및 하부에 돌출부가 있는 시일)의 압축은 델타 또는 돌출부로부터 상벽 부재와 돌기부의 경화되지 않은 대향 평탄면에 날카로운 라인을 부과할 수 있다. 도 7 및 8을 참조하면, 상벽 부재와 돌기부의 대향 평탄면을 경화시키는 처리를 하지 않고 앰풀에 사용된 경우의 스테인리스강 델타 C-링으로부터 받은 손상을 도시한다.
본 발명에 따르면, 금속 시일의 크기가 충분히 커서 라이너를 부가하여 금속 표면에 대한 스프링의 텔레그래핑을 방지할 수 있다. 금속 시일에 사용할 수 있는 예시적인 라이너의 예로는 인코넬, 스테인리스강 합금 등으로 제조한 라이너가 있다. 라이너는 금속 시일에 스티프니스를 제공한다. 상벽 부재와 돌기부의 대향 평탄면에 대한 손상을 더욱 줄이기 위하여, 표면에 다이아몬드 버니싱을 적용하여 표면을 매끄럽고 단단하게 만들 수 있다.
본 발명에 유용한 금속 시일의 치수는 폭넓게 변경할 수 있다. 이러한 치수로 인해 앰풀의 상벽 부재는 최적의 시일 압축 시 측벽 부재상에 직각으로 그리고 완전하게 접하도록 위치할 수 있고, 동시에 상벽 부재와 돌기부의 경화된 표면 사이에 누출 방지 시일을 형성할 수 있다.
본 발명에 유용한 바람직한 시일은 금속으로 제조하고, 일반적으로는 재사용가능하지 않다. 갈록 인더스트리즈(Garlock Industries)는 본 발명에 유용한 C-링 시일을 제조한다. 도 4 및 6을 참조한다. 본 발명에 유용한 바람직한 시일은 내부 스프링을 둘러싼 외부 316 스테인리스강 재킷으로 구성한다. 단면도에서 시일은 "C" 형상을 갖고, C의 개구부가 밖으로 향한다. 앰풀 또는 용기는 스테인리스강, 예컨대 316 스테인리스강으로 제조하므로, 이는 고순도 화학 물질과 비-316 스테인리스강 시일의 상호작용으로 생성된 임의의 잠재적인 미량 금속 오염을 최소화하는 바람직한 구성 물질이다. 비-스테인리스강 시일과 앰풀 또는 용기 간의 갈바닉 부식을 또한 고려할 수 있다.
도 4 및 6에 도시한 바와 같이, 매우 높은 진공 응용을 위하여, 금속 시일(10)은 돌출부(18), 예컨대 델타를 시일의 상부 및 하부 표면에 포함할 수 있다. 이는, 돌기부(24)의 좁은 리지(ridge)를 따라 시일을 집중시키고, 상벽 부재(20)와 돌기부(24)의 경화된 영역(30)에 밀봉력(seal force)을 집중시킨다.
316 스테인리스강 델타 시일의 압축은 비경화 표면상에 빈틈없는 밀봉을 발생시킬 수 있다. 불행하게도, 이는 비경화 표면으로 침투한 델타로 인해 비경화 상벽 부재와 돌기 부재에 날카로운 홈을 또한 부과할 수 있고, 따라서 용기 또는 앰풀의 사용 수명을 줄인다.
본 발명의 이체형 용기(앰풀)는 상벽 부재와 상벽 부재에 인접한 내부 용기 구획으로 연장되는 돌기부의 경화된 대향 평탄면을 갖는다. 한 예시적인 경화 방법은 상벽 부재와 돌기부의 대향 면에 대한 버니싱을 포함한다. 또 다른 예시적인 경화 방법은 상벽 부재와 돌기부의 대향 평탄면에 대한 스텔라이트 용접을 포함한다. 금속 시일로부터 상벽 부재와 돌기부의 대향 평탄면으로의 손상은 이러한 경화 방법을 통해 방지할 수 있다. 경화된 대향 면은 용기의 사용 수명 연장을 촉진하고, 더욱 빈틈없는 밀봉을 가능하게 한다.
상벽 부재와 돌기부의 경화된 대향 평탄면은 대향 평탄 밀봉 표면을 버니싱함으로써 형성할 수 있다. 상벽 부재와 돌기부의 경화된 대향 평탄면은 경화 물질을 대향 평탄면에 포함함으로써 또한 형성할 수 있다. 예시적인 경화 물질은 스텔라이트를 포함한다.
본 발명에 따르면, 상벽 부재와 돌기부 둘 다의 밀봉 표면은 경화된다. 경 화는 여러 방식으로 이룰 수 있다. 예시로서, 밀봉 표면은 다이아몬드 팁 공구로 버니싱 또는 연마할 수 있다. 이는, 초기 값의 1 내지 200%, 바람직하게는 30 내지 70% 경도를 높일 수 있다.
또 다른 예시적인 경화 기법은 용접가능한 경질 공구 등급 금속인 스텔라이트 4®를 도 5에 도시한 바와 같이 상벽 부재와 돌기부의 대향 표면에 도입하는 것을 포함한다. 스텔라이트®의 비드(bead)(록크웰 경도 C=48, 수치가 높을수록 더 단단한 표면을 나타냄)가 상벽 부재와 돌기부 둘 다의 대향 표면에 용접된 다음 원하는 매끄러운 표면을 제공하도록 연마될 수 있다. 델타 시일과 함께 어느 하나의 방법을 이용하면 밀봉 표면에 손상이 없는 누출 방지 재사용가능한 용기가 될 수 있다.
고정 수단은 서로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하는 데 사용한다. 적절한 고정 수단의 예로는 볼트가 있다. 상벽 부재를 측벽 부재에 고정하는 데 필요한 볼트의 수는 엄밀하게 중요한 것은 아니고, 약 2개 내지 약 20개 볼트, 바람직하게는 약 4개 내지 약 16개 볼트, 더욱 바람직하게는 약 8개 내지 약 12개 볼트의 범위일 수 있다. 볼트는 9×10-9 ㎤/초 미만의 헬륨 누출률을 제공할 정도로 충분히 조이는데, 일반적으로는 약 0.1 Nm/piece의 조임 토크이다. 본 발명에 사용한 금속 시일은 낮은 클램핑 압력을 사용하더라도 충분한 밀봉 특성을 얻도록 구성한다.
용기의 밀봉(및 재밀봉) 능력은 헬륨 누출률로 결정한다. 9×10-9 atm-cc/초(㎤/초) 미만의 헬륨 누출률을 갖는 용기가 매우 바람직하고, 6×10-9 atm-cc/초(㎤/초) 미만이 바람직하고, 3×10-9 atm-cc/초(㎤/초) 미만이 더욱 바람직하다. 이 값은 일체형 용기에서는 달성하기 쉬운 반면, 베이스로부터 커버를 제거할 수 있는 이체형 용기에서는 달성하기 어렵다고 판명되었다. 이체형 용기는 더욱 세정하기 쉬운데, 이는 고순도 화학 물질을 일관적으로 전달하기 위하여 중요하다. 본 명세서에서 설명하는 바와 같이, 금속 시일과, 상벽 부재와 돌기부의 경화된 대향 평탄면 둘 다의 설계 및 구성은 성공적인 용기를 위하여 중요하다.
앰풀은 화학 물질을 최종 사용자 장비로 전달하게 하는 유입구와 출구 밸브를 포함한다. 임의적 앰풀 장비는 충진 포트 및 소스 화학 물질 레벨 센서를 포함하여 언제 앰풀이 거의 비게 되는지를 판정한다. 용기에 있는 물질은 낮은 증기압 화학 물질의 경우에는 진공하에서 또는 증기를 운반하는 불활성 기체를 사용하여 전달된다. 대안으로, 물질은 최종 사용자 장비에 침지 튜브를 통해 액체로서 전달될 수도 있고, 필요에 따라 기화 또는 분배될 수 있다.
온도 센서는 균일한 열 전도를 보증하도록 바람직하게는 앰풀에 포함된다. 소스 화학 물질 레벨 센서는 소스 화학 물질의 효과적인 사용을 보증하도록 바람직하게는 앰풀에 포함된다. 밸브 및 소스 화학 물질 레벨 센서는 면 시일 연결부를 통해 부착되어 깨끗한 누출 방지 시일을 보증한다. 클린룸에서 조립되면, 앰풀은 흡착된 물을 제거하도록 컨디셔닝되고, 헬륨 누출 검출기로 누출을 검사한다. 앰 풀은 수 토르부터 주변압보다 약간 높은 압력에서 사용하도록 설계한다.
본 발명의 한 실시양태에서, 온도 센서는 용기의 상단 외부로부터 상벽 부재의 한 부분을 통해 일반적으로 수직 아래쪽으로 용기의 내부 공간으로 연장되고, 온도 센서의 하단은 하벽의 표면에 충돌하지 않도록 근접하게 위치한다. 소스 화학 물질 레벨 센서는 용기의 상단 외부로부터 상벽 부재의 한 부분을 통해 일반적으로 수직 아래쪽으로 용기의 내부 공간으로 연장되고, 소스 화학 물질 레벨 센서의 하단은 하벽의 표면에 충돌하지 않도록 근접하게 위치한다. 온도 센서는 용기에 동작가능하게 배치되어 용기 내 소스 화학 물질의 온도를 판정하고, 소스 화학 물질 레벨 센서는 용기에 동작가능하게 배치되어 용기 내 소스 화학 물질의 레벨을 판정하고, 온도 센서 및 소스 화학 물질 레벨 센서는 용기 내에서 서로 충돌하지 않도록 근접하게 위치하고, 온도 센서의 하단은 소스 화학 물질 레벨 센서의 하단에 관하여 용기의 표면에 동일하게 또는 더욱 근접하게 위치하고, 온도 센서 및 소스 화학 물질 레벨 센서는 용기 내 소스 화학 물질 흐름을 소통한다. 소스 화학 물질 레벨 센서는 초음파 센서, 광 센서, 용량 센서 및 플로트 타입 센서로부터 선택하고, 온도 센서는 보호관 및 열전쌍을 포함한다.
본 발명의 한 실시양태에서, 하벽 부재는 온도 센서, 소스 화학 물질 레벨 센서, 침지 튜브 및/또는 버블러 튜브의 하단이 배치될 수도 있는 섬프 캐비티를 제공한다. 이와 같은 구성으로 인해 최초에 공급된 액체 또는 고체 소스 화학 물질 부피의 높은 비율, 예컨대 95% 이상, 바람직하게는 98% 이상을 소스 화학 물질을 선택적으로 분배하는 응용에 사용할 수 있다. 이 구성은 소스 화학 물질 공급 및 분배 시스템과 분배된 화학 물질을 이용하는 공정의 경제성을 또한 개선할 수 있다.
본 발명은 소스 화학 물질 레벨 센서가 내용물의 한도를 신호로 알려주는 경우 반도체 전구체 화학 물질의 최소량이 앰풀 또는 버블러에 남아있게 하는 것을 가능하게 한다. 이는 반도체 전구체의 복잡도와 비용이 상승함에 따라 매우 중요하다. 비용을 최소화하기 위하여, 반도체 제조업자는 전구체를 가능한 한 적게 낭비하기를 원한다. 또한, 본 발명은 온도 센서를 소스 화학 물질 레벨 센서와 동일하게 오목한 섬프 캐비티에 배치한다. 이는, 소스 화학 물질 레벨 센서가 전구체가 존재한다는 점을 나타내기만 하면 소스 화학 물질 반도체 전구체의 실제 온도를 판독한다는 점을 보증한다. 이는 안전 관점에서 중요하다. 온도 센서가 반도체 전구체의 외부에 있다면 잘못된 낮은 온도 신호를 가열 장치에 송신한다. 이는, 반도체 전구체의 불안전한 상태와 분해를 야기할 수 있는 과도한 열을 앰풀에 적용할 수 있다.
다시, 용기 또는 앰풀을 참조하면, 용기는 소스 화학 물질 레벨 센서를 구비할 수 있고, 소스 화학 물질 레벨 센서는 용기의 상부 밖으로부터 아래쪽으로 용기의 상벽 부재 중심에 위치하지 않은 한 부분을 통해 하벽 부재상의 중심에 위치하지 않은 하단으로 연장되어 용기의 섬프 캐비티의 표면에 근접하고, 소스 화학 물질 반응물이 용기에 포함되어 있는 경우 소스 화학 물질 반응물의 적어도 95%의 사용을 가능하게 한다. 소스 화학 물질 레벨 센서의 상부는 소스 화학 물질 레벨 감지 신호 전송 라인을 통해 중앙 처리 유닛에 연결하여 시스템의 동작 동안 감지된 소스 화학 물질 레벨 신호를 소스 화학 물질 레벨 센서로부터 중앙 처리 유닛으로 전송할 수도 있다.
유사한 방식으로, 용기는 온도 센서, 즉 보호관 및 열전쌍을 구비할 수 있고, 온도 센서는 용기의 상부 밖으로부터 아래쪽으로 용기의 상벽 부재의 중심에 위치한 한 부분을 통해 하벽 부재상의 중심에 위치한 하단으로 연장되어 용기의 섬프 캐비티의 표면에 근접한다. 온도 센서의 상부는 온도 감지 신호 전송 라인을 통해 중앙 처리 유닛에 연결하여 시스템의 동작 동안 감지된 온도 신호를 온도 센서로부터 중앙 처리 유닛으로 전송할 수도 있다.
적당한 마이크로프로세서, 컴퓨터 또는 다른 적당한 제어 수단을 포함할 수 있는 중앙 처리 유닛은 제어 신호 전송 라인을 통해 흐름 제어 밸브에 또한 연결할 수도 있어 (예컨대, 적당한 밸브 구동 소자를 통해) 흐름 제어 밸브를 선택적으로 조정하고 운반 가스의 용기로의 흐름을 제어한다. 중앙 처리 유닛은 제어 신호 전송 라인을 통해 제2 흐름 제어 밸브에 또한 연결할 수도 있어 (예컨대, 적당한 밸브 구동 소자를 통해) 흐름 제어 밸브를 선택적으로 조정하고 증기 또는 액상 반응물의 용기로부터의 방출을 제어한다. 본 발명의 목적상, 흐름 제어 밸브는 분리 밸브, 계량 밸브 등을 포함한다.
이체형 앰풀이 제공하는 용이한 세정 외에, 본 발명으로 인해 반도체 제조업자는 앰풀의 교체 전에 최대량의 전구체를 사용하면서 매우 적게 낭비한다. 이는 반도체 전구체 및 특정 응용의 투자에 대한 낭비를 최소화하고 수익을 최대화한다.
도 1, 2 및 3에 도시한 바와 같이, 일반적인 이체형 앰풀은 약 5 내지 6인치 직경 및 5 내지 7인치 높이의 용기 또는 원통으로 구성하고, 316 스테인리스강(316SS)으로 구성한다. 상벽 부재는 약 ½인치 두께이고, 8 내지 12개 볼트로 측벽 부재에 부착한다. 금속 시일 영역은 도 5에 확대되어 있다. 앰풀은 이덕터(또는 침지) 튜브를 설치할 수도 있고 설치하지 않을 수도 있다. 충진 포트를 또한 포함할 수도 있다. 한 밸브는 생성물을 출구 밸브 밖으로 휩쓸어 가는 불활성 기체를 위한 유입구로서 사용할 수도 있다. 앰풀은 버블러 튜브를 또한 포함할 수도 있다. 버블러 튜브는 생성물을 통해 불활성 기체를 버블링하여 물질을 증기로서 전달하는 것을 돕는 데 사용할 수 있다.
본 발명의 한 실시양태에서, 상벽 부재의 모든 힘은 금속 시일로 향할 수 있다. 즉, 상벽 부재와 측벽 부재는 접촉하지 않을 수도 있다. 그러나 이러한 구성에서는 상벽 부재가 C-링과 앰풀 사이의 정교한 시일을 파손할 정도로 부딪히고 이동할 가능성이 있다. 바람직하게는, 상벽 부재와 측벽 부재는 볼트를 죔으로써 짝이 되어, 시일은 시일로서만 작용하고, 상벽 부재와 측벽 부재 사이의 이동 방지에 관여하지 않는다.
본 발명에 유용한 예시적인 소스 화학 물질은 넓은 범위에서 변경할 수 있고, 예를 들어 루테늄, 하프늄, 탄탈, 몰리브덴, 백금, 금, 티타늄, 납, 팔라듐, 지르코늄, 비스무트, 스트론튬, 바륨, 칼슘, 안티몬 및 탈륨으로부터 선택된 금속을 위한 액체 또는 고체 전구체, 혹은 규소 및 게르마늄으로부터 선택된 반금속을 위한 전구체를 포함할 수 있다. 바람직한 유기 금속 전구체 화합물은 루테늄-함유, 하프늄-함유, 탄탈-함유 및/또는 몰리브덴-함유 유기 금속 전구체 화합물을 포 함한다.
승화하는 고체 소스 화학 물질 및 가열 시 용융되는 고체 소스 화학 물질이 본 발명에 사용할 수 있다. 예를 들어, 승화하는 고체 소스 화학 물질은 도 1 및 2에 도시한 기상 반응물 분배 장치에 사용할 수 있다. 가열 시 용융되는 고체 소스 화학 물질은 도 1 및 2에 도시한 기상 반응물 분배 장치 및 도 3에 도시한 액상 반응물 분배 장치에 사용할 수 있다. 마찬가지로, 액체 소스 화학 물질은 도 1 및 2에 도시한 기상 반응물 분배 장치 및 도 3에 도시한 액상 반응물 분배 장치에 사용할 수 있다. 승화하는 고체 소스 화학 물질을 사용하는 경우, 먼지 봉입 장비를 사용할 필요가 있을 수도 있다.
본 발명에 유용한 예시적인 기상 또는 액상 반응물은 넓은 범위에서 변경할 수 있고, 예를 들어 루테늄, 하프늄, 탄탈, 몰리브덴, 백금, 금, 티타늄, 납, 팔라듐, 지르코늄, 비스무트, 스트론튬, 바륨, 칼슘, 안티몬 및 탈륨으로부터 선택된 금속을 위한 전구체, 혹은 규소 및 게르마늄으로부터 선택된 반금속을 위한 전구체를 포함할 수 있다. 바람직한 유기 금속 전구체 화합물은 루테늄-함유, 하프늄-함유, 탄탈-함유 및/또는 몰리브덴-함유 유기 금속 전구체 화합물을 포함한다.
정면도 및 부분 단면도로 도시한 기상 반응물 분배 장치를 포함하는 화학 증착 시스템의 개략적인 도면을 도시하는 도 9를 참조하면, 기상 반응물 분배 장치는, 상벽 부재(20), 예를 들어 정사각형 또는 기타 비원형 단면의 동봉형 측벽 구조를 한정하는 원통 벽 또는 벽 세그먼트를 포함할 수도 있는 측벽 부재(22) 및 하벽 부재(26)를 구비하는 용기(40)를 포함한다. 상벽 부재(20), 측벽 부재(22) 및 하벽 부재(26)는 동봉형 내부 용기 구획을 한정하는데, 이 구획은 동작 시 기체-액체 또는 기체-고체 계면에서 충진 레벨(42)을 한정하는 소스 화학 물질(44) 위에 있는 내부 기체 공간(46)을 포함할 수도 있다. 상벽 부재(20)와 측벽 부재(22)는 서로 접촉할 수도 있고 접촉하지 않을 수도 있는 대향 평탄면을 갖는다.
측벽 부재(22)는 내부 용기 구획으로 연장되는 돌기부(24)를 갖는다. 돌기부(24)는 상벽 부재(20)에 인접하여 위치한다. 상벽 부재(20)와 돌기부(24)는 서로 접촉하지 않는 대향 평탄면을 갖는다. 상벽 부재(20)와 돌기부(24)의 대향 평탄면 중 적어도 일부는 경화된다. 금속 시일(10)이 정렬되어 상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)과 접촉한다.
기상 반응물 분배 장치는 상벽 부재(20)의 위쪽으로 그리고 외부로 운반 기체 공급 유입구(52)로부터 연장되는 운반 기체 공급 라인(62 및 72)을 구비하여, 운반 기체를 충진 레벨(42) 위의 내부 기체 공간(46)으로 전달하여 소스 화학 물질(44)의 증기를 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성한다. 운반 기체 공급 라인(62 및 72)은 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브(56)를 포함한다. 운반 기체 공급 라인(62 및 72)은 운반 기체 소스(74)에 연결된다. 운반 기체 소스(74)는 임의의 적당한 형태, 예를 들어 고압 기체 원통, 극저온 공기 분리 플랜트, 또는 압력 변동 공기 분리 유닛일 수 있고, 운반 기체, 예컨대 질소, 아르곤, 헬륨 등을 운반 기체 공급 라인(62 및 72)으로 공급한다.
기상 반응물 분배 장치는 상벽 부재(20)의 위쪽으로 그리고 외부로 기상 반 응물 출구(54)로부터 연장되는 기상 반응물 방출 라인(64 및 82)을 또한 구비하여, 충진 레벨(42) 위의 내부 기체 공간(46)으로부터 기상 반응물을 제거한다. 기상 반응물 방출 라인(64 및 82)은 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브(58)를 포함한다.
도 9를 참조하면, 증착 챔버(88)는 화학 증착 챔버 또는 원자층 증착 챔버일 수 있다. 기상 반응물 방출 라인(64 및 82)은 기상 반응물 분배 장치를 증착 챔버(88)에 연결한다. 가열식 서셉터(heatable susceptor)(94)는 증착 챔버(88) 내에 포함되고, 기상 반응물 방출 라인(64 및 82)에 대하여 수신 관계에 있다. 유출물 방출 라인(96)이 증착 챔버(88)에 연결된다. 기상 반응물은 기상 반응물 방출 라인(64 및 82)을 통해 증착 챔버(88)로 흘러 가열식 서셉터(92)상의 기판(94)과 접촉하고, 임의의 잔여 유출물은 유출물 방출 라인(96)을 통해 방출된다. 유출물은 재순환, 재생, 유출물 처리, 처분 또는 기타 처리 수단에 전달될 수도 있다.
도 9를 참조하면, 본 발명은 부분적으로는 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것으로서,
(a) 충진 레벨(42)까지 소스 화학 물질(44)을 유지하고, 추가로 충진 레벨(42) 위의 내부 기체 공간(46)을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재(20), 측벽 부재(22) 및 하벽 부재(26)를 포함하는 용기(40)로서, 측벽 부재(22)는 상벽 부재(20)에 인접하여 내부 용기 구획으로 연장되는 돌기부(24)를 갖고, 상벽 부재(20)와 측벽 부재(22)는 서로 임의로 접촉하는 대향 평탄면을 갖는, 용기(40);
서로 임의로 접촉하는 대향 평탄면을 통해 상벽 부재(20)를 측벽 부재(22)에 고정하기 위한 고정 수단(28)으로서, 상벽 부재(20)와 돌기부(24)는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는(30), 고정 수단(28);
정렬되어 상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)과 접촉하는 금속 시일(10)로서, 상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)은 금속 시일(10)의 경도보다 큰 경도를 갖는, 금속 시일(10);
운반 기체 공급 유입구(52)가 있는 상벽 부재(20)의 한 부분으로서, 유입구를 통해 운반 기체가 충진 레벨(42) 위의 내부 기체 공간(46)으로 공급되어 소스 화학 물질(44)의 증기를 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있는, 상벽 부재(20)의 한 부분;
상벽 부재(20)의 위쪽으로 그리고 외부로 운반 기체 공급 유입구(52)로부터 연장되는 운반 기체 공급 라인(62 및 72)으로서, 운반 기체를 충진 레벨(42) 위의 내부 기체 공간(46)으로 전달하고, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브(56)를 포함하는, 운반 기체 공급 라인(62 및 72);
기상 반응물 출구(54)가 있는 상벽 부재(20)의 한 부분으로서, 출구를 통해 기상 반응물을 장치로부터 분배할 수 있는, 상벽 부재(20)의 한 부분; 및
상벽 부재(20)의 위쪽으로 그리고 외부로 기상 반응물 출구(54)로부터 연장되는 기상 반응물 방출 라인(64 및 82)으로서, 충진 레벨(42) 위의 내부 기체 공간(46)으로부터 기상 반응물을 제거하고, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브(58)를 포함하는, 기상 반응물 방출 라인(64 및 82)
을 포함하는 기상 반응물 분배 장치를 제공하는 단계;
(b) 주변 온도에서 소스 화학 물질(44)을 기상 반응물 분배 장치에 첨가하는 단계;
(c) 소스 화학 물질을 기화시키기 충분한 온도까지 기상 반응물 분배 장치 내 소스 화학 물질(44)을 가열하여 기상 반응물을 제공하는 단계;
(d) 운반 기체를 운반 기체 공급 라인(62 및 72)을 통해 기상 반응물 분배 장치로 공급하는 단계;
(e) 기상 반응물 방출 라인(64 및 82)을 통해 기상 반응물과 운반 기체를 기상 반응물 분배 장치로부터 인출하는 단계; 및
(f) 기상 반응물과 운반 기체를 증착 챔버(88)에 공급하는 단계
를 포함한다.
본 방법은,
(g) 기상 반응물을 증착 챔버(88) 내 가열식 서셉터(92)상의 기판(94)과 접촉시키는 단계; 및
(h) 증착 챔버(88)에 연결된 유출물 방출 라인(96)을 통해 임의의 잔여 유출물을 방출하는 단계
를 더 포함할 수 있다.
도 9에 도시한 시스템의 동작 시, 소스 화학 물질(44)은 용기(40)에 배치되 어 소스 화학 물질(44)을 기화시키기 충분한 온도까지 가열된다. 운반 기체는 운반 기체 공급 라인(62 및 72)을 통해 운반 기체 공급 유입구(52)로 흘러 충진 레벨(42) 위의 내부 기체 공간(46)으로 방출된다. 운반 기체 흐름 제어 밸브(56)는 내부 기체 공간(46)으로 방출되는 운반 기체의 흐름을 제어한다. 소스 화학 물질(44)로부터의 증기가 운반 기체 중에 비말 동반하게 되어 기상 반응물을 생성한다.
기상 반응물은 기상 반응물 출구(54)와 기상 반응물 방출 라인(64 및 82)을 통해 내부 기체 공간(46)으로부터 방출된다. 기상 반응물은 기상 반응물 방출 라인(64 및 82)을 통해 증착 챔버(88)로 흐른다. 기상 반응물 흐름 제어 밸브(58)는 증착 챔버(88)로 흐르는 기상 반응물의 흐름을 제어한다. 증착 챔버(88)에서 기상 반응물은 가열식 기판(92) 또는 다른 장착 구조상에 장착되는 웨이퍼 또는 다른 기판 소자에 증착된다. 증착 챔버(88)로부터의 유출물 증기는 유출물 방출 라인(96)으로 방출된다. 유출물은 재순환, 재생, 유출물 처리, 처분 또는 기타 처리 수단에 전달될 수도 있다.
이러한 동작 동안, 용기 내 소스 화학 물질 충진 레벨은 소스 화학 물질 레벨 센서(도 9에 도시하지 않음)가 검출한다. 액체 전구체 화학 물질이 화학 증착 또는 원자층 증착 사이클의 말엽에 변경될 수 있도록 용기 내부의 액체 전구체 화학 물질이 언제 거의 소진되는지를 아는 것이 중요하다. 소스 화학 물질 레벨은 점점 내려가고, 결국에는 섬프 캐비티의 최소 액체 수위(섬프 캐비티 내 액체의 높이)로 떨어지는데, 이 지점에서 중앙 처리 유닛은 대응하는 감지된 소스 화학 물질 레벨 신호를 소스 화학 물질 레벨 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 운반 기체 흐름 제어 밸브로 전송하여 밸브를 잠그고 용기로의 운반 기체 흐름을 차단하고, 또한 동시에 제어 신호를 제어 신호 전송 라인을 통해 전송하여 기상 반응물 흐름 제어 밸브를 잠그고 용기로부터의 기상 반응물 흐름을 차단한다.
또한, 이러한 동작 동안, 용기 내 소스 화학 물질의 온도는 온도 센서(도 9에 도시하지 않음)가 검출한다. 용기 내부의 액체 전구체 화학 물질의 온도를 모니터하여 증기압을 제어하는 것이 중요하다. 용기 내 소스 화학 물질의 온도가 너무 높아지면, 중앙 처리 유닛은 대응하는 감지된 온도 신호를 온도 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 가열 수단으로 전송하여 온도를 낮춘다.
본 발명의 기상 반응물 분배 장치는 액체 및 고체 물질, 예컨대 화학 증착, 원자층 증착 및 이온 주입 공정에 사용하는 액체 및 고체 소스 반응물의 기화에 유용할 수도 있다. 예를 들어 미국특허 6,921,062 B2를 참조한다.
도 10에 도시한 한 실시양태에서는 정면도 및 부분 단면도로 도시한 기상 반응물 분배 장치를 포함하는 화학 증착 시스템의 개략적인 도면을 도시하고 있고, 기상 반응물 분배 장치는, 내부 기체 공간(46)을 통과하여 소스 화학 물질(44)로 연장되는 버블러 튜브(72)를 포함하는 운반 기체 공급 유입구가 있는 상벽 부재(20)의 한 부분과, 기상 반응물 출구(54)가 있는 상벽 부재(20)의 한 부분을 더 포함하고, 버블러 튜브를 통해 운반 기체가 소스 화학 물질(44)에 버블링되어 소스 화학 물질 증기의 적어도 일부를 운반 기체 중에 비말 동반하게 하여 충진 레벨(42) 위의 내부 기체 공간(46)으로 기상 반응물의 흐름을 생성할 수 있고, 버블러 튜브(72)는 상벽 부재(20)에 인접한 유입구 말단 및 하벽 부재(26)에 인접한 출구 말단을 갖고, 기상 반응물은 출구를 통해 장치로부터 분배될 수 있다.
도 10을 참조하면, 기상 반응물 분배 장치는, 상벽 부재(20), 예를 들어 정사각형 또는 기타 비원형 단면의 동봉형 측벽 구조를 한정하는 원통 벽 또는 벽 세그먼트를 포함할 수도 있는 측벽 부재(22) 및 하벽 부재(26)를 구비하는 용기(40)를 포함한다. 상벽 부재(20), 측벽 부재(22) 및 하벽 부재(26)는 동봉형 내부 용기 구획을 한정하는데, 이 구획은 동작 시 기체-액체 또는 기체-고체 계면에서 충진 레벨(42)을 한정하는 소스 화학 물질(44) 위에 있는 내부 기체 공간(46)을 포함할 수도 있다. 상벽 부재(20)와 측벽 부재(22)는 서로 접촉할 수도 있고 접촉하지 않을 수도 있는 대향 평탄면을 갖는다.
측벽 부재(22)는 내부 용기 구획으로 연장되는 돌기부(24)를 갖는다. 돌기부(24)는 상벽 부재(20)에 인접하여 위치한다. 상벽 부재(20)와 돌기부(24)는 서로 접촉하지 않는 대향 평탄면을 갖는다. 상벽 부재(20)와 돌기부(24)의 대향 평탄면 중 적어도 일부는 경화된다. 금속 시일(10)이 정렬되어 상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)과 접촉한다.
기상 반응물 분배 장치는 상벽 부재(20)의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되는 운반 기체 공급 라인(62 및 72) 및 내부 기체 공간(46)을 통과하여 소스 화학 물질(44)로 연장되는 버블러 튜브(72)를 구비하여, 운반 기체를 소스 화학 물질(44)로 전달하여 운반 기체의 증기를 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성한다. 버블러 튜브(72)는 상벽 부재(20)에 인접한 유입구 말단 및 하벽 부재(26)에 인접한 출구 말단을 갖는다. 운반 기체 공급 라인(62 및 72)은 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브(56)를 포함한다. 운반 기체 공급 라인(62 및 72)은 운반 기체 소스(74)에 연결된다. 운반 기체 소스(74)는 임의의 적당한 형태, 예를 들어 고압 기체 원통, 극저온 공기 분리 플랜트, 또는 압력 변동 공기 분리 유닛일 수 있고, 운반 기체, 예컨대 질소, 아르곤, 헬륨 등을 운반 기체 공급 라인(62 및 72)으로 공급한다.
기상 반응물 분배 장치는 상벽 부재(20)의 위쪽으로 그리고 외부로 기상 반응물 출구(54)로부터 연장되는 기상 반응물 방출 라인(64 및 82)을 또한 구비하여, 충진 레벨(42) 위의 내부 기체 공간(46)으로부터 기상 반응물을 제거한다. 기상 반응물 방출 라인(64 및 82)은 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브(58)를 포함한다.
도 10을 참조하면, 증착 챔버(88)는 화학 증착 챔버 또는 원자층 증착 챔버일 수 있다. 기상 반응물 방출 라인(64 및 82)은 기상 반응물 분배 장치를 증착 챔버(88)에 연결한다. 가열식 서셉터(92)는 증착 챔버(88) 내에 포함되고, 기상 반응물 방출 라인(64 및 82)에 대하여 수신 관계에 있다. 유출물 방출 라인(96)이 증착 챔버(88)에 연결된다. 기상 반응물은 기상 반응물 방출 라인(64 및 82)을 통해 증착 챔버(88)로 흘러 가열식 서셉터(92)상의 기판(94)과 접촉하고, 임의의 잔 여 유출물은 유출물 방출 라인(96)을 통해 방출된다. 유출물은 재순환, 재생, 유출물 처리, 처분 또는 기타 처리 수단에 전달될 수도 있다.
도 10을 참조하면, 본 발명은 부분적으로는 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것으로서,
(a) 충진 레벨(42)까지 소스 화학 물질(44)을 유지하고, 추가로 충진 레벨(42) 위의 내부 기체 공간(46)을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재(20), 측벽 부재(22) 및 하벽 부재(26)를 포함하는 용기(40)로서, 측벽 부재(22)는 상벽 부재(20)에 인접하여 내부 용기 구획으로 연장되는 돌기부(24)를 갖고, 상벽 부재(20)와 측벽 부재(22)는 서로 임의로 접촉하는 대향 평탄면을 갖는, 용기(40);
서로 임의로 접촉하는 대향 평탄면을 통해 상벽 부재(20)를 측벽 부재(22)에 고정하기 위한 고정 수단(28)으로서, 상벽 부재(20)와 돌기부(24)는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는(30), 고정 수단(28);
정렬되어 상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)과 접촉하는 금속 시일(10)로서, 상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)은 금속 시일(10)의 경도보다 큰 경도를 갖는, 금속 시일(10);
내부 기체 공간(46)을 통과하여 소스 화학 물질(44)로 연장되는 버블러 튜브(72)를 포함하는 운반 기체 공급 유입구가 있는 상벽 부재(20)의 한 부분으로서, 버블러 튜브를 통해 운반 기체가 소스 화학 물질(44)에 버블링되어 소스 화학 물질 증기의 적어도 일부를 운반 기체 중에 비말 동반하게 하여 충진 레벨(42) 위의 내부 기체 공간(46)으로 기상 반응물의 흐름을 생성할 수 있고, 버블러 튜브(72)는 상벽 부재(20)에 인접한 유입구 말단 및 하벽 부재(26)에 인접한 출구 말단을 갖는, 상벽 부재(20)의 한 부분;
상벽 부재(20)의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되는 운반 기체 공급 라인(62 및 72)으로서, 운반 기체를 소스 화학 물질(44)로 전달하고, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브(56)를 포함하는, 운반 기체 공급 라인(62 및 72);
기상 반응물 출구(54)가 있는 상벽 부재(20)의 한 부분으로서, 출구를 통해 기상 반응물을 장치로부터 분배할 수 있는, 상벽 부재(20)의 한 부분; 및
상벽 부재(20)의 위쪽으로 그리고 외부로 기상 반응물 출구(54)로부터 연장되는 기상 반응물 방출 라인(64 및 82)으로서, 충진 레벨(42) 위의 내부 기체 공간(46)으로부터 기상 반응물을 제거하고, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브(58)를 포함하는, 기상 반응물 방출 라인(64 및 82)
을 포함하는 기상 반응물 분배 장치를 제공하는 단계;
(b) 주변 온도에서 소스 화학 물질(44)을 기상 반응물 분배 장치에 첨가하는 단계;
(c) 소스 화학 물질(44)을 기화시키기 충분한 온도까지 기상 반응물 분배 장치 내 소스 화학 물질(44)을 가열하여 기상 반응물을 제공하는 단계;
(d) 운반 기체를 운반 기체 공급 라인(62 및 72)을 통해 기상 반응물 분배 장치로 공급하는 단계;
(e) 기상 반응물 방출 라인(64 및 82)을 통해 기상 반응물과 운반 기체를 기상 반응물 분배 장치로부터 인출하는 단계; 및
(f) 기상 반응물과 운반 기체를 증착 챔버(88)에 공급하는 단계
를 포함한다.
본 방법은,
(g) 기상 반응물을 증착 챔버(88) 내 가열식 서셉터(92)상의 기판(94)과 접촉시키는 단계; 및
(h) 증착 챔버(88)에 연결된 유출물 방출 라인(96)을 통해 임의의 잔여 유출물을 방출하는 단계
를 더 포함할 수 있다.
도 10에 도시한 시스템의 동작 시, 소스 화학 물질(44)은 용기(40)에 배치되어 소스 화학 물질(44)을 기화시키기 충분한 온도까지 가열된다. 운반 기체는 운반 기체 공급 라인(62 및 72)을 통해 운반 기체 공급 유입구로 흐르고 버블러 튜브(72)를 통해 소스 화학 물질(44)로 버블링된다. 운반 기체 흐름 제어 밸브(56)는 소스 화학 물질(44)로 방출되는 운반 기체의 흐름을 제어한다. 소스 화학 물질(44)로부터의 증기가 운반 기체 중에 비말 동반하게 되어 기상 반응물을 생성한다.
기상 반응물은 기상 반응물 출구(54)와 기상 반응물 방출 라인(64 및 82)을 통해 내부 기체 공간(46)으로부터 방출된다. 기상 반응물은 기상 반응물 방출 라인(64 및 82)을 통해 증착 챔버(88)로 흐른다. 기상 반응물 흐름 제어 밸브(58)는 증착 챔버(88)로 흐르는 기상 반응물의 흐름을 제어한다. 증착 챔버(88)에서 기상 반응물은 가열식 기판(92) 또는 다른 장착 구조상에 장착되는 웨이퍼 또는 다른 기판 소자에 증착된다. 증착 챔버(88)로부터의 유출물 증기는 유출물 방출 라인(96)에서 방출된다. 유출물은 재순환, 재생, 유출물 처리, 처분 또는 기타 처리 수단에 전달될 수도 있다.
이러한 동작 동안, 용기 내 소스 화학 물질 충진 레벨은 소스 화학 물질 레벨 센서(도 10에 도시하지 않음)가 검출한다. 액체 전구체 화학 물질이 화학 증착 또는 원자층 증착 사이클의 말엽에 변경될 수 있도록 용기 내부의 액체 전구체 화학 물질이 언제 거의 소진되는지를 아는 것이 중요하다. 소스 화학 물질 레벨은 점점 내려가고, 결국에는 섬프 캐비티의 최소 액체 수위(섬프 캐비티 내 액체의 높이)로 떨어지는데, 이 지점에서 중앙 처리 유닛은 대응하는 감지된 소스 화학 물질 레벨 신호를 소스 화학 물질 레벨 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 운반 기체 흐름 제어 밸브로 전송하여 밸브를 잠그고 용기로의 운반 기체 흐름을 차단하고, 또한 동시에 제어 신호를 제어 신호 전송 라인을 통해 전송하여 기상 반응물 흐름 제어 밸브를 잠그고 용기로부터의 기상 반응물 흐름을 차단한다.
또한, 이러한 동작 동안, 용기 내 소스 화학 물질의 온도는 온도 센서(도 10에 도시하지 않음)가 검출한다. 용기 내부의 액체 전구체 화학 물질의 온도를 모 니터하여 증기압을 제어하는 것이 중요하다. 용기 내 소스 화학 물질의 온도가 너무 높아지면, 중앙 처리 유닛은 대응하는 감지된 온도 신호를 온도 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 가열 수단으로 전송하여 온도를 낮춘다.
본 발명의 기상 반응물 분배 장치, 즉 버블러는 액체 및 고체 물질, 예컨대 화학 증착, 원자층 증착 및 이온 주입 공정에 사용하는 액체 및 고체 소스 반응물의 기화에 유용할 수도 있다. 예를 들어 미국특허 7,077,388 B2를 참조한다.
도 11에 도시한 한 실시양태에서는 정면도 및 부분 단면도로 도시한 액상 반응물 분배 장치를 포함하는 화학 증착 시스템의 개략적인 도면을 도시하고 있고, 액상 반응물 분배 장치는, 불활성 기체 공급 유입구(52)가 있는 상벽 부재(20)의 한 부분과, 내부 기체 공간(46)을 통과하여 소스 화학 물질(44)로 연장되는 침지 튜브(diptube)(74)를 포함하는 액상 반응물 출구가 있는 상벽 부재(20)의 한 부분을 더 포함하고, 유입구를 통해 불활성 기체가 충진 레벨(42) 위의 내부 기체 공간(46)으로 공급되어 충진 레벨(42) 위의 내부 기체 공간을 가압할 수 있고, 출구를 통해 액상 반응물을 장치로부터 분배할 수 있고, 침지 튜브(74)는 상벽 부재(20)에 인접한 출구 말단 및 하벽 부재(26)에 인접한 유입구 말단을 갖는다.
도 11을 참조하면, 액상 반응물 분배 장치는, 상벽 부재(20), 예를 들어 정사각형 또는 기타 비원형 단면의 동봉형 측벽 구조를 한정하는 원통 벽 또는 벽 세그먼트를 포함할 수도 있는 측벽 부재(22) 및 하벽 부재(26)를 구비하는 용기(40)를 포함한다. 상벽 부재(20), 측벽 부재(22) 및 하벽 부재(26)는 동봉형 내부 용 기 구획을 한정하는데, 이 구획은 동작 시 기체-액체 또는 기체-고체 계면에서 충진 레벨(42)을 한정하는 소스 화학 물질(44) 위에 있는 내부 기체 공간(46)을 포함할 수도 있다. 상벽 부재(20)와 측벽 부재(22)는 서로 접촉할 수도 있고 접촉하지 않을 수도 있는 대향 평탄면을 갖는다.
측벽 부재(22)는 내부 용기 구획으로 연장되는 돌기부(24)를 갖는다. 돌기부(24)는 상벽 부재(20)에 인접하여 위치한다. 상벽 부재(20)와 돌기부(24)는 서로 접촉하지 않는 대향 평탄면을 갖는다. 상벽 부재(20)와 돌기부(24)의 대향 평탄면 중 적어도 일부는 경화된다. 금속 시일(10)이 정렬되어 상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)과 접촉한다.
액상 반응물 분배 장치는 상벽 부재(20)의 위쪽으로 그리고 외부로 불활성 기체 공급 유입구(52)로부터 연장되는 불활성 기체 공급 라인(62 및 72)을 구비하여 불활성 기체를 충진 레벨(42) 위의 내부 기체 공간(46)으로 전달하여 충진 레벨(42) 위의 내부 기체 공간(46)을 가압한다. 불활성 기체 공급 라인(62 및 72)은 통과하는 불활성 기체의 흐름을 제어하기 위한 불활성 기체 흐름 제어 밸브(56)를 포함한다. 불활성 기체 공급 라인(62 및 72)은 불활성 기체 소스(74)에 연결된다. 불활성 기체 소스(74)는 임의의 적당한 형태, 예를 들어 고압 기체 원통, 극저온 공기 분리 플랜트, 또는 압력 변동 공기 분리 유닛일 수 있고, 불활성 기체, 예컨대 질소, 아르곤, 헬륨 등을 불활성 기체 공급 라인(62 및 72)으로 공급한다.
액상 반응물 분배 장치는 내부 기체 공간(46)을 통과하여 소스 화학 물질(44)로 연장되는 침지 튜브(74)를 또한 구비하여 액상 반응물을 장치로부터 분배 할 수 있다. 침지 튜브(74)는 상벽 부재(20)에 인접한 출구 말단 및 하벽 부재(26)에 인접한 유입구 말단을 갖는다.
액상 반응물 분배 장치는 상벽 부재(20)의 위쪽으로 그리고 외부로 액상 반응물 출구(예를 들어 침지 튜브(74))로부터 연장되는 액상 반응물 방출 라인(63 및 81)을 또한 구비하여 용기(40)로부터 액상 반응물을 제거한다. 액상 반응물 방출 라인(63 및 81)은 통과하는 기상 반응물의 흐름을 제어하기 위한 액상 반응물 흐름 제어 밸브(57)를 포함한다.
도 11을 참조하면, 증착 챔버(88)는 화학 증착 챔버 또는 원자층 증착 챔버일 수 있다. 액상 반응물 방출 라인(63 및 81)은 액상 반응물 분배 장치를 기화 장치(84)에 연결한다. 기화 장치(84)는 기화 장치(84)의 위쪽으로 그리고 외부로 운반 기체 공급 유입구(도 11에 도시하지 않음)로부터 연장되는 운반 기체 공급 라인(도 11에 도시하지 않음)을 구비하고, 유입구를 통해 운반 기체가 기화 장치(84)에 공급되어 액상 반응물의 증기를 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있다. 운반 기체 공급 라인은 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브(도 11에 도시하지 않음)를 포함한다. 운반 기체 공급 라인은 운반 기체 소스(도 11에 도시하지 않음)에 연결된다. 운반 기체 소스는 임의의 적당한 형태, 예를 들어 고압 기체 원통, 극저온 공기 분리 플랜트, 또는 압력 변동 공기 분리 유닛일 수 있고, 운반 기체, 예컨대 질소, 아르곤, 헬륨 등을 운반 기체 공급 라인으로 공급한다.
기화 장치(84)는 기화 장치(84)의 위쪽으로 그리고 외부로 기상 반응물 출구 로부터 연장되는 기상 반응물 방출 라인(86)을 구비하여 기상 반응물을 기화 장치(84)로부터 증착 챔버(88)로 방출할 수 있다. 기상 반응물 방출 라인(86)은 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브(도 11에 도시하지 않음)를 포함한다.
가열식 서셉터(92)는 증착 챔버(88) 내에 포함되고, 기상 반응물 방출 라인(86)에 대하여 수신 관계에 있다. 유출물 방출 라인(96)이 증착 챔버(88)에 연결된다. 기상 반응물은 기상 반응물 방출 라인(86)을 통해 증착 챔버(88)로 흘러 가열식 서셉터(92)상의 기판(94)과 접촉하고, 임의의 잔여 유출물은 유출물 방출 라인(96)을 통해 방출된다. 유출물은 재순환, 재생, 유출물 처리, 처분 또는 기타 처리 수단에 전달될 수도 있다.
도 11을 참조하면, 본 발명은 부분적으로는 기상 반응물을 증착 챔버에 전달하기 위한 방법에 관한 것으로서,
(a) 충진 레벨(42)까지 소스 화학 물질(44)을 유지하고, 추가로 충진 레벨(42) 위의 내부 기체 공간(46)을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재(20), 측벽 부재(22) 및 하벽 부재(26)를 포함하는 용기(40)로서, 측벽 부재(22)는 상벽 부재(20)에 인접하여 내부 용기 구획으로 연장되는 돌기부(24)를 갖고, 상벽 부재(20)와 측벽 부재(22)는 서로 임의로 접촉하는 대향 평탄면을 갖는, 용기(40);
서로 임의로 접촉하는 대향 평탄면을 통해 상벽 부재(20)를 측벽 부재(22)에 고정하기 위한 고정 수단(28)으로서, 상벽 부재(20)와 돌기부(24)는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는(30), 고정 수단(28);
정렬되어 상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)과 접촉하는 금속 시일(10)로서, 상벽 부재(20)와 돌기부(24)의 경화된 대향 평탄면(30)은 금속 시일(10)의 경도보다 큰 경도를 갖는, 금속 시일(10);
불활성 기체 공급 유입구(52)가 있는 상벽 부재(20)의 한 부분으로서, 유입구를 통해 불활성 기체가 충진 레벨(42) 위의 내부 기체 공간(46)으로 공급되어 충진 레벨(42) 위의 내부 기체 공간(46)을 가압하는, 상벽 부재(20)의 한 부분;
상벽 부재(20)의 위쪽으로 그리고 외부로 불활성 기체 공급 유입구(52)로부터 연장되는 불활성 기체 공급 라인(62 및 72)으로서, 불활성 기체를 충진 레벨(42) 위의 내부 기체 공간(46)으로 전달하고, 통과하는 불활성 기체의 흐름을 제어하기 위한 불활성 기체 흐름 제어 밸브(56)를 포함하는, 불활성 기체 공급 라인(62 및 72);
액상 반응물 출구가 있는 상벽 부재(20)의 한 부분으로서, 내부 기체 공간(46)을 통과하여 소스 화학 물질(44)로 연장되는 침지 튜브(74)를 포함하여 액상 반응물을 장치로부터 분배할 수 있고, 침지 튜브(74)는 상벽 부재(20)에 인접한 출구 말단 및 하벽 부재(26)에 인접한 유입구 말단을 갖는, 상벽 부재(20)의 한 부분; 및
상벽 부재(20)의 위쪽으로 그리고 외부로 액상 반응물 출구로부터 연장되는 액상 반응물 방출 라인(63 및 81)으로서, 액상 반응물을 용기(40)로부터 제거하고, 통과하는 액상 반응물의 흐름을 제어하기 위한 액상 반응물 흐름 제어 밸브(57)를 포함하는, 액상 반응물 방출 라인(63 및 81)
을 포함하는 액상 반응물 분배 장치를 제공하는 단계;
(b) 주변 온도에서 액상 반응물을 액상 반응물 분배 장치에 첨가하는 단계;
(c) 고체 소스 화학 물질을 용융시키기 충분한 온도까지 액상 반응물 분배 장치 내 고체 소스 화학 물질을 임의로 가열하여 액상 반응물을 제공하는 단계;
(d) 불활성 기체를 불활성 기체 공급 라인(62 및 72)을 통해 액상 반응물 분배 장치로 공급하는 단계;
(e) 침지 튜브(74)와 액상 반응물 방출 라인(63 및 81)을 통해 액상 반응물을 액상 반응물 분배 장치로부터 인출하는 단계;
(f) 액상 반응물을 기화시키기 위해 내부 용기 구획을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기;
액상 반응물 분배 장치를 기화 장치(84)에 연결하는 액상 반응물 방출 라인(63 및 81);
운반 기체 공급 유입구가 있는 기화 장치(84)의 한 부분으로서, 유입구를 통해 운반 기체가 기화 장치(84)에 공급되어 액상 반응물의 증기를 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성하는, 기화 장치(84)의 한 부분;
기상 반응물 출구가 있는 기화 장치(84)의 한 부분으로서, 출구를 통해 기상 반응물을 기화 장치(84)로부터 분배할 수 있는, 기화 장치(84)의 한 부분;
기화 장치의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되는 운반 기체 공급 라인으로서, 운반 기체를 기화 장치(84)에 전달하고, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
기화 장치(84)의 위쪽으로 그리고 외부로 기상 반응물 출구로부터 연장되는 기상 반응물 방출 라인(86)으로서, 기상 반응물을 기화 장치(84)로부터 증착 챔버(88)로 이동시키고, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는, 기상 반응물 방출 라인(86)
을 포함하는 기화 장치(84)를 제공하는 단계;
(g) 액상 반응물을 기화 장치(84)에 공급하는 단계;
(h) 액상 반응물을 기화시키기 충분한 온도까지 기화 장치(84) 내 액상 반응물을 가열하여 기상 반응물을 제공하는 단계;
(i) 운반 기체를 운반 기체 공급 라인을 통해 기화 장치(84)에 공급하는 단계;
(j) 기상 반응물 방출 라인(86)을 통해 기상 반응물과 운반 기체를 기화 장치로부터 인출하는 단계; 및
(k) 기상 반응물과 운반 기체를 증착 챔버(88)에 공급하는 단계
를 포함한다.
본 방법은,
(l) 기상 반응물을 증착 챔버(88) 내 가열식 서셉터(92)상의 기판(94)과 접촉시키는 단계; 및
(m) 증착 챔버(88)에 연결된 유출물 방출 라인(96)을 통해 임의의 잔여 유출물을 방출하는 단계
를 더 포함할 수 있다.
도 11에 도시한 시스템의 예시적인 동작 시, 소스 화학 물질(44)은 용기(40)에 배치되고, 불활성 기체는 불활성 기체 공급 라인(62 및 72)을 통해 불활성 기체 공급 유입구(52) 및 충진 레벨(42) 위의 내부 기체 공간(46)으로 흘러 충진 레벨(42) 위의 내부 기체 공간(46)을 가압한다. 불활성 기체 흐름 제어 밸브(56)는 충진 레벨(42) 위의 내부 기체 공간(46)으로 방출되는 불활성 기체의 흐름을 제어한다.
액상 반응물은 액상 반응물 출구(예컨대, 침지 튜브(74))와 액상 반응물 방출 라인(63 및 81)을 통해 용기(40)로부터 방출된다. 액상 반응물은 액상 반응물 방출 라인(63 및 81)에서 증착 챔버(88)로 흐른다. 액상 반응물 흐름 제어 밸브(57)는 기화 장치(84)로 흐르는 액상 반응물의 흐름을 제어한다.
기화 장치(84)에서는 액상 반응물이 기화되어 다음의 증착 동작을 위한 소스 증기를 형성한다. 기화 장치(84)는 액상 반응물의 기화를 통해 생성된 소스 증기와 조합하거나 혼합하기 위한 운반 기체를 또한 수용할 수도 있다. 대안으로, 소스 증기는 순수한 형태로 다음의 증착 동작으로 전달될 수도 있다. 여하튼 간에, 기화 장치(84)로부터의 소스 증기는 기상 반응물 방출 라인(86)을 통해 증착 챔버(88)로 흐른다. 증착 챔버(88)에서 기상 반응물은 가열식 기판(92) 또는 다른 장착 구조상에 장착되는 웨이퍼 또는 다른 기판 소자(94)에 증착된다. 증착 챔 버(88)로부터의 유출물 증기는 유출물 방출 라인(96)에서 방출된다. 유출물은 재순환, 재생, 유출물 처리, 처분 또는 기타 처리 수단에 전달될 수도 있다.
이러한 동작 동안, 용기 내 소스 화학 물질 충진 레벨은 소스 화학 물질 레벨 센서가 검출한다. 액체 전구체 화학 물질이 화학 증착 또는 원자층 증착 사이클의 말엽에 변경될 수 있도록 용기 내부의 액체 전구체 화학 물질이 언제 거의 소진되는지를 아는 것이 중요하다. 소스 화학 물질 레벨은 점점 내려가고, 결국에는 섬프 캐비티의 최소 액체 수위(섬프 캐비티 내 액체의 높이)로 떨어지는데, 이 지점에서 중앙 처리 유닛은 대응하는 감지된 소스 화학 물질 레벨 신호를 소스 화학 물질 레벨 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 운반 기체 흐름 제어 밸브로 전송하여 밸브를 잠그고 용기로의 운반 기체 흐름을 차단하고, 또한 동시에 제어 신호를 제어 신호 전송 라인을 통해 전송하여 액상 반응물 흐름 제어 밸브를 잠그고 용기로부터의 액상 반응물 흐름을 차단한다.
또한, 이러한 동작 동안, 용기 내 소스 화학 물질의 온도는 온도 센서가 검출한다. 용기 내부의 액체 전구체 화학 물질의 온도를 모니터하여 증기압을 제어하는 것이 중요하다. 용기 내 소스 화학 물질의 온도가 너무 높아지면, 중앙 처리 유닛은 대응하는 감지된 온도 신호를 온도 감지 신호 전송 라인을 통해 수신한다. 이에 응답하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 가열 수단으로 전송하여 온도를 낮춘다.
본 발명의 액상 반응물 분배 장치는 화학 증착, 원자층 증착 및 이온 주입 공정에 사용하는 전구체와 같은 반응물의 분배에 유용할 수도 있고, 용기로부터 높은 레벨의 액상 반응물의 인출을 달성할 수 있다. 예를 들어 미국특허 6,077,356을 참조한다.
본 발명의 한 실시양태에서, 유기 금속 화합물은 분말, 막 또는 코팅을 형성하기 위한 기상 증착 기법에 사용한다. 화합물은 단일 소스 전구체로서 사용할 수 있거나, 하나 이상의 다른 전구체, 예를 들면 적어도 하나의 다른 유기 금속 화합물 또는 금속 착체를 가열함으로써 생성한 증기와 함께 사용할 수 있다.
증착은 다른 기상 성분의 존재하에 수행될 수 있다. 본 발명의 한 실시양태에서, 막 증착은 적어도 하나의 비반응성 운반 기체의 존재하에 수행된다. 비반응성 기체의 예로는 질소, 아르곤, 헬륨과 같은 불활성 기체뿐만 아니라 공정 조건하에서 유기 금속 화합물 전구체와 반응하지 않는 다른 기체가 있다. 다른 실시양태에서, 막 증착은 적어도 하나의 반응성 기체의 존재하에 수행된다. 사용할 수 있는 몇몇 반응성 기체는 히드라진, 산소, 수소, 공기, 산소가 풍부한 공기, 오존(O3), 아산화질소(N2O), 수증기, 유기 증기, 암모니아 등을 포함하지만, 이에 한정하지 않는다. 당업계에 알려진 바와 같이, 예를 들어 공기, 산소, 산소가 풍부한 공기, O3, N2O와 같은 산화 가스 또는 산화 유기 화합물 증기의 존재는 금속 산화물 막의 형성을 촉진한다.
본 명세서에 기술한 증착 방법은 단일 금속을 포함하는 막, 분말 또는 코팅 혹은 단일 금속 산화물을 포함하는 막, 분말 또는 코팅을 형성하도록 수행될 수 있 다. 혼성 막, 분말 또는 코팅, 예를 들면 혼성 금속 산화물 막이 증착될 수 있다. 혼성 금속 산화물 막은 예를 들어 몇몇 유기 금속 전구체를 사용함으로써 형성할 수 있는데, 유기 금속 전구체 중 적어도 하나는 상술한 유기 금속 화합물로부터 선택한다.
기상 막 증착은 원하는 두께, 예를 들어 1㎚ 미만부터 1㎜ 초과 범위의 막층을 형성하도록 수행될 수 있다. 본 명세서에 기술한 전구체는 박막, 예를 들어 약 10㎚ 내지 약 100㎚ 범위의 두께를 갖는 막을 생성하는 데 특히 유용하다. 본 발명의 막은 예를 들어 금속 전극, 특히 논리회로(logic) 내 n-채널 금속 전극, DRAM 응용을 위한 커패시터 전극 및 유전체 물질을 제조하기 위하여 고려할 수 있다.
증착 방법은 층상 막을 제조하는 데 또한 적합한데, 층 중 적어도 두 층은 상 또는 조성이 상이하다. 층상 막의 예로는 금속-절연체-반도체 및 금속-절연체-금속이 있다.
유기 금속 화합물 전구체는 원자층 증착, 화학 증착 또는 더욱 구체적으로는 당업계에 알려진 유기 금속 화학 증착 공정에 사용할 수 있다. 예를 들면, 상술한 유기 금속 화합물 전구체는 대기압 및 저압 화학 증착 공정에 사용할 수 있다. 화합물은 전체 반응 챔버가 가열되는 방법인 고온 벽 화학 증착뿐만 아니라 기판만이 가열되는 기법인 저온 또는 온열 벽 타입 화학 증착에 사용할 수 있다.
상술한 유기 금속 화합물 전구체는 플라즈마로부터의 에너지 또는 전자기 에너지를 각각 이용하여 화학 증착 전구체를 활성화하는 플라즈마 또는 광 보조 화학 증착 공정에 또한 사용할 수 있다. 화합물은 이온빔 또는 전자빔 각각이 기판으로 향하여 화학 증착 전구체를 분해하기 위한 에너지를 공급하는 이온빔, 전자빔 보조 화학 증착 공정에 또한 사용할 수 있다. 레이저광이 기판으로 향하여 화학 증착 전구체를 광분해 반응시키는 레이저 보조 화학 증착 공정을 또한 이용할 수 있다.
증착 방법은 예를 들면 당업계에 알려진 고온 또는 저온 벽 반응기, 플라즈마 보조, 빔 보조 또는 레이저 보조 반응기와 같은 다양한 화학 증착 반응기에서 수행될 수 있다.
증착 챔버에 유용한 예시적인 기판은 예를 들어 금속, 금속 실리사이드, 반도체, 절연체 및 배리어 물질로부터 선택된 물질을 포함한다. 바람직한 기판은 패터닝된 웨이퍼이다. 증착 방법을 이용하여 코팅할 수 있는 기판의 예는, 금속 기판, 예컨대 Al, Ni, Ti, Co, Pt, Ta; 금속 실리사이드, 예컨대 TiSi2, CoSi2, NiSi2; 반도체 물질, 예컨대 Si, SiGe, GaAs, InP, 다이아몬드, GaN, SiC; 절연체, 예컨대 SiO2, Si3N4, HfO2, Ta2O5, Al2O3, 바륨 스트론튬 티타네이트(BST); 배리어 물질, 예컨대 TiN, TaN; 또는 물질의 조합을 포함하는 기판과 같은 고체 기판을 포함한다. 또한, 막 또는 코팅은 유리, 세라믹, 플라스틱, 열경화성 중합체 물질 및 다른 코팅 또는 막층 상에 형성할 수 있다. 바람직한 실시양태에서 막은 전자 성분의 제조 또는 가공에 사용되는 기판상에 증착된다. 다른 실시양태에서 기판은 고온에서 산화제의 존재하에 안정한 낮은 비저항(resistivity) 전도체 침착물 또는 광 투과 막을 지지하는 데 사용한다.
증착 방법은 매끄러운 평탄면을 갖는 기판상에 막을 증착하도록 수행될 수 있다. 한 실시양태에서, 본 방법은 웨이퍼 제조 또는 가공에 사용되는 기판상에 막을 증착하도록 수행된다. 예를 들면, 본 방법은 트렌치, 홀 또는 비아와 같은 특징부를 포함하는 패터닝된 기판상에 막을 증착하도록 수행될 수 있다. 또한, 증착 방법은 웨이퍼 제조 또는 가공의 다른 단계, 예를 들어 마스킹, 에칭 등과 통합할 수도 있다.
화학 증착 막은 원하는 두께로 증착될 수 있다. 예를 들어, 형성된 막은 1 미크론 미만의 두께, 바람직하게는 500 나노미터 미만의 두께 및 더욱 바람직하게는 200 나노미터 미만의 두께일 수 있다. 50 나노미터 미만의 두께인 막, 예를 들면 약 0.1 내지 약 20 나노미터 두께를 갖는 막을 또한 생성할 수 있다.
상술한 유기 금속 화합물 전구체를 본 발명의 방법에서 사용하여 원자층 증착 기법 또는 원자층 결정 핵 생성 기법을 통해 막을 형성할 수도 있는데, 이러한 기법 동안 기판은 전구체, 산화제 및 불활성 기체 스트림의 교대 펄스에 노출된다. 순차적 층 증착 기법은 예를 들어 미국특허 제6,287,965호 및 미국특허 제6,342,277호에 기술되어 있다. 양 특허의 전반적인 내용은 본 명세서에 참조로서 포함되어 있다.
예를 들어, 한 원자층 증착 사이클에서 기판은 a) 불활성 기체; b) 전구체 증기를 운반하는 불활성 기체; c) 불활성 기체; 및 d) 산화제 단독 또는 불활성 기체와 함께 산화제에 단계적으로 노출된다. 일반적으로, 각 단계는 장비가 허용하는 만큼 짧을 수 있고(예컨대, 밀리초), 공정이 요구하는 만큼 길 수 있다(예컨대, 수 초 또는 수 분). 한 사이클의 기간은 수 밀리초만큼 짧을 수 있고, 수 분만큼 길 수 있다. 사이클은 수 분부터 수 시간 범위일 수 있는 기간에 걸쳐 반복된다. 생성된 막은 수 나노미터로 얇을 수 있거나 더 두꺼운, 예컨대 1 밀리미터(㎜)일 수 있다.
따라서, 본 발명의 수단 및 방법은, 기상 또는 액상 반응물을 공급 및 분배하기 위한 시스템을 제공하고, 최초로 공급된 소스 화학 물질의 95∼98%의 양을 기상 또는 액상 반응물이 선택적으로 분배되는 응용에 사용하게 한다는 점에서 당업계에서의 실질적인 진보를 이룬다. 이체형 앰풀의 세정 용이성은 일체형 앰풀을 사용하여 얻을 수도 있는 것 이상으로 앰풀 재사용을 가능하게 한다.
이에 대응하여, 반도체 및 초전도체 제품의 제조와 같은 동작 시, 본 발명의 수단 및 방법을 이용하여 소스 화학 물질의 낭비를 분배 용기에 최초로 적재된 양의 2∼5%만큼 낮은 레벨로 낮추고, 앰풀을 수 회 재사용하는 것이 가능하다.
따라서, 본 발명의 실시는, 소스 화학 물질 공급 및 기상 또는 액상 반응물 분배 시스템의 경제성과, 분배된 기상 또는 액상 반응물을 사용하는 공정을 두드러지게 개선한다. 본 발명의 몇몇 실시양태는 종래기술의 실시에서 낭비 레벨에 의해 제외된 실질적인 문제인 소스 화학 물질의 비용 효과적인 사용을 가능하게 할 수도 있다.
본 발명의 다른 이점인 기상 또는 액상 반응물 분배 동작의 종료 시 용기 내 감소한 소스 화학 물질 재고량은, 소모된 공급 용기를 공정 시스템으로부터 변경하고, 추가 가공을 위하여 또 다른 용기로 교체하는 교환 시간을 최소화하는데, 이는 종래의 실시에 비해서 최초로 충전된 액체의 사용량 증가로 인한 공급 용기에 대한 더 큰 조업 시간의 결과이다.
본 발명의 다양한 수정과 변형은 당업자에게는 분명하고, 그와 같은 수정과 변형은 본원의 범위 및 청구범위의 사상과 범위 내에 포함된다는 점을 이해해야 한다.
실시예 1
상벽 부재와 돌기부 표면의 제조
도 5에 도시한 바와 같이, 상벽 부재와 돌기부의 대향 밀봉 표면은 스텔라이트를 포함하고, 상벽 부재와 돌기부 밀봉 영역의 전체 폭을 덮을 수 있다. 이어서, 밀봉 표면은 최종 10∼100RMS, 바람직하게는 15RMS으로 연마된다.
실시예 2
상벽 부재와 돌기부 표면의 제조
이 실시예에서 상벽 부재와 돌기부의 대향 밀봉 표면은 다이아몬드 팁 버니싱 도구로 연마되어 스테인리스강, 즉 316 스테인리스강을 경화시켜, 15RMS의 원하는 표면 거칠기를 생성한다.
실시예 3
테트라키스 (디메틸아미노)하프늄(TDMAH)을 사용한 화학 증착
도 1에 도시한 앰풀과 비슷한 앰풀을 TDMAH로 대략 ¾ 정도 채운다. TDMAH는 주변 온도에서 고체이고, 대략 29℃에서 용융된다. 사용할 수 있는 소스 화학 물질 레벨 센서는 센서가 액체와 접촉하는 경우 광원의 내부 반사에 의해 동작하는 단일 포인트 광 타입이다. 액체가 존재하지 않으면 내부 반사도 존재하지 않는다. 소스 화학 물질 센서는 앰풀의 TDMAH 전구체 내용물이 센서의 끝을 통과하는 경우 신호를 송신한다.
소스 화학 물질 레벨 센서는 ¾인치 면 시일 연결부를 통해 장착할 수 있다. 온도 센서는 앰풀 커버의 중심에 위치한 모두 용접된 보호관 내 K 타입 열전쌍일 수 있다. 보호관은 온도 센서와 보호관 간의 접촉을 보증하도록 고온 열 전도성 오일로 채워질 수 있다. 보호관과 소스 화학 물질 레벨 센서의 말단은 앰풀의 하벽 부재 근처의 내부 용기 구획으로 연장된다. 상벽 부재와 돌기부의 대향 평탄면 사이의 시일은 도 5에 도시되어 있다. 운반 기체는 질소이다. 기체의 압력은 1 mTorr부터 1000 Torr이다.
TDMAH의 적당한 전달 온도는 40℃ 내지 100℃이다. 온도 센서가 앰풀이 전달 온도에 도달하였음을 나타내면, 밸브가 열려 운반 기체는 앰풀로 들어가고, TDMAH 전구체/운반 기체 혼합물은 앰풀을 나간다. 배관을 통해 이동하는 TDMAH 전구체/운반 기체 혼합물은 앰풀보다 10 내지 20도 더 뜨겁게 가열되어 화학 증착 챔버로의 연결 라인 내 TDMAH 전구체의 응축을 방지한다. 화학 증착 챔버 내에는 이전에 변형된(예컨대, 패터닝, 에칭, 도핑 등) 300㎜ 규소 웨이퍼가 있다. 웨이퍼는 200℃ 내지 700℃로 가열된다. 화학 증착 챔버 내에서, 전구체 혼합물은 웨이퍼의 표면에서 산소와 접촉하고, 하프늄 산화물이 성장하기 시작한다. 웨이퍼가 수 초 내지 수 분의 시간 동안 노출되어 원하는 두께로 산화막이 성장한 다음 기체 흐름이 종료된다.
실시예 4
테트라키스 ( 디에틸아미노 )하프늄(TDEAH)을 사용한 원자층 증착
도 1에 도시한 앰풀과 비슷한 앰풀을 TDEAH로 대략 ¾ 정도 채운다. TDEAH는 주변 온도에서 액체이다. 사용할 수 있는 소스 화학 물질 레벨 센서는 액체 대 기체의 음파 컨덕턴스를 비교함으로써 동작하는 4 포인트 초음파 타입이다. 소스 화학 물질 센서는 앰풀의 TDEAH 전구체 내용물이 네 개의 사전설정된 포인트 중 어느 하나에 도달하는 경우 상이한 신호를 송신하는데, 마지막 포인트는 센서의 말단에 있다. 이러한 방식으로 앰풀 내 TDEAH 전구체를 사용하는 동안 그 소모율을 모니터한다. 이러한 모니터링은 앰풀 교체의 양호한 계획을 가능하게 하고, 반도체 제조업자에게 공정에 대한 추가 데이터를 제공한다.
소스 화학 물질 레벨 센서는 ¾인치 면 시일 연결부를 통해 장착할 수 있다. 온도 센서는 앰풀 커버의 중심에 위치한 모두 용접된 보호관 내 K 타입 열전쌍일 수 있다. 보호관은 온도 센서와 보호관 간의 접촉을 보증하도록 고온 열 전도성 오일로 채워질 수 있다. 보호관과 소스 화학 물질 레벨 센서의 말단은 앰풀의 하벽 부재 근처의 내부 용기 구획으로 연장된다. 상벽 부재와 돌기부의 대향 평탄면 사이의 시일은 도 5에 도시되어 있다. 운반 기체는 질소이다. 기체의 압력은 1 mTorr부터 1000 Torr이다.
TDEAH의 적당한 전달 온도는 80℃ 내지 120℃이다. 온도 센서가 앰풀이 적당한 전달 온도에 도달하였음을 나타내면, 밸브가 열려 운반 기체는 앰풀로 들어가고, TDEAH 전구체/운반 기체 혼합물은 앰풀을 나간다. 이 때, 또 다른 밸브는 TDEAH 전구체/운반 기체 혼합물의 원자층 증착 챔버로의 전달을 제어한다. 밸브와 연결 배관은 앰풀보다 10 내지 20도 더 뜨겁게 가열되어 원자층 증착 챔버로의 연결 라인 내 TDEAH 전구체의 응축을 방지한다. 원자층 증착 챔버 내에는 이전에 변형되어(예컨대, 패터닝, 에칭, 도핑 등) 200℃ 내지 700℃로 가열된 300㎜ 규소 웨이퍼가 있다. 전구체는 원자층 증착 챔버 내 웨이퍼 표면상에 증착된다. 충분한 시간, 일반적으로 수 초가 흘러 웨이퍼의 표면상에 완전한 단분자층이 형성되면, TDEAH 전구체/운반 기체 혼합물의 흐름을 차단하고, 챔버를 질소로 퍼징한다. 이어서, 산소를 원자층 증착 챔버에 도입하여 웨이퍼 표면상의 TDEAH 전구체와 반응시켜 산화물을 형성한다. 반응이 완료되면 질소를 사용하여 챔버를 퍼징하는데, 본 공정은 TDEAH 전구체/운반 기체의 새로운 충전으로 반복된다. 본 공정은 얼마나 많은 산화물층이 필요한지에 따라 반복된다. 일반적으로는 수십 사이클부터 수백 사이클까지 반복된다.
실시예 5
앰풀의 헬륨 누출 테스트
용기의 밀봉(및 재밀봉) 능력의 측정은 헬륨 누출률로 판정한다.
채워지지 않거나 빈 용기(예컨대, 앰풀) 테스트 절차를 위하여, 깨끗하고 건조한 빈 용기를 바리안(Varian) 모델 979와 같은 헬륨 누출 검출기에 부착한다. 1×10-2torr 내지 1×10-4torr, 바람직하게는 1×10-4torr 내지 9×10-4torr 범위의 값까지 진공이 적용된다. 유닛은 진공하에서 누출률을 측정하도록 설정되고, 흔히 "아웃사이드-인" 누출 검출로서 간주한다. 다음으로, 헬륨 기체의 소스를 모든 잠 재적인 누출 포인트인, 용접된 이음부와 기계적 시일(예컨대, 면 시일) 둘 다의 주위에 적용하면서 판독되는 누출률을 관찰한다. 합격 누출률은 1×10-6 atm-cc/sec 내지 1×10-11 atm-cc/sec의 범위, 바람직하게는 1×10-9 atm-cc/sec 내지 1×10-10 atm-cc/sec (㎤/초)의 범위이다.
채워진 용기(예컨대, 앰풀) 테스트 절차를 위하여, 용기를 전구체로 채운다. 헬륨 기체는 내부 압력이 1 psig 내지 30 psig의 범위, 바람직하게는 약 5 psig가 될 때까지 첨가되고, 모든 밸브는 꽉 잠근다. 바리안 모델 979를 탐지기 모드로 설정함으로써 앰풀의 누출을 테스트한다. 이는 흔히 "인사이드-아웃" 누출 검출로서 간주한다. 바리안 모델 979가 탐지기 모드에서 동작하여 모든 잠재적인 누출 포인트인, 용접된 이음부와 기계적 시일(예컨대, 면 시일) 둘 다를 테스트하고, 앰풀의 충진 동안 개방되는 부속품에 대하여 특히 주의한다. 판독되는 누출률을 관찰한다. 합격 누출률은 1×10-6 atm-cc/sec 내지 1×10-8 atm-cc/sec의 범위, 바람직하게는 1×10-8 atm-cc/sec 내지 9×10-8 atm-cc/sec (㎤/초)의 범위이다.
상벽 부재와 돌기부의 버니싱된 대향 평탄면을 구비하고, 도 1에 도시한 앰풀과 유사한 앰풀에 대하여, 상술한 채워지지 않은 앰풀과 채워진 앰풀 테스트 절차 둘 다를 통해 헬륨 누출률을 테스트하였다. 결과는 이하에서 기술한다.
Figure 112009052831147-pct00001
상벽 부재와 돌기부의 대향 평탄면에 스텔라이트를 포함하고, 도 1에 도시한 앰풀과 유사한 앰풀에 대하여, 상술한 채워지지 않은 앰풀과 채워진 앰풀 테스트 절차 둘 다를 통해 헬륨 누출률을 테스트하였다. 결과는 이하에서 기술한다.
Figure 112009052831147-pct00002
본 발명은 고려하는 바를 실시양태로 도시하고 기술하지만, 본 발명의 사상과 범위를 벗어나지 않으면서 형식적으로 또는 세부적으로 수많은 수정과 변경을 쉽게 이룰 수 있다는 점을 물론 이해하게 된다. 그러므로 본 발명은 본 명세서에 도시하고 기술한 형태와 세부 내용에 엄밀하게 한정하지 않고, 본 명세서와 이하의 청구범위의 내용으로 한정되지 않는다.

Claims (24)

  1. 기상 또는 액상 반응물 분배 장치로서,
    충진 레벨까지 소스 화학 물질을 유지하고, 추가로 충진 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기로서, 측벽 부재는 상벽 부재에 인접하여 내부 용기 구획으로 연장되는 돌기부를 갖고, 상벽 부재와 측벽 부재는 서로 접촉하는 대향 평탄면을 갖는, 용기;
    서로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단으로서, 상벽 부재와 돌기부는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는, 고정 수단; 및
    정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면과 접촉하는 금속 시일로서, 상벽 부재와 돌기부의 경화된 대향 평탄면은 금속 시일의 경도보다 큰 경도를 갖는, 금속 시일
    을 포함하는 기상 또는 액상 반응물 분배 장치.
  2. A. 기상 또는 액상 반응물 분배 장치로서,
    충진 레벨까지 소스 화학 물질을 유지하고, 추가로 충진 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기로서, 측벽 부재는 상벽 부재에 인접하여 내부 용기 구획으로 연장되는 돌기부를 갖고, 상벽 부재와 측벽 부재는 서로 접촉하는 대향 평탄면을 갖는, 용기;
    서로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단으로서, 상벽 부재와 돌기부는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는, 고정 수단;
    정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면과 접촉하는 금속 시일;
    운반 기체 공급 유입구가 있는 상벽 부재의 한 부분으로서, 유입구를 통해 운반 기체가 충진 레벨 위의 내부 기체 공간으로 공급되어 소스 화학 물질의 증기를 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있는, 상벽 부재의 한 부분; 및
    기상 반응물 출구가 있는 상벽 부재의 한 부분으로서, 출구를 통해 기상 반응물을 장치로부터 분배할 수 있는, 상벽 부재의 한 부분
    을 포함하고,
    상벽 부재와 돌기부의 경화된 대향 평탄면은 경질 물질을 대향 평탄면에 포함시킴으로써 형성되고, 상벽 부재와 돌기부의 경화된 대향 평탄면은 금속 시일의 경도보다 큰 경도를 갖는, 기상 또는 액상 반응물 분배 장치;
    B. 기상 반응물 분배 장치로서,
    충진 레벨까지 소스 화학 물질을 유지하고, 추가로 충진 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기로서, 측벽 부재는 상벽 부재에 인접하여 내부 용기 구획으로 연장되는 돌기부를 갖고, 상벽 부재와 측벽 부재는 서로 접촉하는 대향 평탄면을 갖는, 용기;
    서로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단으로서, 상벽 부재와 돌기부는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는, 고정 수단;
    정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면과 접촉하는 금속 시일;
    내부 기체 공간을 통과하여 소스 화학 물질로 연장되는 버블러 튜브를 포함하는 운반 기체 공급 유입구가 있는 상벽 부재의 한 부분으로서, 버블러 튜브를 통해 운반 기체가 소스 화학 물질에 버블링되어 소스 화학 물질 증기의 적어도 일부를 운반 기체 중에 비말 동반하게 하여 충진 레벨 위의 내부 기체 공간으로 기상 반응물의 흐름을 생성할 수 있고, 버블러 튜브는 상벽 부재에 인접한 유입구 말단 및 하벽 부재에 인접한 출구 말단을 갖는, 상벽 부재의 한 부분; 및
    기상 반응물 출구가 있는 상벽 부재의 한 부분으로서, 출구를 통해 기상 반응물을 장치로부터 분배할 수 있는, 상벽 부재의 한 부분
    을 포함하고,
    상벽 부재와 돌기부의 경화된 대향 평탄면은 금속 시일의 경도보다 큰 경도를 갖는, 기상 반응물 분배 장치; 및
    C. 액상 반응물 분배 장치로서,
    충진 레벨까지 소스 화학 물질을 유지하고, 추가로 충진 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기로서, 측벽 부재는 상벽 부재에 인접하여 내부 용기 구획으로 연장되는 돌기부를 갖고, 상벽 부재와 측벽 부재는 서로 접촉하는 대향 평탄면을 갖는, 용기;
    서로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단으로서, 상벽 부재와 돌기부는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는, 고정 수단;
    정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면과 접촉하는 금속 시일;
    불활성 기체 공급 유입구가 있는 상벽 부재의 한 부분으로서, 유입구를 통해 불활성 기체가 충진 레벨 위의 내부 기체 공간으로 공급되어 충진 레벨 위의 내부 기체 공간을 가압하는, 상벽 부재의 한 부분; 및
    액상 반응물 출구가 있는 상벽 부재의 한 부분으로서, 내부 기체 공간을 통과하여 소스 화학 물질로 연장되는 침지 튜브를 포함하여 액상 반응물을 장치로부터 분배할 수 있고, 침지 튜브는 상벽 부재에 인접한 출구 말단 및 하벽 부재에 인접한 유입구 말단을 갖는, 상벽 부재의 한 부분
    을 포함하고,
    상벽 부재와 돌기부의 경화된 대향 평탄면은 금속 시일의 경도보다 큰 경도를 갖는, 액상 반응물 분배 장치
    로부터 선택된 어느 하나의 장치.
  3. 제2항에 있어서,
    금속 시일은 외부 금속 재킷, 내부 엘라스토머 물질 또는 스프링 및 임의로 외부 금속 재킷과 내부 엘라스토머 물질 또는 스프링 사이에 배치된 라이너를 포함하는 장치.
  4. 제2항에 있어서,
    금속 시일은, 외부 원주 개구부가 있는 단면을 갖고, 측면으로 C 형상 또는 U 형상으로 형성된 환형 시일을 포함하는 장치.
  5. 제3항에 있어서,
    외부 금속 재킷은, 상부 외면에 환형으로 형성되고, 상벽 부재의 경화된 평탄면에 접하는 돌출부와, 하부 외면에 환형으로 형성되고, 돌기부의 경화된 평탄면에 접하는 돌출부를 포함하는 장치.
  6. 제2항에 있어서,
    경질 물질은 스텔라이트를 포함하는 장치.
  7. 제3항에 있어서,
    용기는 스테인리스강으로 제조되고, 외부 금속 재킷은 스테인리스강으로 제조된 장치.
  8. 제2항에 있어서,
    9×10-9 ㎤/초 미만의 (채워지지 않은 용기 테스트 절차) 헬륨 누출률을 갖는 장치.
  9. 제2항에 있어서,
    A. 상벽 부재의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되어 운반 기체를 충진 레벨 위의 내부 기체 공간으로 전달하기 위한 운반 기체 공급 라인으로서, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는 운반 기체 공급 라인; 및
    상벽 부재의 위쪽으로 그리고 외부로 기상 반응물 출구로부터 연장되어 충진 레벨 위의 내부 기체 공간으로부터 기상 반응물을 제거하기 위한 기상 반응물 방출 라인으로서, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는 기상 반응물 방출 라인;
    또는
    B. 상벽 부재의 위쪽으로 그리고 외부로 불활성 기체 공급 유입구로부터 연장되어 불활성 기체를 충진 레벨 위의 내부 기체 공간으로 전달하기 위한 불활성 기체 공급 라인으로서, 통과하는 불활성 기체의 흐름을 제어하기 위한 불활성 기체 흐름 제어 밸브를 포함하는 불활성 기체 공급 라인; 및
    상벽 부재의 위쪽으로 그리고 외부로 액상 반응물 출구로부터 연장되어 용기 로부터 액상 반응물을 제거하기 위한 액상 반응물 방출 라인으로서, 통과하는 액상 반응물의 흐름을 제어하기 위한 액상 반응물 흐름 제어 밸브를 포함하는 액상 반응물 방출 라인
    을 더 포함하는 장치.
  10. 제2항에 있어서,
    하벽 부재는 하벽 부재의 표면으로부터 아래쪽으로 연장되는 섬프 캐비티를 포함하는 장치.
  11. 제10항에 있어서,
    용기의 상단 외부로부터 상벽 부재의 한 부분을 통해 일반적으로 수직 아래쪽으로 내부 기체 공간을 통해 소스 화학 물질로 연장되는 온도 센서로서, 온도 센서의 하단은 섬프 캐비티의 표면에 충돌하지 않도록 근접하게 위치하는, 온도 센서; 및
    용기의 상단 외부로부터 상벽 부재의 한 부분을 통해 일반적으로 수직 아래쪽으로 내부 기체 공간을 통해 소스 화학 물질로 연장되는 소스 화학 물질 레벨 센서로서, 소스 화학 물질 레벨 센서의 하단은 섬프 캐비티의 표면에 충돌하지 않도록 근접하게 위치하는, 소스 화학 물질 레벨 센서
    를 더 포함하고,
    온도 센서는 용기에 동작가능하게 배치되어 용기 내 소스 화학 물질의 온도 를 판정하고, 소스 화학 물질 레벨 센서는 용기에 동작가능하게 배치되어 용기 내 소스 화학 물질의 레벨을 판정하고, 온도 센서 및 소스 화학 물질 레벨 센서는 용기 내에서 서로 충돌하지 않도록 근접하게 위치하고, 온도 센서의 하단은 소스 화학 물질 레벨 센서의 하단에 관하여 섬프 캐비티의 표면에 동일하게 또는 더욱 근접하게 위치하고, 온도 센서 및 소스 화학 물질 레벨 센서는 용기 내 소스 화학 물질 흐름을 소통하는 장치.
  12. 제9항에 있어서,
    A. 기상 분배 증착 시스템으로서, 화학 증착 시스템 및 원자층 증착 시스템으로부터 선택되는 증착 시스템과 기상 반응물 흐름을 소통하는 기상 반응물 방출 라인; 또는
    B. 기화 장치로서, 화학 증착 시스템 및 원자층 증착 시스템으로부터 선택되는 기상 분배 증착 시스템과 기상 반응물 흐름을 소통하는 기화 장치와 액상 반응물 흐름을 소통하는 액상 반응물 방출 라인
    을 더 포함하는 장치.
  13. 제2항에 있어서,
    용기는 원통형 측벽 부재 또는 비원통형 측벽 부재를 포함하는 장치.
  14. 제2항에 있어서,
    소스 화학 물질은 액체 또는 고체 물질을 포함하는 장치.
  15. 제2항에 있어서,
    소스 화학 물질은, 루테늄, 하프늄, 탄탈, 몰리브덴, 백금, 금, 티타늄, 납, 팔라듐, 지르코늄, 비스무트, 스트론튬, 바륨, 칼슘, 안티몬 및 탈륨으로부터 선택된 금속을 위한 전구체, 혹은 규소 및 게르마늄으로부터 선택된 반금속을 위한 전구체를 포함하는 장치.
  16. 제2항에 있어서,
    기상 또는 액상 반응물은, 루테늄, 하프늄, 탄탈, 몰리브덴, 백금, 금, 티타늄, 납, 팔라듐, 지르코늄, 비스무트, 스트론튬, 바륨, 칼슘, 안티몬 및 탈륨으로부터 선택된 금속을 위한 전구체, 혹은 규소 및 게르마늄으로부터 선택된 반금속을 위한 전구체를 포함하는 장치.
  17. 제9항에 있어서,
    A. 화학 증착 챔버 및 원자층 증착 챔버로부터 선택된 증착 챔버;
    기상 반응물 분배 장치를 증착 챔버에 연결하는 기상 반응물 방출 라인;
    증착 챔버 내에 포함되고, 기상 반응물 방출 라인에 대하여 수신 관계에 있는 가열식 서셉터; 및
    증착 챔버에 연결되는 유출물 방출 라인
    을 더 포함하고,
    기상 반응물은 기상 반응물 방출 라인을 통해 증착 챔버로 흘러 가열식 서셉터상의 기판과 접촉하고, 임의의 잔여 기상 반응물은 유출물 방출 라인을 통해 방출되고,
    또는
    B. 화학 증착 챔버 및 원자층 증착 챔버로부터 선택된 증착 챔버;
    액상 반응물 분배 장치를 기화 장치에 연결하는 액상 반응물 방출 라인;
    운반 기체 공급 유입구가 있는 기화 장치의 한 부분으로서, 유입구를 통해 운반 기체가 기화 장치에 공급되어 액상 반응물의 증기를 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있는, 기화 장치의 한 부분;
    기상 반응물 출구가 있는 기화 장치의 한 부분으로서, 출구를 통해 기상 반응물을 기화 장치로부터 분배할 수 있는, 기화 장치의 한 부분;
    기화 장치의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되는 운반 기체 공급 라인으로서, 운반 기체를 기화 장치에 전달하고, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
    기화 장치의 위쪽으로 그리고 외부로 기상 반응물 출구로부터 연장되는 기상 반응물 방출 라인으로서, 기상 반응물을 기화 장치로부터 증착 챔버로 이동시키고, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는, 기상 반응물 방출 라인;
    증착 챔버 내에 포함되고, 기화 장치에 대하여 수신 관계에 있는 가열식 서셉터; 및
    증착 챔버에 연결되는 유출물 방출 라인
    을 더 포함하고,
    기상 반응물은 기상 반응물 방출 라인을 통해 증착 챔버로 흘러 가열식 서셉터상의 기판과 접촉하고, 임의의 잔여 기상 반응물은 유출물 방출 라인을 통해 방출되는 장치.
  18. 제17항에 있어서,
    기판은 금속, 금속 실리사이드, 반도체, 절연체 및 배리어 물질로부터 선택된 물질로 구성되는 장치.
  19. 제17항에 있어서,
    기판은 패터닝된 웨이퍼인 장치.
  20. A. 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
    (a) 충진 레벨까지 소스 화학 물질을 유지하고, 추가로 충진 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기로서, 측벽 부재는 상벽 부재에 인접하여 내부 용기 구획으로 연장되는 돌기부를 갖고, 상벽 부재와 측벽 부재는 서로 접촉하는 대향 평탄면을 갖는, 용기;
    서로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단으로서, 상벽 부재와 돌기부는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는, 고정 수단;
    정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면과 접촉하는 금속 시일;
    운반 기체 공급 유입구가 있는 상벽 부재의 한 부분으로서, 유입구를 통해 운반 기체가 충진 레벨 위의 내부 기체 공간으로 공급되어 소스 화학 물질의 증기를 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성할 수 있는, 상벽 부재의 한 부분;
    상벽 부재의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되는 운반 기체 공급 라인으로서, 운반 기체를 충진 레벨 위의 내부 기체 공간으로 전달하고, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
    기상 반응물 출구가 있는 상벽 부재의 한 부분으로서, 출구를 통해 기상 반응물을 장치로부터 분배할 수 있는, 상벽 부재의 한 부분; 및
    상벽 부재의 위쪽으로 그리고 외부로 기상 반응물 출구로부터 연장되는 기상 반응물 방출 라인으로서, 충진 레벨 위의 내부 기체 공간으로부터 기상 반응물을 제거하고, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는, 기상 반응물 방출 라인
    을 포함하고,
    상벽 부재와 돌기부의 경화된 대향 평탄면은 경질 물질을 대향 평탄면에 포함시킴으로써 형성하고, 상벽 부재와 돌기부의 경화된 대향 평탄면은 금속 시일의 경도보다 큰 경도를 갖는, 기상 반응물 분배 장치를 제공하는 단계;
    (b) 주변 온도에서 소스 화학 물질을 기상 반응물 분배 장치에 첨가하는 단계;
    (c) 소스 화학 물질을 기화시키기 충분한 온도까지 기상 반응물 분배 장치 내 소스 화학 물질을 가열하여 기상 반응물을 제공하는 단계;
    (d) 운반 기체를 운반 기체 공급 라인을 통해 기상 반응물 분배 장치로 공급하는 단계;
    (e) 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 기상 반응물 분배 장치로부터 인출하는 단계; 및
    (f) 기상 반응물과 운반 기체를 증착 챔버에 공급하는 단계
    를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법;
    B. 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
    (a) 충진 레벨까지 소스 화학 물질을 유지하고, 추가로 충진 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기로서, 측벽 부재는 상벽 부재에 인접하여 내부 용기 구획으로 연장되는 돌기부를 갖고, 상벽 부재와 측벽 부재는 서로 접촉하는 대향 평탄면을 갖는, 용기;
    서로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단으로서, 상벽 부재와 돌기부는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는, 고정 수단;
    정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면과 접촉하는 금속 시일;
    내부 기체 공간을 통과하여 소스 화학 물질로 연장되는 버블러 튜브를 포함하는 운반 기체 공급 유입구가 있는 상벽 부재의 한 부분으로서, 버블러 튜브를 통해 운반 기체가 소스 화학 물질에 버블링되어 소스 화학 물질 증기의 적어도 일부를 운반 기체 중에 비말 동반하게 하여 충진 레벨 위의 내부 기체 공간으로 기상 반응물의 흐름을 생성할 수 있고, 버블러 튜브는 상벽 부재에 인접한 유입구 말단 및 하벽 부재에 인접한 출구 말단을 갖는, 상벽 부재의 한 부분;
    상벽 부재의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되는 운반 기체 공급 라인으로서, 운반 기체를 소스 화학 물질로 전달하고, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
    기상 반응물 출구가 있는 상벽 부재의 한 부분으로서, 출구를 통해 기상 반응물을 장치로부터 분배할 수 있는, 상벽 부재의 한 부분; 및
    상벽 부재의 위쪽으로 그리고 외부로 기상 반응물 출구로부터 연장되는 기상 반응물 방출 라인으로서, 충진 레벨 위의 내부 기체 공간으로부터 기상 반응물을 제거하고, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는, 기상 반응물 방출 라인
    을 포함하고,
    상벽 부재와 돌기부의 경화된 대향 평탄면은 금속 시일의 경도보다 큰 경도를 갖는, 기상 반응물 분배 장치를 제공하는 단계;
    (b) 주변 온도에서 소스 화학 물질을 기상 반응물 분배 장치에 첨가하는 단계;
    (c) 소스 화학 물질을 기화시키기 충분한 온도까지 기상 반응물 분배 장치 내 소스 화학 물질을 가열하여 기상 반응물을 제공하는 단계;
    (d) 운반 기체를 운반 기체 공급 라인 및 버블러 튜브를 통해 기상 반응물 분배 장치로 공급하는 단계;
    (e) 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 기상 반응물 분배 장치로부터 인출하는 단계; 및
    (f) 기상 반응물과 운반 기체를 증착 챔버에 공급하는 단계
    를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법; 및
    C. 기상 반응물을 증착 챔버에 전달하기 위한 방법으로서,
    (a) 충진 레벨까지 소스 화학 물질을 유지하고, 추가로 충진 레벨 위의 내부 기체 공간을 한정하는 내부 용기 구획을 형성하도록 구성된 제거가능한 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기로서, 측벽 부재는 상벽 부재에 인접하여 내부 용기 구획으로 연장되는 돌기부를 갖고, 상벽 부재와 측벽 부재는 서로 접촉하는 대향 평탄면을 갖는, 용기;
    서로 접촉하는 대향 평탄면을 통해 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단으로서, 상벽 부재와 돌기부는 서로 접촉하지 않는 대향 평탄면을 갖고, 대향 평탄면 중 적어도 일부는 경화되어 있는, 고정 수단;
    정렬되어 상벽 부재와 돌기부의 경화된 대향 평탄면과 접촉하는 금속 시일;
    불활성 기체 공급 유입구가 있는 상벽 부재의 한 부분으로서, 유입구를 통해 불활성 기체가 충진 레벨 위의 내부 기체 공간으로 공급되어 충진 레벨 위의 내부 기체 공간을 가압하는, 상벽 부재의 한 부분;
    상벽 부재의 위쪽으로 그리고 외부로 불활성 기체 공급 유입구로부터 연장되는 불활성 기체 공급 라인으로서, 불활성 기체를 충진 레벨 위의 내부 기체 공간으로 전달하고, 통과하는 불활성 기체의 흐름을 제어하기 위한 불활성 기체 흐름 제어 밸브를 포함하는, 불활성 기체 공급 라인;
    액상 반응물 출구가 있는 상벽 부재의 한 부분으로서, 내부 기체 공간을 통과하여 소스 화학 물질로 연장되는 침지 튜브를 포함하여 액상 반응물을 장치로부터 분배할 수 있고, 침지 튜브는 상벽 부재에 인접한 출구 말단 및 하벽 부재에 인접한 유입구 말단을 갖는, 상벽 부재의 한 부분; 및
    상벽 부재의 위쪽으로 그리고 외부로 액상 반응물 출구로부터 연장되는 액상 반응물 방출 라인으로서, 액상 반응물을 용기로부터 제거하고, 통과하는 액상 반응물의 흐름을 제어하기 위한 액상 반응물 흐름 제어 밸브를 포함하는, 액상 반응물 방출 라인
    을 포함하고,
    상벽 부재와 돌기부의 경화된 대향 평탄면은 금속 시일의 경도보다 큰 경도를 갖는, 액상 반응물 분배 장치를 제공하는 단계;
    (b) 주변 온도에서 액상 반응물을 액상 반응물 분배 장치에 첨가하는 단계;
    (c) 고체 소스 화학 물질을 용융시키기 충분한 온도까지 액상 반응물 분배 장치 내 고체 소스 화학 물질을 임의로 가열하여 액상 반응물을 제공하는 단계;
    (d) 불활성 기체를 불활성 기체 공급 라인을 통해 액상 반응물 분배 장치로 공급하는 단계;
    (e) 침지 튜브와 액상 반응물 방출 라인을 통해 액상 반응물을 액상 반응물 분배 장치로부터 인출하는 단계;
    (f) 액상 반응물을 기화시키기 위해 내부 용기 구획을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기;
    액상 반응물 분배 장치를 기화 장치에 연결하는 액상 반응물 방출 라인;
    운반 기체 공급 유입구가 있는 기화 장치의 한 부분으로서, 유입구를 통해 운반 기체가 기화 장치에 공급되어 액상 반응물의 증기를 운반 기체 중에 비말 동반하게 하여 기상 반응물을 생성하는, 기화 장치의 한 부분;
    기상 반응물 출구가 있는 기화 장치의 한 부분으로서, 출구를 통해 기상 반응물을 기화 장치로부터 분배할 수 있는, 기화 장치의 한 부분;
    기화 장치의 위쪽으로 그리고 외부로 운반 기체 공급 유입구로부터 연장되는 운반 기체 공급 라인으로서, 운반 기체를 기화 장치에 전달하고, 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
    기화 장치의 위쪽으로 그리고 외부로 기상 반응물 출구로부터 연장되는 기상 반응물 방출 라인으로서, 기상 반응물을 기화 장치로부터 증착 챔버로 이동시키고, 통과하는 기상 반응물의 흐름을 제어하기 위한 기상 반응물 흐름 제어 밸브를 포함하는, 기상 반응물 방출 라인
    을 포함하는 기화 장치를 제공하는 단계;
    (g) 액상 반응물을 기화 장치에 공급하는 단계;
    (h) 액상 반응물을 기화시키기 충분한 온도까지 기화 장치 내 액상 반응물을 가열하여 기상 반응물을 제공하는 단계;
    (i) 운반 기체를 운반 기체 공급 라인을 통해 기화 장치에 공급하는 단계;
    (j) 기상 반응물 방출 라인을 통해 기상 반응물과 운반 기체를 기화 장치로부터 인출하는 단계; 및
    (k) 기상 반응물과 운반 기체를 증착 챔버에 공급하는 단계
    를 포함하는, 기상 반응물을 증착 챔버에 전달하기 위한 방법
    으로부터 선택된 어느 하나의 방법.
  21. 제20항에 있어서,
    A. (g) 기상 반응물을 증착 챔버 내 가열식 서셉터상의 기판과 접촉시키는 단계; 및
    (h) 증착 챔버에 연결된 유출물 방출 라인을 통해 임의의 잔여 기상 반응물을 방출하는 단계
    를 더 포함하거나,
    또는
    B. (l) 기상 반응물을 증착 챔버 내 가열식 서셉터상의 기판과 접촉시키는 단계; 및
    (m) 증착 챔버에 연결된 유출물 방출 라인을 통해 임의의 잔여 기상 반응물을 방출하는 단계
    를 더 포함하는 방법.
  22. 제20항에 있어서,
    증착 챔버는 화학 증착 챔버 및 원자층 증착 챔버로부터 선택되는 방법.
  23. 제21항에 있어서,
    기판은 금속, 금속 실리사이드, 반도체, 절연체 및 배리어 물질로부터 선택된 물질로 구성되는 방법.
  24. 제21항에 있어서,
    기판은 패터닝된 웨이퍼인 방법.
KR1020097017954A 2007-01-29 2008-01-28 반응물 분배 장치 및 전달 방법 KR101485615B1 (ko)

Applications Claiming Priority (21)

Application Number Priority Date Filing Date Title
US89812107P 2007-01-29 2007-01-29
US89794707P 2007-01-29 2007-01-29
US60/897,947 2007-01-29
US60/898,121 2007-01-29
US90357907P 2007-02-27 2007-02-27
US90372007P 2007-02-27 2007-02-27
US60/903,579 2007-02-27
US60/903,720 2007-02-27
US12/014,282 US7959994B2 (en) 2007-01-29 2008-01-15 Diptube apparatus and delivery method
US12/014,237 2008-01-15
US12/014,270 2008-01-15
US12/014,194 2008-01-15
US12/014,194 US8512635B2 (en) 2007-01-29 2008-01-15 Apparatus and method for delivering vapor phase reagent to a deposition chamber
US12/014,228 US8518482B2 (en) 2007-01-29 2008-01-15 Bubbler apparatus and method for delivering vapor phase reagent to a deposition chamber
US12/014,270 US8518484B2 (en) 2007-01-29 2008-01-15 Bubbler apparatus and delivery method
US12/014,228 2008-01-15
US12/014,248 2008-01-15
US12/014,237 US8518483B2 (en) 2007-01-29 2008-01-15 Diptube apparatus and method for delivering vapor phase reagent to a deposition chamber
US12/014,248 US8524321B2 (en) 2007-01-29 2008-01-15 Reagent dispensing apparatus and delivery method
US12/014,282 2008-01-15
PCT/US2008/052175 WO2008094854A2 (en) 2007-01-29 2008-01-28 Reagent dispensing apparatus and delivery method

Publications (2)

Publication Number Publication Date
KR20090125242A KR20090125242A (ko) 2009-12-04
KR101485615B1 true KR101485615B1 (ko) 2015-01-27

Family

ID=39666511

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097017954A KR101485615B1 (ko) 2007-01-29 2008-01-28 반응물 분배 장치 및 전달 방법

Country Status (6)

Country Link
US (7) US8518484B2 (ko)
EP (1) EP2115345B1 (ko)
JP (1) JP2010516901A (ko)
KR (1) KR101485615B1 (ko)
TW (1) TWI468613B (ko)
WO (2) WO2008094854A2 (ko)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8518484B2 (en) * 2007-01-29 2013-08-27 Praxair Technology, Inc. Bubbler apparatus and delivery method
US7883745B2 (en) * 2007-07-30 2011-02-08 Micron Technology, Inc. Chemical vaporizer for material deposition systems and associated methods
DE102007050573A1 (de) * 2007-10-23 2009-04-30 Evonik Degussa Gmbh Großgebinde zur Handhabung und für den Transport von hochreinen und ultra hochreinen Chemikalien
US20110000813A1 (en) * 2009-06-12 2011-01-06 Dombkowski Richard E Reusable tote for hazardous chemicals
EP2521622A4 (en) * 2010-01-06 2013-08-28 Advanced Tech Materials LIQUID DISTRIBUTION SYSTEMS WITH DEGASSING AND DETECTION CAPABILITIES
US8852685B2 (en) 2010-04-23 2014-10-07 Lam Research Corporation Coating method for gas delivery system
TW201251094A (en) * 2011-06-07 2012-12-16 Hon Hai Prec Ind Co Ltd Electrode of dye-sensitized solar cells manufacturing equipment
TW201339354A (zh) * 2011-11-01 2013-10-01 Beneq Oy 基板處理裝置及方法
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6037684B2 (ja) 2012-07-02 2016-12-07 三菱日立パワーシステムズ株式会社 蒸気タービン設備
TWI615497B (zh) * 2013-02-28 2018-02-21 應用材料股份有限公司 金屬胺化物沉積前驅物及具有惰性安瓿襯裡之該前驅物的穩定化
KR102272693B1 (ko) * 2013-07-11 2021-07-05 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 액체를 충진 및 분배하기 위한 장치 및 방법
GB2539572B (en) 2014-01-23 2019-03-20 Ultratech Inc Vapor delivery system
US9964332B2 (en) 2014-03-27 2018-05-08 Lam Research Corporation Systems and methods for bulk vaporization of precursor
US9914632B2 (en) * 2014-08-22 2018-03-13 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
KR101985115B1 (ko) * 2014-10-31 2019-05-31 프렉세어테크날러지인코포레이티드 가압 가스용 용기
CN105292762A (zh) * 2015-10-29 2016-02-03 上海华力微电子有限公司 一种可改善涂布覆盖不良的光刻胶瓶
TWI726944B (zh) * 2015-12-06 2021-05-11 美商應用材料股份有限公司 用於封閉金屬容器的連續液體位準量測偵測器
TWI719284B (zh) * 2017-03-03 2021-02-21 美商應用材料股份有限公司 用於半導體製造前驅物的安瓿及用於半導體前驅物液體的安瓿
US10844484B2 (en) * 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN108915288A (zh) * 2018-06-12 2018-11-30 魏城 一种建筑工程用防堵塞注胶装置
US20220003317A1 (en) * 2018-10-10 2022-01-06 Valqua, Ltd. Metal gasket
CN109317384B (zh) * 2018-10-23 2022-03-01 常熟市通盛电子科技有限公司 一种金属、塑料、木材自动化涂装方法
US10914521B2 (en) * 2019-01-24 2021-02-09 Versum Materials Us, Llc System and method for drying and analytical testing of containers
KR20200130121A (ko) * 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
KR20210018759A (ko) * 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US20210123134A1 (en) * 2019-10-24 2021-04-29 Entegris, Inc. Sublimation ampoule with level sensing
CN112964544B (zh) * 2021-03-11 2023-02-28 天津大学 一种用于铅铋环境下的原位双轴力学试验装置
US11759757B1 (en) * 2022-12-14 2023-09-19 Chun-Ming Lin Device and apparatus for agitation of liquid

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3329447A (en) * 1965-01-18 1967-07-04 Gifford L Hitz Self-energizing seal for high pressure flanged connections
WO1996009483A1 (en) 1994-09-21 1996-03-28 Raychem Limited Sealing member
WO2001098690A1 (en) 2000-06-22 2001-12-27 Axtech Limited Double metal seal for flanged connections
WO2005054522A1 (de) 2003-12-05 2005-06-16 Siemens Aktiengesellschaft Verfahren zum selektiven härten von dichtflächen

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3266311A (en) * 1964-05-12 1966-08-16 Delavan Mfg Company Inc Cryogenic liquid level sensing apparatus
DE2219870C2 (de) 1972-04-22 1974-05-02 Troisdorfer Bau- Und Kunststoff Gmbh, 5210 Troisdorf Behälter, insbesondere Kunststoffoder Stahlbehälter
US3826139A (en) * 1973-03-19 1974-07-30 Laval Turbine Liquid level indicating apparatus
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4676404A (en) * 1983-10-17 1987-06-30 Nippon Zeon Co., Ltd. Method and apparatus for feeding drug liquid from hermetic returnable can
DE3428713A1 (de) 1984-05-26 1985-11-28 Hilbers, Heinrich, Dipl.-Ing., 5205 St Augustin Verfahren und vorrichtung eines geschlossenen eisspeichers fuer die kaelteversorgung der raumlufttechnischen klimaanlage
US5102010A (en) * 1988-02-16 1992-04-07 Now Technologies, Inc. Container and dispensing system for liquid chemicals
US4899585A (en) * 1988-07-19 1990-02-13 Semi-Gas Systems, Inc. Liquid level detector and method for a vapor deposition container
DE69218152T2 (de) * 1991-12-26 1997-08-28 Canon Kk Herstellungsverfahren einer niedergeschlagenen Schicht mittels CVD, unter Verwendung von flüssigem Rohstoff und dazu geeignete Vorrichtung
JPH06291040A (ja) * 1992-03-03 1994-10-18 Rintetsuku:Kk 液体気化供給方法と液体気化供給器
JP2896268B2 (ja) * 1992-05-22 1999-05-31 三菱電機株式会社 半導体基板の表面処理装置及びその制御方法
JPH0610144A (ja) * 1992-06-29 1994-01-18 Matsushita Electric Ind Co Ltd 低蒸気圧材料供給装置
US5335821A (en) 1992-09-11 1994-08-09 Now Technologies, Inc. Liquid chemical container and dispensing system
US5526956A (en) * 1992-09-11 1996-06-18 Now Technologies, Inc. Liquid chemical dispensing and recirculating system
US6029717A (en) * 1993-04-28 2000-02-29 Advanced Delivery & Chemical Systems, Ltd. High aspect ratio containers for ultrahigh purity chemicals
US5366119A (en) * 1993-05-26 1994-11-22 Kline James B Dispenser bottle with internal pump
US5388574A (en) * 1993-07-29 1995-02-14 Ingebrethsen; Bradley J. Aerosol delivery article
JPH07211646A (ja) 1994-01-14 1995-08-11 Mitsubishi Electric Corp 材料供給装置
US5366120A (en) * 1994-04-19 1994-11-22 Tonis Tollasepp Paint pump
US5749500A (en) * 1996-04-23 1998-05-12 Kraus; Joey Liquid retrieving adaptor for cylindrical containers
EP0953064B1 (en) * 1996-12-17 2007-09-12 Advanced Technology Materials, Inc. Reagent supply vessel for chemical vapor deposition
US6245151B1 (en) * 1998-07-17 2001-06-12 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
US6257446B1 (en) * 1999-02-18 2001-07-10 Advanced Micro Devices, Inc. Liquid chemical container with integrated fluid reservoir
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6837251B1 (en) * 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
US6609632B2 (en) * 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6736154B2 (en) * 2001-01-26 2004-05-18 American Air Liquide, Inc. Pressure vessel systems and methods for dispensing liquid chemical compositions
US6526824B2 (en) * 2001-06-07 2003-03-04 Air Products And Chemicals, Inc. High purity chemical container with external level sensor and liquid sump
US6578634B2 (en) * 2001-09-05 2003-06-17 Key Energy Services, Inc. Method of monitoring pumping operations of a service vehicle at a well site
DE10200786B4 (de) * 2002-01-11 2004-11-11 Dockweiler Ag Sicherheitsbehälter
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
JP4556205B2 (ja) * 2003-03-28 2010-10-06 ニチアス株式会社 金属ガスケット
CN101495190B (zh) * 2005-03-16 2013-05-01 高级技术材料公司 用于从固体源递送试剂的系统
US8518484B2 (en) * 2007-01-29 2013-08-27 Praxair Technology, Inc. Bubbler apparatus and delivery method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3329447A (en) * 1965-01-18 1967-07-04 Gifford L Hitz Self-energizing seal for high pressure flanged connections
WO1996009483A1 (en) 1994-09-21 1996-03-28 Raychem Limited Sealing member
WO2001098690A1 (en) 2000-06-22 2001-12-27 Axtech Limited Double metal seal for flanged connections
WO2005054522A1 (de) 2003-12-05 2005-06-16 Siemens Aktiengesellschaft Verfahren zum selektiven härten von dichtflächen

Also Published As

Publication number Publication date
JP2010516901A (ja) 2010-05-20
WO2008094854A2 (en) 2008-08-07
EP2115345A2 (en) 2009-11-11
US20080182010A1 (en) 2008-07-31
US20080179767A1 (en) 2008-07-31
EP2115345B1 (en) 2016-08-24
TWI468613B (zh) 2015-01-11
WO2008094857A2 (en) 2008-08-07
US8524321B2 (en) 2013-09-03
US20080182425A1 (en) 2008-07-31
US20080178809A1 (en) 2008-07-31
US20080213476A1 (en) 2008-09-04
KR20090125242A (ko) 2009-12-04
US8512635B2 (en) 2013-08-20
US20110210142A1 (en) 2011-09-01
US8518483B2 (en) 2013-08-27
US20080182023A1 (en) 2008-07-31
TW200844360A (en) 2008-11-16
US8518484B2 (en) 2013-08-27
US8518482B2 (en) 2013-08-27
WO2008094854A3 (en) 2008-09-25
US7959994B2 (en) 2011-06-14
WO2008094857A8 (en) 2008-10-02
US8114479B2 (en) 2012-02-14

Similar Documents

Publication Publication Date Title
KR101485615B1 (ko) 반응물 분배 장치 및 전달 방법
TWI408250B (zh) 分配裝置及其使用方法
KR101585242B1 (ko) 반응물 분배 장치 및 송출 방법
US8235364B2 (en) Reagent dispensing apparatuses and delivery methods
KR20100126423A (ko) 다중 앰풀 전달 시스템
EP2108616B1 (en) Delivery method for a reagent using a reagent dispensing apparatus
KR20090108556A (ko) 반응물 분배 장치 및 송출 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180105

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 5