KR20100126423A - 다중 앰풀 전달 시스템 - Google Patents

다중 앰풀 전달 시스템 Download PDF

Info

Publication number
KR20100126423A
KR20100126423A KR1020107021089A KR20107021089A KR20100126423A KR 20100126423 A KR20100126423 A KR 20100126423A KR 1020107021089 A KR1020107021089 A KR 1020107021089A KR 20107021089 A KR20107021089 A KR 20107021089A KR 20100126423 A KR20100126423 A KR 20100126423A
Authority
KR
South Korea
Prior art keywords
vapor phase
phase reagent
carrier gas
gas supply
reagent
Prior art date
Application number
KR1020107021089A
Other languages
English (en)
Inventor
데미트리우스 사리기안니스
신시아 에이 후버
미카엘 요셉 크라우제
에드워드 프라이어
스테펜 체스터스
로날드 스폰
Original Assignee
프랙스에어 테크놀로지, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 프랙스에어 테크놀로지, 인코포레이티드 filed Critical 프랙스에어 테크놀로지, 인코포레이티드
Publication of KR20100126423A publication Critical patent/KR20100126423A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

본 발명은 복수의 용기 및 복수의 운반 기체 또는 불활성 기체 공급/증기상 또는 액상 시약 전달 매니폴드를 구비한 통합 증기상 또는 액상 시약 분배 장치에 관한 것으로서, 반도체 물질 및 장치의 제조 시 물질의 증착을 위하여 전구체와 같은 증기상 또는 액상 시약을 연속적으로 분배하는 데 사용할 수도 있다.

Description

다중 앰풀 전달 시스템{MULTIPLE AMPOULE DELIVERY SYSTEMS}
본 발명은 복수의 용기와 복수의 운반 기체 또는 불활성 기체 공급/증기상 또는 액상 시약 전달 매니폴드를 구비하고, 증기상 또는 액상 시약, 예컨대 반도체 물질 및 장치의 제조 시 물질의 침착을 위한 전구체를 연속적으로 분배하기 위하여 사용할 수도 있는 통합 증기상 또는 액상 시약 분배 장치에 관한 것이다.
반도체 및 제약 산업에 사용하는 고순도 화학물질은 보관중에 순도를 유지하기 위하여 특수 포장이 필요하다. 이는 공기 및/또는 공기 중의 수분과 반응하는 화학물질에 대해 특히 적용된다. 이러한 고순도 화학물질은 일반적으로 버블러(bubbler) 또는 앰풀(ampoule)과 같은 용기에 공급된다.
최신 화학 증착 설비 및 원자층 증착 설비는 버블러 또는 앰풀을 활용하여 전구체 화학물질을 증착 챔버에 전달한다. 이러한 버블러 또는 앰풀은, 운반 기체를 고순도 전구체 화학물질의 용기를 통해 통과시켜 운반 기체와 함께 전구체 증기를 증착 챔버에 운반하는 기능을 한다.
집적회로의 크기가 감소함에 따라 내부 성분 또는 특징부의 치수도 작아진다. 크기가 감소함에 따라, 이에 상응하여 필름 품질과 장치 성능에 대한 불순물의 영향을 최소화하도록 더 순수한 화학물질에 대한 필요성이 증가한다. 그러므로 공급자들은 고순도 화학물질을 제조할 수 있어야할 뿐만 아니라 고순도를 유지하는 용기에 이를 전달할 수 있어야 한다.
전구체 화학물질의 물리적 특성 및 앰풀과 밸브의 구성 물질의 물리적 특성은 이용할 수 있는 최대 허용 전달 온도를 좌우한다. 취급 및 전달에 문제를 일으키는 전구체 화학 특성 중 일부로는 예를 들어 공기 중의 수분 및 산소와의 발열 반응성이 있다. 이는, 대용량 유출의 경우에는 가연성 부산물 및 화재를 야기할 수 있고, 전달 라인 내 잔류 공기의 경우에는 전달 라인을 오염시키고 이어서 공정 동안 웨이퍼 표면으로 전달되어 전자 장치를 망가뜨릴 수 있는 미립자를 생성할 수 있다. 가열된 앰풀에서의 전구체 화학물질의 제한된 열 안정성은 증기압을 감소시킬 수 있고/거나 공정을 오염시킬 수 있는, 앰풀 내 불순물(힐(heel))의 점진적인 축적, 및 공정을 오염시키는 입자를 생성하는, 전구체 화학물질 전달 매니폴드의 기체 라인 및 밸브의 분해를 야기한다.
다음 화학 증착 또는 원자층 증착에 앞서 앰풀을 교체할 수 있도록 앰풀 내 전구체 화학물질이 거의 소모되는 시기를 아는 것이 또한 중요하다. 앰풀이 사이클 도중 말라버리면, 전체 웨이퍼 배치가 손상되어 수백만 달러의 잠재적인 손실을 초래할 것이다. 그러므로 값비싼 액체 전구체 화학물질의 낭비를 방지하도록 앰풀 내에 가능한 적은 양으로 전구체 화학물질을 남기는 것이 바람직하다. 화학 전구체의 가격이 상승함에 따라, 가능한 적은 양으로 화학물질을 낭비하는 것이 더욱 중요해진다.
증착 공정의 소모 속도 및 앰풀의 크기는 앰풀 교체 빈도의 결정적인 요인이다. 교체 단계는 매우 시간 소모적일 수 있고, (ⅰ) 앰풀을 폐쇄하고, 잔류 전구체 화학물질을 제거하기 충분한 온도에서 라인을 사이클 퍼징(purging)하고; (ⅱ) 앰풀을 실온으로 냉각하고, 사용한 앰플을 제거하고, 새로운 앰플로 교체하고; (ⅲ) 연결 레그(leg) 내 잔류 공기를 제거하도록 시스템을 실온에서 사이클 퍼징하고; (ⅳ) 원하는 온도까지 앰풀(및 앰풀의 밸브)을 천천히 가열하고(물질의 분해를 방지하도록 느린 가열이 중요함); 전구체 화학물질의 용융점 바로 위까지 앰풀을 가열하고; 용융 온도로부터 동작 온도까지 천천히 앰풀을 램핑하고; 새로운 물질을 정성분석하는 것을 포함할 수 있다.
낮은 열 안정성 및/또는 실온에서 고체인 특성을 갖는 전구체 화학물질의 경우, 대용량 전달 시스템의 구현은 곤란한 과제일 수 있다. 예를 들어, 저장소에서 다량의 물질을 가열 및 용융시키고, 전구체 화학물질이 액체로 유리되도록 전구체 화학물질 분배 라인의 광범위한 길이; 충전이 반복될수록 불순물이 용기에 점차 농축됨에 따른 앰풀 내 불순물의 축적; 및 유휴 가열된 분배 라인에서의 전구체 화학물질의 열 분해를 열 추적해야 하는 과제가 있다.
본 기술분야에서는 앰풀의 교체와 관련하여 최소 정지 시간으로 동작할 수 있는, 증기상 또는 액상 시약 분배 장치를 제공하는 것이 바람직하다. 본 기술분야에서는 전구체 화학물질의 고순도를 유지하고, 또한 장치에서의 전구체 화학물질의 활용을 증가시키고, 이에 상응하여 전구체 화학물질의 낭비를 줄일 수 있는, 증기상 또는 액상 시약 분배 장치를 제공하는 것이 바람직하다.
또한, 본 기술분야에서는 장치가 설치되는 공정 설비에 투명한 증기상 또는 액상 시약 분배 장치를 제공하는 것이 바람직하다. 즉, 설비 운용자는 증기상 또는 액상 시약 분배 장치가 적절하게 동작하도록 설비를 수정하면 안 된다.
본 발명은 부분적으로 통합 증기상 시약 분배 장치에 관한 것으로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 운반 기체 공급 유입 개구를 통해 운반 기체는 충전 레벨 위 상기 내부 기체 공간으로 공급되어 상기 소스 화학물질의 증기가 상기 운반 기체중에 비말 동반되어 증기상 시약을 생성할 수 있고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
복수의 운반 기체 공급/증기상 시약 전달 매니폴드로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인 및 증기상 시약 방출 라인을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드; 및
각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함한다.
본 발명은 또한 부분적으로 통합 증기상 시약 분배 장치에 관한 것으로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 운반 기체 공급 유입 개구를 통해 운반 기체는 충전 레벨 위 상기 내부 기체 공간으로 공급되어 상기 소스 화학물질의 증기가 상기 운반 기체중에 비말 동반되어 증기상 시약을 생성할 수 있고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
복수의 운반 기체 공급/증기상 시약 전달 매니폴드로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인 및 증기상 시약 방출 라인을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드;
복수의 소싱 기체 매니폴드로서, 각각의 상기 소싱 기체 매니폴드는 서로 상호연결되고; 각각의 소싱 기체 매니폴드는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 소싱 기체 매니폴드는 상기 운반 기체 공급/증기상 시약 전달 매니폴드의 상기 운반 기체 공급 라인과 연속하는 운반 기체 공급 라인을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브, 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기를 포함하는, 복수의 소싱 기체 매니폴드; 및
각각의 상기 소싱 기체 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 소싱 기체 매니폴드, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함한다.
본 발명은 또한 증기상 시약을 증착 챔버에 전달하기 위한 방법에 관한 것으로서,
(a) 통합 증기상 시약 분배 장치를 제공하는 단계로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 운반 기체 공급 유입 개구를 통해 운반 기체는 충전 레벨 위 상기 내부 기체 공간으로 공급되어 상기 소스 화학물질의 증기가 상기 운반 기체중에 비말 동반되어 증기상 시약을 생성할 수 있고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
복수의 운반 기체 공급/증기상 시약 전달 매니폴드로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인 및 증기상 시약 방출 라인을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드; 및
각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함하는 통합 증기상 시약 분배 장치를 제공하는 단계;
소스 화학물질을 하나 이상의 상기 용기에 부가하는 단계;
소스 화학물질을 기화시키기 충분한 온도까지 하나 이상의 상기 용기 내 소스 화학물질을 가열하여 증기상 시약을 제공하는 단계;
운반 기체를 상기 운반 기체 공급 라인을 통해 하나 이상의 상기 용기에 공급하는 단계;
상기 용기 중 임의의 다른 용기와 독립적으로 상기 증기상 시약 방출 라인을 통해 상기 용기 중 하나로부터 증기상 시약과 운반 기체를 인출하는 단계; 및
증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함한다.
본 발명은 또한 부분적으로 통합 증기상 시약 분배 장치에 관한 것으로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질에 연장되는 버블러 튜브를 포함하고, 운반 기체 공급 유입 개구를 통해 상기 운반 기체가 소스 화학물질에 버블링되어 소스 화학물질 증기의 적어도 일부가 상기 운반 기체중에 비말 동반되어 증기상 시약의 흐름을 충전 레벨 위 상기 내부 기체 공간에 생성할 수 있고, 상기 버블러 튜브는 상벽 부재에 인접한 유입 단부 및 하벽 부재에 인접한 배출 단부를 구비하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기; 및
복수의 운반 기체 공급/증기상 시약 전달 매니폴드로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인 및 증기상 시약 방출 라인을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드; 및
각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함한다.
본 발명은 또한 부분적으로 통합 증기상 시약 분배 장치에 관한 것으로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질에 연장되는 버블러 튜브를 포함하고, 운반 기체 공급 유입 개구를 통해 상기 운반 기체가 소스 화학물질에 버블링되어 소스 화학물질 증기의 적어도 일부가 상기 운반 기체중에 비말 동반되어 증기상 시약의 흐름을 충전 레벨 위 상기 내부 기체 공간에 생성할 수 있고, 상기 버블러 튜브는 상벽 부재에 인접한 유입 단부 및 하벽 부재에 인접한 배출 단부를 구비하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
복수의 운반 기체 공급/증기상 시약 전달 매니폴드로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인 및 증기상 시약 방출 라인을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드;
복수의 소싱 기체 매니폴드로서, 각각의 상기 소싱 기체 매니폴드는 서로 상호연결되고; 각각의 소싱 기체 매니폴드는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 소싱 기체 매니폴드는 상기 운반 기체 공급/증기상 시약 전달 매니폴드의 상기 운반 기체 공급 라인과 연속하는 운반 기체 공급 라인을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브, 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기를 포함하는, 복수의 소싱 기체 매니폴드; 및
각각의 상기 소싱 기체 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 소싱 기체 매니폴드, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함한다.
본 발명은 또한 부분적으로 증기상 시약을 증착 챔버에 전달하기 위한 방법에 관한 것으로서,
(a) 통합 증기상 시약 분배 장치를 제공하는 단계로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질에 연장되는 버블러 튜브를 포함하고, 운반 기체 공급 유입 개구를 통해 상기 운반 기체가 소스 화학물질에 버블링되어 소스 화학물질 증기의 적어도 일부가 상기 운반 기체중에 비말 동반되어 증기상 시약의 흐름을 충전 레벨 위 상기 내부 기체 공간에 생성할 수 있고, 상기 버블러 튜브는 상벽 부재에 인접한 유입 단부 및 하벽 부재에 인접한 배출 단부를 구비하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기; 및
복수의 운반 기체 공급/증기상 시약 전달 매니폴드로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인 및 증기상 시약 방출 라인을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드; 및
각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함하는 통합 증기상 시약 분배 장치를 제공하는 단계;
소스 화학물질을 하나 이상의 상기 용기에 부가하는 단계;
소스 화학물질을 기화시키기 충분한 온도까지 하나 이상의 상기 용기 내 소스 화학물질을 가열하여 증기상 시약을 제공하는 단계;
운반 기체를 상기 운반 기체 공급 라인 및 상기 버블러 튜브를 통해 하나 이상의 상기 용기에 공급하는 단계;
상기 용기 중 임의의 다른 용기와 독립적으로 상기 증기상 시약 방출 라인을 통해 상기 용기 중 하나로부터 증기상 시약과 운반 기체를 인출하는 단계; 및
증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함한다.
본 발명은 또한 부분적으로 통합 액상 시약 분배 장치에 관한 것으로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 불활성 기체 공급 유입 개구를 구비하고, 불활성 기체 공급 유입 개구를 통해 상기 불활성 기체가 충전 레벨 위 내부 기체 공간으로 공급되어 충전 레벨 위 내부 기체 공간을 가압할 수 있고; 상벽 부재의 일부는 액상 시약 배출 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질로 연장되는 딥튜브를 포함하고, 딥튜브를 통해 액상 시약이 상기 장치로부터 분배될 수 있고, 상기 딥튜브는 상벽 부재에 인접한 배출 단부 및 하벽 부재에 인접한 유입 단부를 구비하는, 복수의 용기;
복수의 불활성 기체 공급/액상 시약 전달 매니폴드로서, 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 불활성 기체 공급/액상 시약 전달 매니폴드에 연결되고; 각각의 불활성 기체 공급/액상 시약 전달 매니폴드는 불활성 기체 공급 라인 및 액상 시약 방출 라인을 포함하고; 상기 불활성 기체 공급 라인은 불활성 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 불활성 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 불활성 기체 공급 라인은 불활성 기체 공급 라인을 통과하는 불활성 기체의 흐름을 제어하기 위하여 하나 이상의 불활성 기체 흐름 제어 밸브를 포함하고; 상기 액상 시약 방출 라인은 액상 시약을 상기 용기로부터 제거하기 위하여 액상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 액상 시약 방출 라인은 액상 시약 방출 라인을 통과하는 액상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 액상 시약 흐름 제어 밸브를 포함하는, 복수의 불활성 기체 공급/액상 시약 전달 매니폴드; 및
각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함한다.
본 발명은 또한 부분적으로 통합 액상 시약 분배 장치에 관한 것으로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 불활성 기체 공급 유입 개구를 구비하고, 불활성 기체 공급 유입 개구를 통해 상기 불활성 기체가 충전 레벨 위 내부 기체 공간으로 공급되어 충전 레벨 위 내부 기체 공간을 가압할 수 있고; 상벽 부재의 일부는 액상 시약 배출 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질로 연장되는 딥튜브를 포함하고, 딥튜브를 통해 액상 시약이 상기 장치로부터 분배될 수 있고, 상기 딥튜브는 상벽 부재에 인접한 배출 단부 및 하벽 부재에 인접한 유입 단부를 구비하는, 복수의 용기;
복수의 불활성 기체 공급/액상 시약 전달 매니폴드로서, 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 불활성 기체 공급/액상 시약 전달 매니폴드에 연결되고; 각각의 불활성 기체 공급/액상 시약 전달 매니폴드는 불활성 기체 공급 라인 및 액상 시약 방출 라인을 포함하고; 상기 불활성 기체 공급 라인은 불활성 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 불활성 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 불활성 기체 공급 라인은 불활성 기체 공급 라인을 통과하는 불활성 기체의 흐름을 제어하기 위하여 하나 이상의 불활성 기체 흐름 제어 밸브를 포함하고; 상기 액상 시약 방출 라인은 액상 시약을 상기 용기로부터 제거하기 위하여 액상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 액상 시약 방출 라인은 액상 시약 방출 라인을 통과하는 액상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 액상 시약 흐름 제어 밸브를 포함하는, 복수의 불활성 기체 공급/액상 시약 전달 매니폴드;
복수의 소싱 기체 매니폴드로서, 각각의 상기 소싱 기체 매니폴드는 서로 상호연결되고; 각각의 소싱 기체 매니폴드는 적어도 하나의 불활성 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 소싱 기체 매니폴드는 상기 불활성 기체 공급/증기상 시약 전달 매니폴드의 상기 불활성 기체 공급 라인과 연속하는 불활성 기체 공급 라인을 포함하고; 불활성 기체 공급 라인은 불활성 기체 공급 라인을 통과하는 불활성 기체의 흐름을 제어하기 위한 하나 이상의 불활성 기체 흐름 제어 밸브, 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기를 포함하는, 복수의 소싱 기체 매니폴드; 및
각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함한다.
본 발명은 또한 부분적으로 증기상 시약을 증착 챔버에 전달하기 위한 방법에 관한 것으로서,
(a) 통합 액상 시약 분배 장치를 제공하는 단계로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 불활성 기체 공급 유입 개구를 구비하고, 불활성 기체 공급 유입 개구를 통해 상기 불활성 기체가 충전 레벨 위 내부 기체 공간으로 공급되어 충전 레벨 위 내부 기체 공간을 가압할 수 있고; 상벽 부재의 일부는 액상 시약 배출 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질로 연장되는 딥튜브를 포함하고, 딥튜브를 통해 액상 시약이 상기 장치로부터 분배될 수 있고, 상기 딥튜브는 상벽 부재에 인접한 배출 단부 및 하벽 부재에 인접한 유입 단부를 구비하는, 복수의 용기;
복수의 불활성 기체 공급/액상 시약 전달 매니폴드로서, 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 불활성 기체 공급/액상 시약 전달 매니폴드에 연결되고; 각각의 불활성 기체 공급/액상 시약 전달 매니폴드는 불활성 기체 공급 라인 및 액상 시약 방출 라인을 포함하고; 상기 불활성 기체 공급 라인은 불활성 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 불활성 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 불활성 기체 공급 라인은 불활성 기체 공급 라인을 통과하는 불활성 기체의 흐름을 제어하기 위하여 하나 이상의 불활성 기체 흐름 제어 밸브를 포함하고; 상기 액상 시약 방출 라인은 액상 시약을 상기 용기로부터 제거하기 위하여 액상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 액상 시약 방출 라인은 액상 시약 방출 라인을 통과하는 액상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 액상 시약 흐름 제어 밸브를 포함하는, 복수의 불활성 기체 공급/액상 시약 전달 매니폴드; 및
각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함하는 통합 액상 시약 분배 장치를 제공하는 단계;
소스 화학물질을 하나 이상의 상기 용기에 부가하는 단계;
임의로 고체 소스 화학물질을 용융시키기 충분한 온도까지 하나 이상의 상기 용기 내 고체 소스 화학물질을 가열하여 액상 시약을 제공하는 단계;
불활성 기체를 상기 불활성 기체 공급 라인을 통해 하나 이상의 상기 용기에 공급하는 단계;
상기 용기 중 임의의 다른 용기와 독립적으로 상기 딥튜브 및 상기 액상 시약 방출 라인을 통해 상기 용기 중 하나로부터 액상 시약을 인출하는 단계;
기화 장치를 제공하는 단계로서,
내부 용기 칸을 형성하여 액상 시약을 기화시키도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기;
통합 액상 시약 분배 장치를 상기 기화 장치에 연결하는 상기 액상 시약 방출 라인;
기화 장치의 일부로서, 운반 기체 공급 유입 개구를 구비하고, 운반 기체 공급 유입 개구를 통해 운반 기체가 상기 기화 장치로 공급되어 상기 액상 시약의 증기가 상기 운반 기체중에 비말 동반되어 증기상 시약을 생성할 수 있는, 기화 장치의 일부;
기화 장치의 일부로서, 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 기화 장치로부터 분배될 수 있는, 기화 장치의 일부;
운반 기체를 상기 기화 장치에 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 기화 장치로부터 외부로 연장되는 운반 기체 공급 라인으로서, 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
증기상 시약을 상기 기화 장치로부터 상기 증착 챔버로 방출하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 기화 장치로부터 외부로 연장되는 증기상 시약 방출 라인으로서, 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위한 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 증기상 시약 방출 라인
을 포함하는 기화 장치를 제공하는 단계;
액상 시약을 상기 기화 장치에 공급하는 단계;
액상 시약을 기화시키기 충분한 온도까지 상기 기화 장치 내 액상 시약을 가열하여 상기 증기상 시약을 제공하는 단계;
운반 기체를 상기 운반 기체 공급 라인을 통해 상기 기화 장치에 공급하는 단계;
증기상 시약과 운반 기체를 상기 증기상 시약 방출 라인을 통해 상기 기화 장치로부터 인출하는 단계; 및
증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함한다.
본 발명은 또한 부분적으로 통합 증기상 시약 분배 장치에 관한 것으로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 소스 화학물질을 보유하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
복수의 증기상 시약 전달 매니폴드로서, 각각의 상기 증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 증기상 시약 전달 매니폴드에 연결되고; 각각의 증기상 시약 전달 매니폴드는 증기상 시약 방출 라인을 포함하고; 상기 증기상 시약 방출 라인은 상기 용기로부터 증기상 시약을 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브을 포함하는, 복수의 증기상 시약 전달 매니폴드; 및
각각의 상기 증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함한다.
본 발명은 또한 부분적으로 통합 증기상 시약 분배 장치에 관한 것으로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 소스 화학물질을 보유하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
복수의 증기상 시약 전달 매니폴드로서, 각각의 상기 증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 증기상 시약 전달 매니폴드에 연결되고; 각각의 증기상 시약 전달 매니폴드는 증기상 시약 방출 라인을 포함하고; 상기 증기상 시약 방출 라인은 상기 용기로부터 증기상 시약을 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브을 포함하는, 복수의 증기상 시약 전달 매니폴드;
복수의 운반 기체 공급 매니폴드로서, 각각의 상기 운반 기체 공급 매니폴드는 적어도 하나의 증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급 매니폴드는 운반 기체 공급 라인을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브, 및 운반 기체 공급 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기를 포함하는, 복수의 운반 기체 공급 매니폴드; 및
각각의 상기 운반 기체 공급 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급 매니폴드, 각각의 상기 증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함한다.
본 발명은 또한 증기상 시약을 증착 챔버에 전달하기 위한 방법에 관한 것으로서,
(a) 통합 증기상 시약 분배 장치를 제공하는 단계로서,
복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 소스 화학물질을 보유하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
복수의 증기상 시약 전달 매니폴드로서, 각각의 상기 증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 증기상 시약 전달 매니폴드에 연결되고; 각각의 증기상 시약 전달 매니폴드는 증기상 시약 방출 라인을 포함하고; 상기 증기상 시약 방출 라인은 상기 용기로부터 증기상 시약을 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브을 포함하는, 복수의 증기상 시약 전달 매니폴드;
복수의 운반 기체 공급 매니폴드로서, 각각의 상기 운반 기체 공급 매니폴드는 적어도 하나의 증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급 매니폴드는 운반 기체 공급 라인을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브, 및 운반 기체 공급 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기를 포함하는, 복수의 운반 기체 공급 매니폴드; 및
각각의 상기 운반 기체 공급 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급 매니폴드, 각각의 상기 증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
를 포함하는 통합 증기상 시약 분배 장치를 제공하는 단계;
소스 화학물질을 하나 이상의 상기 용기에 부가하는 단계;
임의로 소스 화학물질을 기화시키기 충분한 온도까지 하나 이상의 상기 용기 내 소스 화학물질을 가열하여 증기상 시약을 제공하는 단계;
상기 용기 중 임의의 다른 용기와 독립적으로 상기 증기상 시약 방출 라인을 통해 상기 용기 중 하나로부터 증기상 시약을 인출하는 단계;
운반 기체를 상기 운반 기체 공급 라인을 통해 상기 증기상 시약 전달 매니폴드에 공급하여 상기 증기상 시약과 혼합하는 단계; 및
증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함한다.
본 발명의 통합 증기상 또는 액상 시약 분배 장치 또는 조립체는, 공급 용기로부터의 증기상 시약이 화학 증착 챔버에 이동하여 공급 증기로부터 증착 챔버 내 기재상에 물질층을 증착하기 위한, 예를 들어 화학 증착 시스템을 포함하는 다양한 범위의 공정 시스템에 사용할 수도 있다.
본 발명의 통합 증기상 또는 액상 시약 분배 장치는 앰풀의 교체와 관련된 최소 정지 시간으로 연속적으로 동작할 수 있고, 고순도의 전구체 화학물질을 유지할 수 있고, 또한 장치 내 전구체 화학물질의 사용량을 증가시킬 수 있고, 이에 상응하여 전구체 화학물질의 낭비를 줄일 수 있다. 통합 증기상 또는 액상 시약 분배 장치는 상기 장치가 연결되는 프로세스 설비에 투명하다. 설비 운영자는 통합 증기상 또는 액상 시약 분배 장치가 적절하게 동작하도록 설비를 변경할 필요가 없다. 본 발명의 통합 증기상 또는 액상 시약 분배 장치 또는 조립체는 액체 전구체 화학물질의 순도를 유지하고, 액체 또는 고체 전구체 화학물질의 사용률을 증가시켜 낭비를 줄이고 설비 사용을 증가시킨다.
본 발명의 다른 양상, 특징 및 실시양태는 다음의 상세한 설명 및 첨부한 특허청구범위로부터 더욱 분명해질 것이다.
도 1은 통합 증기상 또는 액상 시약 분배 장치의 밸브 도면이다.
도 2는 통합 증기상 또는 액상 시약 분배 장치를 제어하는 프로그램가능 로직 컨트롤러로의/로부터의 입력 및 출력을 도시한다.
도 3은 본원에 사용된 밸브 표기법을 도시한다. 3-포트 밸브에 대한 흑색 레그는 작동된 레그를 나타낸다. 흐름 경로는 백색 레그 사이에서 항상 개방이다.
도 4는 밸브(V-1 내지 V-6)와 가열 존(Z-1 내지 Z-5)을 도시하는 단일 앰풀의 도면이다.
도 5는 밸브(V-1 내지 V-16), 압력 변환기(PTA 및 PTB) 및 가열 존(Z-1 내지 Z-16)을 도시하는 통합 증기상 또는 액상 시약 분배 장치의 배관 및 기기의 도면이다.
도 6은 운용자가 각 매니폴드에 대한 모드를 바꾸는 경우 PLC가 취하는 일반적인 기본 단계 및 선택을 나타내는 예시적인 PLC 논리 흐름도이다.
도 7은 설비로부터의 공압(pneumatic) 신호를 활성 매니폴드 중 어느 하나상의 적당한 밸브에 릴레이할 수 있으면서 프로그램가능 로직 컨트롤러가 유휴 매니폴드상의 유사한 밸브를 또한 제어하게 하는 방법의 예를 도시하는 프로그램가능 로직 컨트롤러의 단순화된 공압 레이아웃이다. 이러한 구성으로 인해 최종 사용자는 설비의 한 위치에서 모든 공압 밸브를 폐쇄할 수 있다.
도 8은 단일 앰풀의 장전 플랫폼을 도시한다.
도 9는 통합 스프링 플레이트를 도시하는 앰풀 활주 선반의 측면도이다.
도 10은 정렬과 제거의 이슈를 완화시키는 앰풀 장전 선반의 도면이다.
도 11은 통합 증기상 또는 액상 시약 분배 장치의 매니폴드 레이아웃을 도시한다.
도 12는 매니폴드에서의 90°만곡부, 라인 길이 및 앰풀들 간의 간격을 감소시키는, 45°각도로 회전된 앰풀을 도시하는 통합 증기상 또는 액상 시약 분배 장치의 매니폴드 레이아웃을 도시한다.
도 13은 전방(상) 및 45°(하) 대면하는 옆에 있는 특정 앰풀들의 경우에 대한 앰풀 배출구들 사이의 짧은 직선 샷 거리를 도시하는 평면도이다.
도 14는 옆에 있는 특정 앰풀들을 구비한 밸브 레이아웃을 도시하는 통합 증기상 또는 액상 시약 분배 장치의 배관 및 기기의 도면이다.
도 15는 다중 앰풀 전달 시스템으로부터 방출되는 운반 기체와 전구체의 한 실시양태 및 다중 앰풀 전달 시스템으로부터 방출되는 순수 전구체의 또 다른 실시양태(순수한 전달)를 도시하는 통합 증기상 또는 액상 시약 분배 장치의 단순화된 도면이다.
도 16은 순수 전구체 전달 시스템에 대한 밸브 레이아웃을 도시하는 통합 증기상 또는 액상 시약 분배 장치의 배관 및 기기의 도면이다.
도 17은 통합 증기상 또는 액상 시약 분배 장치에 사용된 PLC 스크린의 예시적인 스크린 샷이다.
소량의 유기금속 전구체는 일반적으로 화학 증착 또는 원자층 증착 설비에 사용하는 1일-용기, 앰풀 또는 버블러에 저장한다. 웨이퍼가 더 커지고, 유기금속 전구체의 사용 속도가 증가함에 따라, 주어진 양의 전구체가 지속되는 시간은 감소한다. 이는 더욱 잦은 앰풀 교체를 필요로 하고, 더 낮은 설비 활용을 야기한다. 지금까지의 표준 접근법은 1) 더 큰 앰풀을 사용하고, 2) 서브-팹(sub-fab)에 저장된 큰 저장소로부터 액체로서 전구체를 인출하여 설비의 더 작은 앰풀에 보내는 벌크 재충전 시스템을 사용하는 것이다.
본 발명은, 벌크 충전 해결책이 광범위하게 사용되고 있는 TMA 또는 TMG와 같은 특정 전구체에 대하여 작용하지만, 수많은 새로운 전구체는 고체일 수도 있거나 낮은 열 안정성을 가질 수도 있어 벌크 충전 시스템이 새로운 전구체에 대하여 작용하기 어렵게 하거나 불가능하게 한다는 점에서 특유하다. 한 실시양태에서, 본 발명은 동일하거나 상이한 타입이고, 동일하거나 상이한 유기금속 전구체의 2개의 앰풀(예컨대, 2개의 버블러 앰플 또는 1개의 버블러 앰풀과 1개의 딥튜브(diptube) 앰풀)을 시스템상에 나란히 배치할 수 있다. 하나의 앰풀이 라이브(live)인 동안 다른 하나의 앰풀은 오프라인(offline)이고 활성 앰풀이 거의 비게 될 때 온라인(online)이 되도록 준비한다.
또한, 본 발명의 다중 앰풀 전달 시스템은, 반도체 설비가 단일 앰풀 시스템을 "알게(see)"하는 프로그램가능 로직 컨트롤러로 제어하도록 설계한다. 이는 현재 시스템을 설비 회사에 대하여 드롭-인 교체(drop-in replacement)하게 한다.
한 실시양태에서, 본 발명은 동일하거나 상이한 타입이고, 동일하거나 상이한 전구체를 함유하는 복수 개, 예컨대 2개의 앰풀(예컨대, 2개의 버블러 앰플 또는 1개의 버블러 앰풀과 1개의 딥튜브 앰풀)과, 평행하게 배관되고, 통상의 공정 및 덤프 라인을 공유하는 가열된 매니폴드들을 포함한다. 매니폴드들은 하나의 앰풀이 라이브(전구체를 설비에 전달하는 온도에서)이고 다른 매니폴드는 대기 또는 오프라인 상태일 수 있도록 한다. 프로그램가능 로직 컨트롤러는 매니폴드 밸브와 열 추적을 제어하고, 여분의 밸브를 활성 매니폴드상에 정확하게 설정하고 설비로부터의 공압 밸브 신호를 활성 앰풀 매니폴드상의 적절한 밸브에 다시 전송함으로써 설비가 시스템상의 하나의 앰풀만 "알게" 한다. 프로그램가능 로직 컨트롤러는 다른 하나의 앰풀은 동작중인 동안 비활성 앰풀에 대한 사이클 퍼징 및 앰풀 교환 단계를 제어할 수 있다. 설비는 하나의 앰풀만 알기 때문에, 이는 기존 설비에 대한 플러그 앤 플레이 해결책이다.
본 발명의 다중 앰풀 전달 시스템의 장점은 반도체 설비 플랫폼이 단일 앰풀 전구체 전달 시스템용으로 이미 설계되어 있다는 점이다. 전구체 교환이 필요한 경우(액체에서 고체 또는 열적으로 불안정한 액체), 설비 회사는 설비가 다중 앰풀을 제어할 수 있도록 플랫폼을 재설계할 필요가 없다.
앰풀들이 상주하는 캐비닛은 임으로 앰풀들을 벽으로 분리한다. 안전성 요건에 따라, 하나의 문이 있고, 분리 벽이 없는 캐비닛이 본 발명에서 사용하기 적합할 수도 있다. 각 앰풀은 온라인 앰풀과의 간섭을 방지하도록 프로그램가능 로직 컨트롤러와 연동할 수 있는 각 앰풀의 문을 통해 접근할 수 있다. 앰풀은 캐비닛 내부와 외부에서 그리고 매니폴드와의 정렬을 위한 앰플의 축 약간 위와 아래 및 주위에서 앰풀이 조작되게 하는 선반상에 장착된다.
벌크 충전 시스템에 비해 다중 앰풀 시스템의 장점은, 예를 들어 단일 앰풀에 비해 다중 앰풀 시스템은 앰풀 교체중 0인 설비 정지 시간을 갖고; 벌크 충전에 비해 다중 앰풀 시스템은 사용자가 가득한 잠재적으로 위험한 유기금속 전구체 액체 충전된 라인이 팹(fab)을 통과하는 것을 피하게 하고; 벌크 시스템은 새로운 전구체를 사용된 전구체의 위에 충전하여 앰풀 내 불순물을 농축시키는 반면 2중 앰풀 시스템은 사용된 전구체를 새로운 전구체로 교체하도록 사용된 앰풀을 제거하는 것을 포함한다.
높은 동작 온도까지 가열된 전구체의 경우, 벌크 충전 시스템은 여전히 앰풀을 냉각시켜 온도를 낮출 필요가 있는 반면 2중 앰풀 시스템은 다른 앰풀이 계속해서 전구체를 설비에 제공하는 동안 새로운 앰풀을 설치하고 그 온도가 되게 한다. 모든 경우에서, 설비는 공정 책임자에 좌우되는 재-정성분석을 필요로 할 수도 있고, 시스템 및 전구체 공급이 얼마나 반복될 수 있는지를 결정한다. 활성 앰풀이 거의 비게 되면, 제2 앰풀을 정성분석하기 전에 재충전 또는 온도 안정화를 대기할 필요가 없다. 벌크 충전 용기 내 사양에서 벗어난 유기금속 전구체는 다중 설비상의 다중 앰풀에 영향을 준다. 다중 앰풀 시스템을 사용하면 한 설비상의 한 앰풀로 영향이 제한된다.
다른 장점도 분명하다. 수많은 벌크 충전 시스템은 용매를 사용하여 액체 라인을 세척한다. 따라서 전구체와 용매의 폐기물 혼합물은 고객에게 화학적 처리 비용을 부가한다. 2중 앰풀 시스템은 액체 또는 고체로서 라인을 통해 전달되기 적합하지 않은 금속 클로라이드와 같은 높은 용융점 고체 전구체에 대하여 용이하게 사용할 수 있다. 2중 앰풀 시스템은, 입자 또는 오염 문제가 존재하는 경우 교체하기 쉬운 작은 매니폴드를 구비하며 단지 하나의 설비에 영향을 미친다. 벌크 충전 탱크에 대한 유사한 문제는 다양한 길이의 라인을 교체할 필요가 있을 수도 있고, 복수의 설비에 영향을 미칠 수도 있다. 2중 앰풀 시스템은 동일한 단일 앰풀을 단일 앰풀 시스템으로서 사용하므로, (일체형 흐름) 화학 재고품 관리에 의지하는 데 적합하다.
또한, 복수의 웨이퍼가 있는 대용량 배치 설비의 경우, 본 발명의 다중 앰풀 시스템은 사용자의 앰풀 교체를 위한 정지 시간을 일반적으로 약 24시간 이상으로부터 약 4시간 이하로 또는 새로운 물질을 정성분석하는 시간 정도로 줄일 수 있다. 이는 약 80% 초과의 정지 시간 감소에 상당할 수 있다.
도 1은 본 발명의 2중 앰풀 전달 시스템을 위한 도식적인 밸브를 도시한다. 도 1을 참조하면, 2중 앰풀 전달 시스템은 유기금속 전구체 증기를 통상의 공정 설비에 전달할 수 있는 각각의 평행한 기체 매니폴드(22 및 23)에 연결된 2개의 앰풀(20 및 21)을 포함한다. 각 매니폴드에 공급되는 기체는 퍼지/프로세스 매니폴드(24 및 25)를 사용하여 선택되고, 주어진 매니폴드가 유휴인 경우 매니폴드는 통상의 덤프 라인으로 퍼지될 수 있다. 앰풀과 매니폴드는 각 앰풀을 위한 개별 문과 섹션이 있는 통기형 캐비닛(26)에 포함된다. 퍼지/프로세스 매니폴드에 위치한 압력 변환기(PTA 및 PTB)를 사용하여 유동 상황 또는 비유동 상황에 대하여 기체 라인을 모니터한다. 앰풀과 매니폴드는 또한 온도 제어할 수 있다.
이러한 2중 앰풀 전달 시스템의 동작은 프로그램가능 로직 컨트롤러를 통해 수행된다. 이러한 2중 앰풀 전달 시스템을 제어하는 프로그램가능 로직 컨트롤러로의/로부터의 일반적인 입력 및 출력은 도 2에 도시한다. 프로그램가능 로직 컨트롤러는 매니폴드로부터 다양한 디지털 및 아날로그 입력을 취하고, 이를 이용하여 온도 및 성능 동작을 제어한다. 또한, 프로그램가능 로직 컨트롤러는 공정 설비로부터 입력을 취하고, 이러한 입력을 활성 매니폴드에 보낸다. 프로그램가능 로직 컨트롤러는 공정 설비 및 최종 사용자가 요청한 대로 경보음을 또한 송신할 수 있다. 터치스크린과 같은 인간 머신 인터페이스로 인해 사용자는 시스템 및 성능 동작을 수동으로 구성할 수 있다.
본 발명을 실시하기 위한 바람직한 모드는 프로그램가능 로직 컨트롤러로 제어되는 2중 앰풀 전달 시스템이다. 도 3은 본원에서 사용하는 밸브 표기법을 기술한다. 일반적인 원자층 증착 또는 화학 증착 공정 설비를 위한 표준 단일 앰풀 훅업(hook-up)은 도 4에 도시된다. 이러한 구성에서, 앰풀 및 앰풀 위의 매니폴드가 가열된다. 사실상, 앰풀 위의 매니폴드(Z-4 및 Z-5)는 라인에서의 전구체 응축을 방지하도록 앰풀의 온도 설정(Z-1, Z-2 및 Z-3)점보다 5℃ 초과의 온도로 유지된다. 밸브(V-3 및 V-4)는 앰플에 있는 수동 밸브이다.
도 4에서 도식적인 모든 밸브는 통상 폐쇄된 밸브이다. 밸브(V-5 및 V-6)는 공정 설비가 매니폴드로부터 앰풀을 단리시키도록 하는 3-포트 공압식 구동 밸브이다. 전구체 전달 동안, V-2는 폐쇄되는 반면 다른 밸브는 개방되어 아르곤 또는 헬륨과 같은 건조 불활성 운반 기체가 앰풀로 이동하게 하여, 유기금속 전구체, 예컨대 TDMAH의 앰풀로부터 공정 챔버로의 전달을 돕는다. 일반적으로, 원자층 증착 응용의 경우, 최종 단리 지점으로서 챔버에 가능한 가깝게 위치한 V-6의 하류에 최종 밸브(도시하지 않음)가 존재한다. 이러한 최종 밸브는 설비에 통합된다.
2중 앰풀 전달 시스템에 대한 바람직한 배관 및 기기는 도 5에 도시된다. 도 5는 밸브, 압력 변환기 및 핫 존을 도시한다. 기체 전달 산업에서의 통상의 실시는 상류와 하류 위치 둘 다에 압력 변환기를 사용하는 것이다. 도 5에서 보는 바와 같이, 이러한 시스템은 앰풀의 상류에 압력 변환기(PTA 및 PTB)를 구비할 뿐이다. 유기금속 전구체의 하류의 압력 변환기는 데드 레그(dead leg), 히트 싱크 및 누출에 대한 또 다른 연결점으로서 작용한다. 이들은 모두 매니폴드에 미립자 형성을 야기할 수 있다. 또한, 밸브가 개방되지 않거나, 라인에서 누출이 존재하는지 여부를 결정하는 데 필요한 모든 정보는 매니폴드당 하나의 압력 변환기를 사용하여 얻을 수 있다.
도 5에서, 표준 앰풀 훅업에서 CVD 설비에 의해 제어되는 밸브와 유사한 밸브는 앰풀 A에 대한 V-1, V-2, V-8 및 V-9와 앰풀 B에 대한 V-5, V-10, V-11 및 V-12이다.
프로그램가능 로직 컨트롤러가 기여하는 입력과 출력은 도 2에 도식적으로 도시된다. 프로그램가능 로직 컨트롤러는 매니폴드로부터의 다양한 아날로그 및 디지털 신호와 함께 운영자 머신 인터페이스(HMI)를 통해 설비 또는 운영자로부터의 명령을 취하도록 설계된다. 도 5를 참조하면, 프로그램가능 로직 컨트롤러는 모두 16개의 온도 존과 14개의 매니폴드 밸브를 제어하고, 피드백을 위하여 각각의 열전쌍 및 밸브 위치 표시기를 모니터한다. 프로그램가능 로직 컨트롤러는 설비로부터의 공압식 또는 전기식 밸브 개방 명령을 활성 매니폴드에 릴레이하고, 설비가 비상시에 정지하는 경우(EMO - 비상 오프(emergency off))에는 안전 상태로 정지할 것이다.
프로그램가능 로직 컨트롤러는, 각각의 소싱 기체 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 용기가 서로 독립적으로 동작할 수 있는 방식으로, 각각의 소싱 기체 매니폴드, 각각의 운반 기체 공급/증기상 시약 전달 매니폴드, 각각의 용기 및 증착 챔버와의 통신을 지시하기 위한 알고리즘을 구비한다.
프로그램가능 로직 컨트롤러는 각각의 소싱 기체 매니폴드, 각각의 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 용기로부터 디지털 및 아날로그 입력을 수신할 수 있고, 디지털 및 아날로그 입력을 이용하여 동작을 수행한다. 컨트롤러는 증착 챔버로부터 명령 입력을 또한 수신할 수 있고, 명령 입력을 이용하여 동작을 수행한다.
각각의 운반 기체 공급/증기상 시약 전달 매니폴드, 각각의 용기 및 각각의 소싱 기체 매니폴드로부터의 디지털 및 아날로그 입력은, 항온 존으로부터의 열전쌍 및 각각의 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 소싱 기체 매니폴드에 대한 압력 측정이 관여하는 아날로그 입력 및 밸브 위치 표시기, 덤프 펌프 온/오프 및 각각의 용기에 대한 레벨 센서가 관여하는 디지털 입력을 포함한다. 증착 챔버로부터의 명령 입력은 공압식 및 전기식 밸브 구동 신호, 상기 증착 챔버로부터의 비상 오프(EMO) 및 경보 상태를 포함한다.
위에서 수신된 디지털 및 아날로그 입력에 관하여, 수행되는 동작은 개별 온도 존, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드, 각각의 상기 용기 및 각각의 상기 소싱 기체 매니폴드에서의 온도 제어; 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 소싱 기체 매니폴드에서 밸브 제어; 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드, 각각의 상기 용기 및 각각의 상기 소싱 기체 매니폴드에서의 피드백을 위하여 열전쌍 및 밸브 위치 표시기의 모니터링; 증착 챔버로부터의 전기식 및 공압식 밸브 구동 신호를 각각의 상기 활성 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 활성 소싱 기체 매니폴드에 릴레이; 및 캐비닛의 비상 기체 오프(EGO), 온도 경고, 온도 경보, 밸브 위치 정보, 레벨 센서 정보 및 다른 경보를 상기 증착 챔버와 통신하는 것을 포함한다.
위에서 수신된 명령 입력에 관하여, 수행되는 동작은 개별 온도 존, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드, 각각의 상기 소싱 기체 매니폴드 및 각각의 상기 용기에서의 온도 제어; 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 소싱 기체 매니폴드에서의 밸브의 제어; 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드, 각각의 상기 용기 및 각각의 상기 소싱 기체 매니폴드에서의 피드백을 위하여 열전쌍 및 밸브 위치 표시기의 모니터링; 증착 챔버로부터의 전기식 및 공압식 밸브 구동 신호를 각각의 상기 활성 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 활성 소싱 기체 매니폴드에 릴레이; 및 캐비닛의 비상 기체 오프(EGO), 온도 경고, 온도 경보, 밸브 위치 정보, 레벨 센서 정보 및 다른 경보를 상기 증착 챔버와 통신하는 것을 포함한다.
상술한 디지털 및 아날로그 입력을 수신하는 것으로부터 수행되는 동작은 각각의 운반 기체 공급/증기상 시약 전달 매니폴드, 각각의 소싱 기체 매니폴드 및 각각의 용기에서의 온도 상태 및 밸브 상태를 개별적으로 제어하는 것을 포함할 수 있다. 온도 상태 및 밸브 상태는 오프라인, 수동, 앰풀 교체 및 프로세스를 포함한다. 프로세스는 대기, 기체를 위한 버튼 누름 또는 호출, 및 온라인을 포함한다.
상술한 명령 입력을 수신하는 것으로부터 수행되는 동작은 각각의 운반 기체 공급/증기상 시약 전달 매니폴드, 각각의 소싱 기체 매니폴드 및 각각의 용기에서의 온도 상태 및 밸브 상태를 개별적으로 제어하는 것을 포함할 수 있다. 온도 상태 및 밸브 상태는 오프라인, 수동, 앰풀 교체 및 프로세스를 포함한다. 프로세스는 대기, 기체를 위한 버튼 누름 또는 호출, 및 온라인을 포함한다.
한 실시양태에서, 컨트롤러는 디지털 및 아날로그 입력을 컴퓨터에 릴레이하여 사용자가 상기 동작을 모니터하게 하고, 명령 입력을 컴퓨터에 릴레이하여 사용자가 상기 동작을 모니터하게 한다.
각각의 용기는 적어도 하나의 소스 화학물질 레벨 센서 및 적어도 하나의 온도 센서를 포함할 수 있다. 프로그램가능 로직 컨트롤러는 각각의 소싱 기체 매니폴드를 서로 독립적으로, 각각의 운반 기체 공급/증기상 시약 전달 매니폴드를 서로 독립적으로, 각각의 용기를 상기 용기들 중 임의의 다른 용기와 독립적으로 동작하도록 각각의 소스 화학물질 레벨 센서 및 각각의 온도 센서와의 통신을 지시할 수 있다.
프로그램가능 로직 컨트롤러는 유동이 없거나 설비 말단의 히터 고장이 있는 경우 원하는 조치를 또한 취할 수 있다. 프로그램가능 로직 컨트롤러는 덤프 펌프로부터의 신호를 모니터하여 매니폴드를 개방하기 전에 온 상태인지 확인하여 덤프하고, 각 앰풀에 대한 레벨 센서를 모니터하여 낮은 전구체 상태 여부를 설비에 경고할 수 있다. 또한, 프로그램가능 로직 컨트롤러는 존 중 하나에서의 범위 밖의 온도 이벤트 또는 비상 정지를 설비에 경고할 수 있다. 프로그램가능 로직 컨트롤러는 활성 밸브로부터의 적절한 밸브 위치 표시기를 필요하다면 설비에 또한 릴레이할 것이다. 프로그램가능 로직 컨트롤러가 수신하는 모든 데이터는 이더넷 연결을 통해 재방송할 수 있어 최종 사용자는 SPC 또는 개발 목적을 위하여 온도, 압력 등을 모니터할 수 있다.
통합 증기상 또는 액상 시약 분배 장치의 또 다른 고유한 양상은 프로그램가능 로직 컨트롤러(PLC)가 통상의 프로세스 설비를 공급하는 2개의 개별 매니폴드의 온도 상태와 밸브 상태 둘 다를 제어한다는 점이다. PLC에 의해 요구되는 일반적인 흐름과 결정을 도시하는 흐름 시트가 도 6에 도시된다. 모든 단계 동안, PLC는 시스템이 지정된 동작 한도 내에 있다는 점을 보장하도록 라인 압력, 온도, 밸브 상태 등과 같은 입력을 모니터한다. 또한, PLC는 특정 밸브들을 동시에 개방하지 않아 매니폴드 간의 "혼선(cross-talk)"을 방지할 수 있도록 프로그램된다. 예를 들어, 프로세스 밸브에 대한 배출구 또는 덤프 밸브에 대한 배출구 모두는 동시에 개방될 수 없다. 한 실시양태에서, 각각의 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 소싱 기체 매니폴드의 온도는 각각의 용기의 온도보다 적어도 5℃ 초과한다.
도 6의 도식적인 다이어그램의 상부 왼쪽에서 시작하면, 앰풀은 "앰풀 활성" 상태일 수 있다. 이 상태에서, 앰풀은 온도 상태이고, PLC는 활성 앰풀 및 앰풀의 개별 매니폴드의 온도를 모니터한다. 앰풀은 설비로부터의 신호를 적절한 활성 매니폴드에 또한 전달한다. 이 활성 상태에서 설비는 앰풀로부터의 프로세스를 운영할 수 있다.
"앰풀 활성" 상태로부터, 앰풀 및 앰풀의 개별 매니폴드는 "대기" 상태가 될 수 있다. 이 상태에서, 앰풀은 온도 상태이고, 오프라인을 취하거나 활성 상태가 될 준비가 된다. "대기" 상태 동안, 설비는 개별 매니폴드상의 임의의 밸브를 제어하지 않는다. "온도에서 앰풀 대기"로부터, 운영자는 수동 모드로 활성으로 다시 진행할 수 있거나 다시 앰풀 교체를 시작할 수 있다.
대기로부터 "할성"으로 다시 진행하기 위하여, 컨트롤러는 사용자 지정된 양의 시간 동안 매니폴드를 퍼지하고, 이어서 그 매니폴드상의 적절한 밸브의 제어를 설비에 넘긴다.
"앰풀 교체 개시"로 진행 시, PLC는 다른 매니폴드가 퍼지 기체를 사용하지 않는지 또는 이어서 덤프 라인이 앰풀 수동 밸브를 폐쇄하도록 운영자에게 촉구할 것인지를 확신하도록 검사하므로 매니폴드 퍼지가 수행될 수 있다. 이러한 퍼지는 매니폴드로부터 그리고 앰풀 밸브와 매니폴드 밸브 사이를 배관하는 레그로부터 잔류 유기금속을 제거하도록 이루어지므로, 앰풀이 제거되는 경우, 레그에는 공기 또는 공기 중의 수분과 반응하는 잔류 전구체가 없다.
매니폴드를 사이클 퍼징한 후, PLC는 앰풀 밸브가 폐쇄되는지를 보장하도록 검사한다. 이는 매니폴드가 베이스 압력으로 펌핑되고 단리되는 리크-업(leak-up)을 통해 이루어지고, 이어서 압력 상승이 관찰된다. 앰풀이 폐쇄되고, 잔류 화학물질이 라인으로부터 퍼징되면, 매니폴드는 유의한 압력 상승을 나타내지 않을 것이다. 누출 검사가 실패하면, 운영자는 조사하도록 유도된다.
성공적인 누출 검사 후, 컨트롤러는 히터를 정지시킬 것이고, 앰풀이 안전 온도에 도달하는 경우 운영자에게 앰풀을 교체하도록 유도할 것이다.
새로운 앰풀이 설치되고, 운영자가 이를 알면, PLC는 앰풀이 정확하게 훅업되었는지를 보장하도록 또 다른 누출 검사를 수행할 것이고, 이어서 앰풀 훅업 동안 흡수할 수도 있는 잔류 공기 및 수분을 제거하도록 매니폴드를 퍼징하기 시작할 것이다. PLC는 앰풀 밸브의 개방을 운영자에 알릴 것이고, 이어서 가온하기 전에 앰풀 헤드-공간(head-space)을 비우거나, 퍼징하거나 가압할 수도 있다. 이는 사용자에 좌우된다. 이어서 앰풀은 인간 머신 인터페이스(HMI)를 통해 운영자로부터 또는 더욱 일체형인 시스템의 경우에는 설비로부터 가열 신호를 대기할 것이다.
앰풀, 앰풀의 밸브 및 매니폴드가 설정 온도에서 안정되면, 앰풀은 "온도에서 앰풀 대기" 상태로 진입하여 필요한 경우에는 "활성"으로 진행할 준비가 될 것이다.
PLC는 숙련자 또는 엔지니어가 헬륨 누출 검사, 매니폴드 교체, 시스템 검사 등의 목적으로 밸브를 수동으로 구동하게 하는 암호 보호된 수동 모드를 또한 포함할 수 있다. 추가된 안전 조치로서, 활성 매니폴드와 비활성 매니폴드 간의 혼선을 방지하도록 밸브 배제가 프로그램가능 로직 컨트롤러에 프로그램된다. 앰풀은 배타적으로 자동 밸브로 설계될 수 있지만, 이는 수동 밸브는 운영자에게 친밀한 밀봉을 보장할 수 있으므로 표준 관행이 아니다.
PLC는 어떤 매니폴드가 활성인지 결정한다. 이는 1) 설비 운영자가 앰풀의 실행 한계에 도달하였는지를 알고 전환(switch-over)을 명령하는, 수동 버튼; 또는 2) 레벨 센서로부터의 데이터 또는 설비로부터의 카운터를 이용하여 하나의 앰풀의 양이 적고, 다른 하나의 앰풀이 온라인되어야 하는 시점을 결정하는 자동-전환 기능에 의해 개시될 수 있다. 또 다른 경우, PLC는 전환이 필요할 것이라는 점을 운영자에게 알리지만 실행을 위하여 사용자 입력을 대기한다.
통합 증기상 또는 액상 시약 분배 장치에 사용한 PLC 스크린의 예시적인 스크린 샷은 도 17에 도시된다.
통합 증기상 또는 액상 시약 분배 장치의 고유한 양상 중 하나는, 프로그램가능 로직 컨트롤러가 프로세스 설비로부터의 밸브-개방 공압 신호를 적절한 활성 매니폴드에 재전송하면서, 매니폴드가 비활성 상태이었을 때도 프로그램가능 로직 컨트롤러가 그러한 밸브를 제어하게 하는 안전한 방식의 설계이다. 또한, 안전을 위하여, 설비에 대한 공압이 폐쇄되는 경우 통합 증기상 또는 액상 시약 분배 장치 밸브가 또한 폐쇄되는 것이 바람직하다. 이러한 해결책의 예는 도 7에 도식적으로 도시된다.
통상의 공압 밸브를 제어하기 위하여, 프로그램가능 로직 컨트롤러는 24볼트 DC 신호를 통상의 메인 공압 공급부에 고정된 솔레노이드 밸브의 뱅크에 공급한다. 이 경우, 캐비닛을 공급하는 메인 공압 라인이 설비로부터 인출되어 있다. 이는, 설비 공압이 폐쇄되면 통합 증기상 또는 액상 시약 분배 장치 공압도 폐쇄된다는 점을 의미한다. 추가로, 통상적인 밸브의 2중 제어를 위하여, 설비로부터의 각 공압 신호는 공압 신호를 통합 증기상 또는 액상 시약 분배 장치의 어느 하나의 매니폴드상의 적절한 밸브에 송신하도록 활성화될 수 있는 특수 솔레노이드(또는 균등물)에 전송된다. "OR" 검사 밸브(예컨대, 3 포트형 셔틀 밸브)는 나머지 것들의 배기가스를 배출하지 않으면서, 그러한 공유된 밸브에 대한 공압 신호가 메인 솔레노이드 패널로부터 발생하게 하거나 독립형 A 또는 B 솔레노이드, 예컨대 4 위치 3-포트 밸브로부터 발생하게 한다.
앰풀은 작은 통기된 캐비닛의 내부에 위치할 수 있다. 앰풀은 일반적으로 선반상에 있고, 앰풀 위의 매니폴드는 앰풀의 설계 특성에 의해 상당히 단단한 구조체이다. 일반적인 앰풀 장착은 도 8에 도시된다. 앰풀은 고정식 또는 활주식(페이지의 안 및 밖으로) 선반의 위 반-가요성 가열 맨틀 내부에 위치할 수 있다. 높은 진공 VCR 연결부의 사용은 앰풀 밸브와 매니폴드 간의 0-공차 맞물림을 또한 초래한다. 한 실시양태는 가열 맨트의 플레이(play)를 사용하여 앰풀 높이의 변화를 설명한다. 이는 캐비닛에서의 빌딩(building) 공차 또는 히팅(hitting) 공차를 어렵게 한다. 선반이 너무 높으면 앰풀은 매니폴드 아래에서 맞물리지 않을 것이다. 선반이 너무 낮으면, 연결부가 정확하게 조여지지 않을 수도 있거나 앰풀의 전체 중량(35 내지 40 lbs)은 매니폴드에 의해 지지될 수도 있어 용접 부위 및 피팅에 변형력을 가한다. 앰풀 적재의 용이성을 위하여, 통합 스프링-적재된 플레이트를 구비한 활주식 선반이 도 9에 도시한 바와 같이 사용될 수 있다. 선반은 도 10에 도시한 바와 같이 중심 핀 및 회전 테이블을 포함할 수 있다. 이러한 특징부 모두는 운영자가 앰풀을 중심에 오도록 조정하고, 연결부를 정렬하고, 앰풀을 단단한 매니폴드하에서 쉽게 활주하게 할 수 있다.
앰풀의 레이아웃은 상술한 매니폴드에서의 만곡부의 수 및 라인 길이에 영향을 미칠 수 있다. 실제로, 전구체 전달 라인상의 "데드 레그" 및 불필요한 만곡부를 최소화하는 것이 최상이다. 이는, 응축의 기회를 최소화하고, 입자성 물질을 최소화하는 것으로 이루어지고, 퍼징 동안 잔류 전구체의 제거를 통해 가능한 것이다. 예를 들어, 전방을 향한 동일한 앰풀을 구비하는 한 실시양태는 도 11에 도시되지만 도 12의 또 다른 실시양태는 앰풀의 중심축에 대하여 45도 만큼 앰풀을 시계 방향으로 회전시켜 유입 아르곤 레그에서의 2개의 만곡부를 제거할 수 있고, 매니폴드 사이의 통상의 배출 라인의 길이를 줄일 수 있는 방법을 도시한다. 또한, 사이드 특정 앰풀의 경우를 고려할 수 있는데, 하나의 앰풀(A)은 좌측에 유입구를 구비하고, 다른 하나의 앰플(B)은 우측에 유입구를 구비한다. 이 경우, 앰풀(A)은 앰풀의 수직축에 대하여 시계 방향으로 회전될 수 있고, 앰풀(B)은 앰풀의 수직축에 대하여 시계 반대방향으로 회전될 수 있어, 도 13에 도시한 바와 같이 통상의 매니폴드 티(tee)에 대하여 매우 짧은 배출구 대 배출구 거리를 초래한다. 사이드 특정 앰풀 경우의 레이아웃은 도 14에 도시된다. 도 14에 도시한 바와 같이, 앰풀 유입구(V-6 및 V-18)는 대향 측에 있고, 배출구 밸브(V-7 및 V-17)는 중심을 향한다. 이러한 방향은 2개의 앰풀을 통상의 매니폴드에 연결하는 라인의 길이가 최소화되게 하고, 데드-레그 볼륨을 줄이기 위하여 중요하다.
때때로, 용기에는 생성물 액체 전구체가 거의 없다. 거의 빈 상태는 액체 레벨 센서를 통해 검출될 수 있다. 본원에서 교시하는 것과 일치하는 통상적인 레벨 센서가 유용할 수 있다. 센서는 예를 들어 용기가 교체되거나 재충전될 필요가 있을 수도 있지만 바로 수행될 필요는 없다는 점을 나타낼 수도 있다. 필요하다면, 설비의 공정은 용기에 남아있는 적은 전구체 공급량으로 완료될 수도 있다. 센서는 용기가 적당한 전구체 공급량을 함유하지 않기 때문에 설비의 공정을 중지해야한다는 점을 또한 나타낼 수도 있다. 센세는 용기가 가득하다는 점을 또한 나타낼 수도 있다.
용기를 재충전하고/거나 교체할 경우 전환 절차가 발생하는데, 여기서 용기는 통합 증기상 또는 액상 시약 분배 장치로부터 제거된다. 시스템을 주변 조건에 개방하는 것은 시스템의 반응성 전구체 잔류물을 대기 성분, 특히 산소 및 수분에 노출하는 것이다. 그러므로 잔류물은 시스템을 개방하기 전에 라인으로부터 퍼징되어야 한다. 대부분의 퍼징은 기체 및/또는 진공을 이용하여 이루어질 수 있다. 이러한 방법에 의해 제거되지 않은 그러한 전구체 잔류물의 경우, 용매를 사용하여 라인을 충분하게 씻어낼 수 있다. 반응성 전구체에 노출된 통합 증기상 또는 액상 시약 분배 장치의 특정 부품은 덤프를 야기하는 출구 라인을 통해 퍼징되는 적당한 용매로 씻어낼 수 있다. 용매 세척은 용매 탱크 및 매니폴드에 의해 지원될 수 있다. 별법으로, 퍼지 기체가 밸브를 통해 통합 증기상 또는 액상 시약 분배 장치에 삽입되고, 폐기물은 통기 라인을 통해 덤프로 이동한다. 이러한 배출 공정 동안 잔류 전구체는 압력 센서에 의해 모니터할 수 있다.
통합 증기상 또는 액상 시약 분배 장치의 다양한 부품 및 동작은 컨트롤러가 제어한다. 컨트롤러는 각각의 용기-매니폴드 조합을 다른 용기-매니폴드 조합과 독립적으로 제어하도록 구성된다. 따라서, 하나의 용기에 있는 전구체는 다른 용기에 있는 전구체와는 독립적으로 관리 및 분배되고, 제조 설비에 전구체를 제공하는 전체 공정은 유연하다. 예를 들어, 하나의 전구체가 한 번에 공급될 수도 있거나 복수의 전구체가 한 번에 공급될 수도 있다. 또한, 하나 이상의 용기가 교체될 수도 있는 반면 다른 용기는 전구체 물질을 공급한다.
통합 증기상 또는 액상 시약 분배 장치의 용기, 매니폴드 및 각종 다른 부품에서의/간의 연결 라인은 본원에서 기술하는 화학물질을 보유하도록 설계한다. 예를 들어 라인은 고순도 스테인리스강 배관으로 제조할 수도 있다. 본원에서 기술하는 셧-오프(shut-off) 밸브는 스프링 없는 격판 고순도 밸브일 수도 있다.
동작 시, 통합 증기상 또는 액상 시약 분배 장치는 알고리즘을 구비한 컨트롤러가 제어하는데, 컨트롤러는 복수의 유닛 간의 통신을 전송하고, 통합 시스템을 완전하게 한다. 시스템의 복수의 유닛은 다양한 공유 성분을 통해 통신한다. 임의의 조합에서 공유 성분을 구비하는 컨트롤러 및 상이한 유닛은 통합 시스템이 모듈식 설비로서 수행하게 한다. 컨트롤러는 본원에서 교시하는 것과 일치하는 다양한 컨트롤러 중 임의의 컨트롤러일 수도 있고, 다양한 장소에 위치할 수도 있다. 컨트롤러는 통합 증기상 또는 액상 시약 분배 장치의 다양한 시스템과 통신하도록 구성가능하여, 용기는 서로 독립적으로 동작가능하다. 별법으로, 개별 컨트롤러가 설비 및 통합 증기상 또는 액상 시약 분배 장치에 사용되는 경우, 컨트롤러는 서로 통신하므로 설비는 화학물질 탱크가 교체되는 시점을 알고, 통합 증기상 또는 액상 시약 분배 장치는 설비가 전구체를 필요로 하는 시점을 안다.
용기에 남아있는 전구체의 양은 컨트롤러 알고리즘을 통해 또한 모니터한다. 용기는 연속적으로 또는 이산적으로 모니터할 수도 있다. 용기는 예를 들어 중량 측정장치와 같은 외부 센서 및 초음파 센서를 포함할 수도 있다. 용기는 예를 들어 전술한 것과 같은 내부 센서를 또한 포함할 수도 있다. 용기 센서가 낮은 레벨의 신호를 송신하는 경우 탱크 교체 절차는 본원에 기술하는 바와 같이 개시된다.
본원에 기술한 통합 증기상 또는 액상 시약 분배 장치의 실시양태는 전구체를 타겟 공정 설비에 연속적으로 공급하기 위한 모듈식 통합 프로세서를 제공한다. 또한, 통합 증기상 또는 액상 시약 분배 장치는 다른 모듈과 조합되어 전구체를 저장하고 설비에 전달하기 위한 시스템을 제공할 수도 있어, 제조 설비는 증착용 전구체를 성공적으로 그리고 연속적으로 수용할 수 있다.
상술한 논의는 본 발명의 원리 및 다양한 실시양태의 예시적인 것을 의미한다. 본 발명의 실시양태를 도시하였지만 본 기술분야의 숙련자는 본 발명의 교시를 벗어나지 않으면서 본 발명을 변경할 수 있다. 본원에 기술한 실시양태는 예시적일 뿐이고, 제한적이지 않다. 본원에 기술한 본 발명의 장치 및 방법의 다양한 변형과 변경이 가능하고, 이는 본 발명의 범위 내에 존재한다. 따라서, 보호 범위는 상술한 기재에 의해 제한되지 않고, 그 범위가 특허청구범위 대상의 모든 균등물을 포함하는, 이하의 특허청구범위에 의해서만 제한된다.
용기, 매니폴드, 압력 조절기, 밸브 및 오리피스의 다양한 조합은 본 발명의 실시양태에 이용할 수도 있음을 이해하게 된다. 본 발명은 본원에 기술한 장치의 조합에 제한되지 않아야 하고, 당업자라면 본 발명은 본원에 기술한 것과 일치하는 다른 조합을 포함한다는 점을 인식할 것이다.
도 1, 5, 14 및 16을 참조하면, 프로세스 기체는 운반 기체이다. 프로세스 기체는 앰풀에 유입되거나 전구체와 혼합되어 "프로세스"에 전달 동안 전구체를 희석시킬 기체이다. 퍼지 기체는 앰풀이 사용된 후 또는 새로운 앰풀의 훅업 동안 매니폴드를 퍼징하는 데만 사용한다. 예를 들어, 고객은 전자 등급 아르곤을 운반 기체로서 사용하지만 저렴하기 때문에 퍼지 기체용으로 전자 등급 질소를 고수할 수도 있다.
도 1, 5 및 14를 참조하면, 용기(예컨대, 20 및 21)는 운반 기체 공급 유입 개구가 있는 상벽 부재의 일부 - 운반 기체 공급 유입 개구를 통해 운반 기체는 충전 레벨 위 상기 내부 기체 공간으로 공급되어 상기 소스 화학물질의 증기가 상기 운반 기체중에 비말 동반되어 증기상 시약을 생성함 - ; 및 증기상 시약 배출 개구가 있는 상벽 부재의 일부 - 증기상 시약 배출 개구를 통해 상기 증기상 시약은 상기 장치로부터 분배될 수 있음 - 를 포함할 수 있다.
용기(예컨대, 20 및 21)는 운반 기체 공급 유입 개구로부터 위로 그리고 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위한 상벽 부재로부터 외부로 연장되는 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42) - 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42)은 운반 기체 흐름 제어 밸브(예컨대, 앰플(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰플(21)을 위한 V-4, V-5, V-11 및 V-18) 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기(예컨대, PTA 및 PTB)를 포함함 - ; 및 충전 레벨 위 상기 내부 기체 공간으로부터 증기상 시약을 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되는 증기상 시약 방출 라인(예컨대, 도 14에서 34 및 44) - 증기상 시약 방출 라인(예컨대, 도 14에서 34 및 44)은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위한 증기상 시약 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)를 포함함 - 을 포함할 수 있다.
한 실시양태에서, 용기(예컨대, 20 및 21)는 운반 기체 공급 유입 개구가 있고, 내부 기체 공간을 통과해 소스 화학물질에 연장되는 버블러 튜브를 포함하는 상벽 부재의 일부 - 운반 기체 공급 유입 개구를 통해 상기 운반 기체가 소스 화학물질에 버블링되어 소스 화학물질 증기의 적어도 일부가 상기 운반 기체중에 비말 동반되어 증기상 시약의 흐름을 충전 레벨 위 상기 내부 기체 공간에 생성함 - ; 및 증기상 시약 배출 개구가 있는 상벽 부재의 일부 - 증기상 시약 배출 개구를 통해 상기 증기상 시약은 상기 장치로부터 분배될 수 있음 - 를 포함할 수 있다.
버블러 튜브가 있는 용기는 운반 기체 공급 유입 개구로부터 위로 그리고 운반 기체를 상기 소스 화학물질에 전달하기 위한 상벽 부재로부터 외부로 연장되는 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42) - 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42)은 운반 기체 흐름 제어 밸브(예컨대, 앰플(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰플(21)을 위한 V-4, V-5, V-11 및 V-18) 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기(예컨대, PTA 및 PTB)를 포함함 - ; 및 충전 레벨 위 상기 내부 기체 공간으로부터 증기상 시약을 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되는 증기상 시약 방출 라인(예컨대, 도 14에서 34 및 44) - 증기상 시약 방출 라인(예컨대, 도 14에서 34 및 44)은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위한 증기상 시약 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)를 포함함 - 을 포함할 수 있다.
또 다른 실시양태에서, 용기(예컨대, 20 및 21)는 불활성 기체 공급 유입 개구가 있는 상벽 부재의 일부 - 불활성 기체 공급 유입 개구를 통해 상기 불활성 기체가 충전 레벨 위 내부 기체 공간으로 공급되어 충전 레벨 위 내부 기체 공간을 가압할 수 있음 - ; 및 액상 시약 배출 개구가 있고, 내부 기체 공간을 통과해 소스 화학물질에 연장되는 딥튜브(diptube)를 포함하는 상벽 부재의 일부 - 액상 시약 배출 개구를 통해 액상 시약은 상기 장치로부터 전달될 수 있고, 상기 딥튜브는 상벽 부재에 인접한 배출 단부 및 하벽 부재에 인접한 유입 단부를 구비함 - 를 포함할 수 있다.
딥튜브가 있는 용기(예컨대, 20 및 21)는 불활성 기체 공급 유입 개구로부터 위로 그리고 불활성 기체를 충전 레벨 위 상기 내부 기체 공간에 전달하기 위한 상벽 부재로부터 외부로 연장되는 불활성 기체 공급 라인(예컨대, 도 14에서 32 및 42) - 불활성 기체 공급 라인(예컨대, 도 14에서 32 및 42)은 불활성 기체 흐름 제어 밸브(예컨대, 앰플(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰플(21)을 위한 V-4, V-5, V-11 및 V-18) 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기(예컨대, PTA 및 PTB)를 포함함 - ; 및 상기 용기로부터 액상 시약을 제거하기 위하여 액상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되는 액상 시약 방출 라인(예컨대, 도 14에서 34 및 44) - 액상 시약 방출 라인(예컨대, 도 14에서 34 및 44)은 액상 시약 방출 라인을 통과하는 액상 시약의 흐름을 제어하기 위한 액상 시약 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)를 포함함 - 을 포함할 수 있다.
용기 또는 앰풀은 일반적으로 스테인리스강, 예컨대 316L로부터 기계가공되고, 전구체 액체 또는 고체 소스 화학물질의 오염을 방지하도록 전기연마된다. 커버 또는 상벽 부재는 세정 및 재사용을 용이하게 하도록 비-착탈식 또는 착탈식일 수 있다. 용기는 원통형으로 형상화된 측벽 부재 또는 비-원통형 형상을 형성하는 측벽 부재를 포함할 수 있다. 착탈식 상벽 부재를 구비한 용기는 상벽 부재를 측벽 부재에 고정하기 위한 고정 수단을 포함할 수 있다. 예시적인 고정 수단으로는 예를 들어 용접 부재, 볼트 또는 밀봉재가 있다.
앰풀은 화학물질이 최종 사용 장비에 전달되게 하는 유입 및 배출 밸브, 예컨대 온/오프 밸브 및 질량 제어 밸브를 포함할 수 있다. 임의적 앰풀 장비는 충전 포트 및 앰풀이 거의 빌 때를 판정하는 소스 화학물질 레벨 센서를 포함한다. 용기 내 물질은 낮은 증기압 화학물질의 경우에는 진공하에서 또는 증기를 스윕하는 불활성 기체를 사용하여 전달된다. 별법으로, 물질은 딥 튜브를 통해 최종 사용 장비까지 액체로서 전달될 수도 있는데, 여기서 물질은 필요에 따라 기화되거나 분배될 수 있다.
온도 센서는 균일한 열전도를 보장하도록 바람직하게는 앰풀에 포함된다. 소스 화학물질 레벨 센서는 소스 화학물질의 효과적인 사용을 보장하도록 바람직하게는 앰풀에 포함된다. 밸브 및 소스 화학물질 레벨 센서는 깨끗한 누출 방지 밀봉을 보장하도록 면 밀봉 연결부를 통해 부착된다. 청정실에서 조립되면, 앰풀은 흡착된 물을 제거하도록 컨디셔닝되고, 헬륨 누출 검출기를 사용하여 누출 검사한다. 앰풀은 수 토르(torr)부터 주변압 약간 위의 압력에서 사용하도록 설계한다.
본 발명의 한 실시양태에서, 온도 센서는 용기의 상단부 외부로부터 상벽 부재의 일부를 통해 일반적으로 수직 아래로 용기의 내부 공간으로 연장되고, 온도 센서의 하단부는 하벽의 표면 부근에서 방해하지 않게 위치한다. 소스 화학물질 레벨 센서는 용기의 상단부 외부로부터 상벽 부재의 일부를 통해 일반적으로 수직 아래로 용기의 내부 공간으로 연장되고, 소스 화학물질 레벨 센서의 하단부는 하벽의 표면 부근에서 방해하지 않게 위치한다. 온도 센서는 용기에 동작가능하게 배열되어 용기 내 소스 화학물질의 온도를 판정하고, 소스 화학물질 레벨 센서는 용기에 동작가능하게 배열되어 용기 내 소스 화학물질의 레벨을 판정하고, 온도 센서와 소스 화학물질 레벨 센서는 용기에서 서로 방해하지 않게 가깝게 위치하고, 온도 센서의 하단부는 소스 화학물질 레벨 센서의 하단부와 비교해 용기의 표면에 대하여 동일하게 위치하거나 더 가깝게 위치하고, 온도 센서와 소스 화학물질 레벨 센서는 용기 내 소스 화학물질 흐름과 소통한다. 소스 화학물질 레벨 센서는 초음파 센서, 광학 센서, 용량성 센서 및 플로트-타입 센서로부터 선택하고, 상기 온도 센서는 써모웰(thermowell) 및 열전쌍을 포함한다.
본 발명의 한 실시양태에서, 하벽 부재는 임의로 온도 센서의 하단부, 소스 화학물질 레벨 센서, 딥 튜브 및/또는 버블러 튜브가 배치될 수도 있는 섬프 캐비티(sump cavity)를 제공한다. 이러한 구성은 최초 공급된 액체 또는 고체 소스 화학물질의 높은 비율, 예컨대 95% 이상, 바람직하게는 98% 이상이 소스 화학물질이 선택적으로 전달되는 응용에 사용되게 할 수 있다. 이러한 구성은 소스 화학물질 공급 및 분배 시스템의 경제성 및 분배된 소스 화학물질이 사용되는 프로세스를 또한 개선할 수 있다.
본 발명은 소스 화학물질 레벨 센서가 내용물의 끝을 신호로 보낼 때 최소량의 반도체 전구체 화학물질이 앰풀 또는 버블러에 남아있게 한다. 이는 반도체 전구체의 복잡도와 비용이 상승함에 따라 매우 중요하다. 비용을 최소화하기 위하여, 반도체 제조자는 전구체를 가능한 적게 낭비하는 것을 원할 것이다. 또한, 본 발명은 소스 화학물질 레벨 센서처럼 온도 센서를 동일한 오목형 섬프 캐비티에 배치한다. 이는, 전구체가 존재하고 있음을 소스 화학물질 레벨 센서가 나타내는 한, 소스 화학물질 반도체 전구체의 진정한 온도가 판독될 것이라는 점을 보장한다. 이는 안전 관점에서 매우 중요하다. 온도 센서가 반도체 전구체의 외부에 있는 경우 온도 센서는 잘못된 낮은 온도 신호를 가열 장치에 송신한다. 이는 불안전한 상황과 반도체 전구체의 분해를 야기할 수 있는 과도한 열을 앰풀에 적용할 수 있다.
용기 또는 앰풀을 다시 참조하면, 용기는 용기의 상부 외부로부터 아래로 용기의 상벽 부재의 비-중심에 위치한 부분을 통해 바닥 플로어 부재상의 비-중심에, 임의로 용기의 섬프 캐비티의 표면 부근에 위치한 하단부까지 연장되어, 소스 화학물질 시약이 용기에 보유되어 있는 경우 소스 화학물질 시약의 적어도 95%의 사용을 가능하게 하는 소스 화학물질 레벨 센서를 구비할 수 있다. 시스템의 동작 동안 소스 화학물질 레벨 센서로부터 감지된 소스 화학물질 레벨 신호를 중앙 처리 유닛에 전송하기 위하여 소스 화학물질 레벨 센서의 상부는 소스 화학물질 레벨 감지 신호 전송 라인에 의해 연결될 수도 있다.
유사한 방식으로, 용기는 용기의 상부 외부로부터 아래로 용기의 상벽 부재의 중심에 위치한 부분을 통해 하벽 부재상의 중심에, 임의로 용기의 하벽의 표면 부근에 위치한 하단부까지 연장되는 온도 센서, 즉 써모웰과 열전쌍을 구비할 수 있다. 시스템의 동작 동안 온도 센서로부터 감지된 온도 신호를 컨트롤러 또는 중앙 처리 유닛에 전송하기 위하여 온도 센서의 상부는 온도 감시 신호 전송 라인에 의해 연결될 수도 있다.
적합한 마이크로프로세서, 컴퓨터, 또는 다른 적당한 제어 수단을 포함할 수도 있는 컨트롤러 또는 중앙 처리 유닛은 제어 신호 전송 라인에 의해 흐름 제어 밸브에 또한 연결되어 (예컨대, 적합한 밸브 구동 요소를 통해) 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18)를 선택적으로 조정하고, 운반 기체의 용기로의 흐름을 제어할 수도 있다. 중앙 처리 유닛은 제어 신호 전송 라인에 의해 다른 흐름 제어 밸브에 또한 연결되어 (예컨대, 적합한 밸브 구동 요소를 통해) 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)를 선택적으로 조정하고, 증기상 또는 액상 시약의 용기로부터의 방출을 제어할 수도 있다. 본 발명의 목적을 위하여, 흐름 제어 밸브는 격리 밸브, 계측 밸브 등을 포함할 것이다.
본 발명은 반도체 제조자가 최대량의 전구체를 사용하게 하면서 앰풀의 교환 전에 거의 낭비하지 않게 한다. 이는 폐기물을 최소화하고, 반도체 전구체와 특정 응용의 투자에 대한 수익을 최대화한다.
일반적인 앰풀은 약 5 내지 6 인치 직경 및 5 내지 7 인치 높이의 용기 또는 원통으로 이루어지고, 316 스테인리스강(316SS)으로 구성된다. 상벽 부재는 약 ½ 인치의 두께이고, 8개 내지 12개의 볼트로 측벽 부재에 부착되거나 용접될 수도 있다. 앰풀에는 이덕터(또는 딥) 튜브가 설치될 수도 있다. 충전 포트가 또한 포함될 수도 있다. 한 밸브는 생성물을 배출 밸브 밖으로 스윕하는 불활성 기체를 위한 유입구로서 사용할 수도 있다. 앰풀은 또한 버블러 튜브를 구비할 수도 있다. 버블러 튜브는 불활성 기체를 생성물 전체에 버블링하여 물질을 증기로서 전달하는 것을 돕는 데 사용할 수 있다.
본 발명에 유용한 예시적인 소스 화학물질은 광범위하게 다양할 수 있고, 예를 들어 주기율표의 2족(예컨대, 칼슘, 스트론튬 및 바륨), 3족(예컨대, 이트륨 및 란타늄), 4족(예컨대, 티타늄, 지르코늄 및 하프늄), 5족(예컨대, 바나듐, 니오븀 및 탄탈), 6족(예컨대, 크롬, 몰리브덴 및 텅스텐), 7족(예컨대, 망간), 8, 9 및 10족(예컨대, 코발트, 니켈, 루테늄, 로듐, 팔라듐 및 백금), 11족(예컨대, 구리, 은 및 금), 12족(예컨대, 아연 및 카드뮴), 13족(예컨대, 알루미늄, 갈륨, 인듐 및 탈륨), 14족(예컨대, 규소, 게르마늄 및 납), 15족(예컨대, 안티몬 및 비스무트), 16족(예컨대, 텔루륨 및 폴로늄), 란탄 계열 및 악티니드 계열의 금속을 위한 액체 또는 고체 전구체를 포함할 수 있다. 본 발명에 유용한 바람직한 소스 화학물질은 루테늄, 하프늄, 탄탈, 몰리브덴, 백금, 금, 티타늄, 납, 팔라듐, 지르코늄, 비스무트, 스트론튬, 바륨, 칼슘, 안티몬, 탈륨, 알루미늄 및 로듐으로부터 선택된 금속을 위한 액체 또는 고체 전구체, 또는 규소 및 게르마늄으로부터 선택된 준금속을 위한 전구체를 포함한다. 바람직한 유기금속 전구체 화합물로는 루테늄-함유, 하프늄-함유, 탄탈-함유 및/또는 몰리브덴-함유 유기금속 전구체 화합물이 있다.
소스 화학물질은 용기가 시스템으로부터 제거되고 새로운 용기로 교체되는 동안 용기에 추가될 수 있다. 용기에 추가된 소스 화학물질의 온도는 중요하지 않고 광범위에 걸쳐 다양할 수 있다. 소스 화학물질은 소스 화학물질을 기화시키기 충분한 온도까지 가열되어 증기상 시약을 적절한 유속으로 프로세스에 제공할 수 있다. 모든 물질은 실온에서 약간의 증기압을 갖고, 진공하에서 기화될 것이다. 열의 추가는 요구되는 양의 화학물질을 적정한 시간에 공급하기에 충분하도록 기화 속도를 높인다.
승화하는 고체 소스 화학물질 및 가열 시 용융되는 고체 소스 화학물질이 본 발명에 사용될 수 있다. 예를 들어, 승화하는 고체 소스 화학물질은 도 1, 5, 14 및 16에 도시한 증기상 시약 분배 장치에 사용할 수 있다. 가열 시 용융되는 고체 소스 화학물질은 도 1, 5, 14 및 16에 도시한 증기상 또는 액상 시약 분배 장치에 사용할 수 있다. 마찬가지로, 액체 소스 화학물질은 도 1, 5 및 14에 도시한 증기상 시약 분배 장치에 사용할 수 있다. 승화하는 고체 소스 화학물질을 사용하는 경우, 먼지 포획 장비를 사용하는 것이 필요할 수도 있다.
본 발명에 유용한 예시적인 증기상 또는 액상 시약은 광범위하게 다양할 수 있고, 예를 들어 주기율표의 2족(예컨대, 칼슘, 스트론튬 및 바륨), 3족(예컨대, 이트륨 및 란타늄), 4족(예컨대, 티타늄, 지르코늄 및 하프늄), 5족(예컨대, 바나듐, 니오븀 및 탄탈), 6족(예컨대, 크롬, 몰리브덴 및 텅스텐), 7족(예컨대, 망간), 8, 9 및 10족(예컨대, 코발트, 니켈, 루테늄, 로듐, 팔라듐 및 백금), 11족(예컨대, 구리, 은 및 금), 12족(예컨대, 아연 및 카드뮴), 13족(예컨대, 알루미늄, 갈륨, 인듐 및 탈륨), 14족(예컨대, 규소, 게르마늄 및 납), 15족(예컨대, 안티몬 및 비스무트), 16족(예컨대, 텔루륨 및 폴로늄), 란탄 계열 및 악티니드 계열의 금속을 위한 증기상 또는 액상 전구체를 포함할 수 있다. 본 발명에 유용한 바람직한 증기상 또는 액상 시약은 루테늄, 하프늄, 탄탈, 몰리브덴, 백금, 금, 티타늄, 납, 팔라듐, 지르코늄, 비스무트, 스트론튬, 바륨, 칼슘, 안티몬, 탈륨, 알루미늄 및 로듐으로부터 선택된 금속을 위한 증기상 또는 액상 전구체, 또는 규소 및 게르마늄으로부터 선택된 준금속을 위한 전구체를 포함한다. 바람직한 유기금속 전구체 화합물로는 루테늄-함유, 하프늄-함유, 탄탈-함유 및/또는 몰리브덴-함유 유기금속 전구체 화합물이 있다.
증착 챔버는 화학 증착 챔버 또는 원자층 증착 챔버일 수 있다. 증기상 시약 방출 라인(예컨대, 도 14에서 34 및 44)은 용기를 증착 챔버에 연결한다. 가열가능한 서셉터(susceptor) 또는 기재(예컨대, 웨이퍼는 수직 로(furnace) 튜브 내 석영 보트(boat)상에 수직으로 유지될 수도 있고, 외부의 히터는 웨이퍼를 방사상으로 가열함)는 증착 챔버 내에 포함되고, 증기상 시약 방출 라인(예컨대, 도 14에서 34 및 44)에 대하여 수용 관계에 위치한다. 유출물 방출 라인은 증착 챔버에 연결한다. 증기상 시약은 증기상 시약 방출 라인(예컨대, 도 14에서 34 및 44)을 통과해 증착 챔버로 이동하여 임의로 가열가능한 서셉터상의 기재와 접촉하고, 임의의 나머지 유출물은 유출물 방출 라인을 통해 방출된다. 유출물은 재순환, 회수, 폐기물 처리, 제거 처리, 또는 다른 처리 수단으로 이동할 수도 있다.
도 16을 참조하면, 본 발명은 부분적으로는 통합 증기상 시약 분배 장치에 관한 것으로서,
복수의 용기(예컨대, 20 및 21)로서, 각 용기는 내부 용기 칸을 형성하여 소스 화학물질을 보유하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
복수의 증기상 시약 전달 매니폴드(예컨대, 매니폴드 22 및 23)로서, 각각의 상기 증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 증기상 시약 전달 매니폴드에 연결되고; 각각의 증기상 시약 전달 매니폴드는 증기상 시약을 상기 용기로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되는 증기상 시약 방출 라인(예컨대, 34 및 44)을 포함하고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브(예컨대 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)을 포함하는, 복수의 증기상 시약 전달 매니폴드; 및
각각의 상기 증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 증기상 시약 전달 매니폴드(예컨대, 22 및 23) 및 각각의 상기 용기(예컨대, 20 및 21)와의 통신을 지시하기 위한 하나 이상의 컨트롤러(도시하지 않음)
를 포함한다.
통합 증기상 시약 분배 장치는 복수의 운반 기체 공급 매니폴드(예컨대, 24 및 25)를 더 포함하고, 각각의 상기 운반 기체 공급 매니폴드는 적어도 하나의 증기상 시약 전달 매니폴드(예컨대, 22 및 23)에 연결되고; 각각의 운반 기체 공급 매니폴드는 운반 기체 공급 라인(예컨대, 32 및 42)을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1; 및 앰풀(21)을 위한 V-5), 및 운반 기체 공급 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기(예컨대, PTA 및 PTB)를 포함한다.
다중 앰풀 전달 시스템으로부터 방출되는 운반 기체 및 전구체의 한 실시양태 및 다중 앰풀 전달 시스템(순수 전달)으로부터 방출되는 순수 전구체의 또 다른 실시양태를 도시하는, 통합 증기상 또는 액상 시약 분배 장치의 단순화된 도식적인 표현은 도 15에 도시된다.
도 16을 참조하면, 본 발명은 부분적으로는 증기상 시약을 증착 챔버에 전달하기 위한 방법으로서,
(a) 통합 증기상 시약 분배 장치를 제공하는 단계로서,
복수의 용기(예컨대, 20 및 21)로서, 각 용기는 내부 용기 칸을 형성하여 소스 화학물질을 보유하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
복수의 증기상 시약 전달 매니폴드(예컨대, 매니폴드 22 및 23)로서, 각각의 상기 증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 증기상 시약 전달 매니폴드에 연결되고; 각각의 증기상 시약 전달 매니폴드는 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되는 증기상 시약 방출 라인(예컨대, 34 및 44)을 포함하고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브(예컨대 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)을 포함하는, 복수의 증기상 시약 전달 매니폴드; 및
각각의 상기 증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 증기상 시약 전달 매니폴드(예컨대, 22 및 23) 및 각각의 상기 용기(예컨대, 20 및 21)와의 통신을 지시하기 위한 하나 이상의 컨트롤러(도시하지 않음)
를 포함하는 통합 증기상 시약 분배 장치를 제공하는 단계;
소스 화학물질을 하나 이상의 상기 용기(예컨대, 20 또는 21)에 부가하는 단계;
임의로 소스 화학물질을 기화시키기 충분한 온도까지 하나 이상의 상기 용기(예컨대, 20 또는 21) 내 소스 화학물질을 가열하여 증기상 시약을 제공하는 단계;
상기 용기 중 임의의 다른 용기와 독립적으로 상기 증기상 시약 방출 라인을 통해 상기 용기 중 하나로부터 증기상 시약을 인출하는 단계;
운반 기체를 운반 기체 공급 라인(예컨대, 32 또는 42)를 통해 상기 증기상 시약 전달 매니폴드에 공급하여 상기 증기상 시약과 혼합하는 단계; 및
증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함한다.
상술한 방법은,
증기상 시약을 증착 챔버 내 임의로 가열가능한 서셉터상의 기재와 접촉시키는 단계; 및
임의의 남아있는 유출물을 증착 챔버에 연결된 유출물 방출 라인을 통해 방출하는 단계
를 더 포함한다.
상술한 방법에 사용하는 통합 증기상 시약 분배 장치는 복수의 운반 기체 공급 매니폴드(예컨대, 24 또는 25)를 더 포함하고, 각각의 상기 운반 기체 공급 매니폴드는 적어도 하나의 증기상 시약 전달 매니폴드(예컨대, 22 및 23)에 연결되고; 각각의 운반 기체 공급 매니폴드는 운반 기체 공급 라인(예컨대, 32 및 42)을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1; 및 앰풀(21)을 위한 V-5), 및 운반 기체 공급 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기(예컨대, PTA 및 PTB)를 포함한다.
도 16에 도시한 통합 증기상 시약 분배 장치의 동작 시, 소스 화학물질(예컨대, AlCl3)은 용기(예컨대, 20 또는 21)에 배치되고, 소스 화학물질을 기화시키기 충분한 온도까지 가열된다. 증기상 시약은 증기상 시약 배출 개구 및 증기상 시약 방출 라인(예컨대, 34 또는 44)을 통해 용기로부터 방출된다. 순수 전구체 증기는 제어 밸브 또는 다른 기기(예컨대, I-1)를 통해 이동한 다음, (라인 56으로부터의) 불활성 프로세스 운반 기체로 희석되어 증착 챔버로 진행한다. 증기상 시약 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)는 증착 챔버로 흐르는 증기상 시약의 흐름을 제어한다. 증착 챔버에서, 증기상 시약은 가열가능한 기재 또는 다른 장착 구조체상에 장착되는 웨이퍼(들) 또는 다른 기재 요소(들)상에 증착된다. 증착 챔버로부터의 유출물 증기는 유출물 방출 라인에서 방출된다. 유출물은 재순환, 회수, 폐기물 처리, 제거 처리, 또는 다른 처리 수단으로 이동할 수도 있다. 이러한 실시양태에서, 불활성 기체 퍼지 라인(32 및 42)은 앰풀 교체 전 및 후에 라인으로부터 잔류 전구체 또는 공기를 퍼징하는 데 사용할 수 있다.
이러한 동작 동안, 용기 내 소스 화학물질 충전 레벨은 소스 화학물질 레벨 센서가 검출할 수 있다. 용기 내부의 액체 전구체 화학물질이 거의 소모되는 시점을 알아 그 다음 화학 증착 또는 원자층 증착 운행 전에 용기를 교체하는 것이 중요하다. 소스 화학물질 레벨은 꾸준하게 감소하고 결국에는 섬프 캐비티에서 최소 액면(예컨대, 섬브 캐비티에서의 액체의 높이)까지 낮아지고, 이 지점에서 컨트롤러 또는 중앙 처리 유닛은 소스 화학물질 레벨 감지 신호 전송 라인을 통해 대응하는 감지된 소스 화학물질 레벨 신호를 수신한다. 이에 반응하여 컨트롤러 또는 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 특정 운반 기체 흐름 제어 밸브에 전송하여 밸브를 폐쇄하고 운반 기체의 용기로의 흐름을 차단하고, 또한 동시에 제어 신호를 제어 신호 전송 라인을 통해 전송하여 특정 증기상 시약 흐름 제어 밸브를 폐쇄하고, 증기상 시약의 용기로부터의 흐름을 차단한다.
또한, 이러한 동작 동안, 용기 내 소스 화학물질의 온도는 온도 센서가 검출할 수 있다. 용기 내부의 액체 전구체 화학물질의 온도를 모니터하여 증기압을 제어하는 것이 중요하다. 용기 내 소스 화학물질의 온도가 너무 높아지면, 컨트롤러 또는 중앙 처리 유닛은 온도 감지 신호 전송 라인을 통해 대응하는 감지된 온도 신호를 수신한다. 이에 반응하여 컨트롤러 또는 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 가열 수단에 전송하여 온도를 낮춘다.
증착 챔버는 화학 증착 챔버 또는 원자층 증착 챔버일 수 있다. 증기상 시약 방출 라인(예컨대, 34 또는 44)은 증기상 시약 분배 장치를 증착 챔버에 연결한다. 가열가능한 서셉터는 증착 챔버 내에 포함될 수도 있고, 증기상 시약 방출 라인(예컨대, 34 또는 44)에 대하여 수용하는 관계에 있다. 유출물 방출 라인은 증착 챔버에 연결된다. 증기상 시약은 증기상 시약 방출 라인(예컨대, 34 또는 44)을 통해 증착 챔버로 이동하여 임의로 가열가능한 서셉터상의 기재와 접촉하고, 임의의 남아있는 유출물은 유출물 방출 라인을 통해 방출된다. 유출물은 재순환, 회수, 폐기물 처리, 제거 처리, 또는 다른 처리 수단으로 이동할 수도 있다.
본 발명의 통합 증기상 또는 액상 시약 분배 장치는 액체 및 고체 물질, 예컨대 화학 증착, 원자층 증착 및 이온 주입 프로세스에 사용하는 액체 및 고체 소스 시약의 기화에 유용할 수도 있다. 예를 들어, 그 내용이 본원에 참조로서 포함되는 미국특허 6,921,062 B2; 2007년 1월 29일 출원된 미국특허 출원번호 60/898,121; 2007년 2월 27일 출원된 미국특허 출원번호 60/903,720; 2004년 12월 17일 출원된 미국특허 출원번호 11/013,434; 2007년 1월 29일 출원된 미국특허 출원번호 60/897,947; 2007년 2월 27일 출원된 미국특허 출원번호 60/903,579를 참조한다.
도 1, 5 및 14를 참조하면, 본 발명은 부분적으로는 통합 증기상 시약 분배 장치에 관한 것으로서,
복수의 용기(예컨대, 20 및 21)로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 운반 기체 공급 유입 개구를 통해 운반 기체는 충전 레벨 위 상기 내부 기체 공간으로 공급되어 상기 소스 화학물질의 증기가 상기 운반 기체중에 비말 동반되어 증기상 시약을 생성할 수 있고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
복수의 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 매니폴드 22 및 23)로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42) 및 증기상 시약 방출 라인(예컨대, 도 14에서 34 및 44)을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18)를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브(예컨대 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드; 및
각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 22 및 23) 및 각각의 상기 용기(예컨대, 20 및 21)와의 통신을 지시하기 위한 하나 이상의 컨트롤러(도시하지 않음)
를 포함한다.
통합 증기상 시약 분배 장치는 복수의 소싱 기체 매니폴드(예컨대, 24 및 25)를 더 포함하고, 각각의 상기 소싱 기체 매니폴드는 서로 상호연결되고; 각각의 소싱 기체 매니폴드는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 22 및 23)에 연결되고; 각각의 소싱 기체 매니폴드는 상기 운반 기체 공급/증기상 시약 전달 매니폴드의 상기 운반 기체 공급 라인과 연속하는 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42)을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18), 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기(예컨대, PTA 및 PTB)를 포함한다.
도 1, 5 및 14를 참조하면, 본 발명은 부분적으로는 증기상 시약을 증착 챔버에 전달하기 위한 방법으로서,
(b) 통합 증기상 시약 분배 장치를 제공하는 단계로서,
복수의 용기(예컨대, 20 및 21)로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 운반 기체 공급 유입 개구를 통해 운반 기체는 충전 레벨 위 상기 내부 기체 공간으로 공급되어 상기 소스 화학물질의 증기가 상기 운반 기체중에 비말 동반되어 증기상 시약을 생성할 수 있고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
복수의 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 매니폴드 22 및 23)로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42) 및 증기상 시약 방출 라인(예컨대, 도 14에서 34 및 44)을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18)를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브(예컨대 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드; 및
각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 22 및 23) 및 각각의 상기 용기(예컨대, 20 및 21)와의 통신을 지시하기 위한 하나 이상의 컨트롤러(도시하지 않음)
를 포함하는 통합 증기상 시약 분배 장치를 제공하는 단계;
소스 화학물질을 하나 이상의 상기 용기(예컨대, 20 또는 21)에 부가하는 단계;
소스 화학물질을 기화시키기 충분한 온도까지 하나 이상의 상기 용기(예컨대, 20 또는 21) 내 소스 화학물질을 가열하여 증기상 시약을 제공하는 단계;
운반 기체를 상기 운반 기체 공급 라인(예컨대, 도 14에서 32 또는 42)을 통해 하나 이상의 상기 용기에 공급하는 단계;
상기 용기 중 임의의 다른 용기와 독립적으로 상기 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)을 통해 상기 용기(예컨대, 20 또는 21) 중 하나로부터 증기상 시약과 운반 기체를 인출하는 단계; 및
증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함한다.
상술한 방법은,
증기상 시약을 증착 챔버 내 임의로 가열가능한 서셉터상의 기재와 접촉시키는 단계; 및
임의의 남아있는 유출물을 증착 챔버에 연결된 유출물 방출 라인을 통해 방출하는 단계
를 더 포함한다.
상술한 방법에 사용하는 통합 증기상 시약 분배 장치는 복수의 소싱 기체 매니폴드(예컨대, 24 또는 25)를 더 포함하고, 각각의 상기 소싱 기체 매니폴드는 서로 상호연결되고; 각각의 소싱 기체 매니폴드는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 22 및 23)에 연결되고; 각각의 소싱 기체 매니폴드는 상기 운반 기체 공급/증기상 시약 전달 매니폴드의 상기 운반 기체 공급 라인과 연속하는 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42)을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18), 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기(예컨대, PTA 및 PTB)를 포함한다.
도 1, 5 및 14에 도시한 통합 증기상 시약 분배 장치의 동작 시, 소스 화학물질은 용기(예컨대, 20 또는 21)에 배치되고, 소스 화학물질을 기화시키기 충분한 온도까지 가열된다. 운반 기체는 운반 기체 공급 라인(예컨대, 도 14에서 32 또는 42)을 통해 운반 기체 공급 유입 개구로 흐르게 되고 운반 기체 공급 유입 개구를 통해 충전 레벨 위 내부 기체 공간으로 방출된다. 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18)는 내부 기체 공간으로 방출되는 운반 기체의 흐름을 제어한다. 소스 화학물질의 증기는 운반 기체중에 비말 동반되어 증기상 시약을 생성한다.
증기상 시약은 증기상 시약 배출 개구 및 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)을 통해 내부 기체 공간으로부터 방출된다. 증기상 시약은 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)을 통해 증착 챔버로 흐른다. 증기상 시약 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)는 증착 챔버로 흐르는 증기상 시약의 흐름을 제어한다. 증착 챔버에서, 증기상 시약은 가열가능한 기재 또는 다른 장착 구조체상에 장착되는 웨이퍼(들) 또는 다른 기재 요소(들)상에 증착된다. 증착 챔버로부터의 유출물 증기는 유출물 방출 라인에서 방출된다. 유출물은 재순환, 회수, 폐기물 처리, 제거 처리, 또는 다른 처리 수단으로 이동할 수도 있다.
이러한 동작 동안, 용기 내 소스 화학물질 충전 레벨은 소스 화학물질 레벨 센서가 검출할 수 있다. 용기 내부의 액체 전구체 화학물질이 거의 소모되는 시점을 알아 그 다음 화학 증착 또는 원자층 증착 운행 전에 용기를 교체할 수 있는 것이 중요하다. 소스 화학물질 레벨은 꾸준하게 감소하고 결국에는 섬프 캐비티에서 최소 액면(예컨대, 섬브 캐비티에서의 액체의 높이)까지 낮아지고, 이 지점에서 컨트롤러 또는 중앙 처리 유닛은 소스 화학물질 레벨 감지 신호 전송 라인을 통해 대응하는 감지된 소스 화학물질 레벨 신호를 수신한다. 이에 반응하여 컨트롤러 또는 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 특정 운반 기체 흐름 제어 밸브에 전송하여 밸브를 폐쇄하고 운반 기체의 용기로의 흐름을 차단하고, 또한 동시에 제어 신호를 제어 신호 전송 라인을 통해 전송하여 특정 증기상 시약 흐름 제어 밸브를 폐쇄하고, 증기상 시약의 용기로부터의 흐름을 차단한다.
하나의 앰풀로부터 또 다른 앰풀로 자동-전환할 수 있는 경우, 시스템은 앰풀에 남아있는 물질의 양에 관한 정보, 운행당 사용량 및 설비로부터의 운행중 신호를 요구하여 운행중에는 전환할 수 없게 하지만 웨이퍼들 또는 웨이퍼들의 배치 처리 사이에는 약간 가능하다. 표준 산업 관행은 일반적으로 전환 후 재-정성분석 실행을 수행하는 것을 포함하고, 시스템은 자동-전환이 발생하였음을 운영자에게 알린다.
또한, 이러한 동작 동안, 용기의 온도는 온도 센서가 검출할 수 있다. 용기의 온도를 모니터하여(예컨대, 고체-소스 앰풀상의 액체 또는 대표 스팟을 위한 써모웰) 증기압을 제어하는 것이 중요하다. 용기 내 소스 화학물질의 온도가 너무 높아지면, 컨트롤러 또는 중앙 처리 유닛은 온도 감지 신호 전송 라인을 통해 대응하는 감지된 온도 신호를 수신한다. 이에 반응하여 컨트롤러 또는 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 가열 수단에 전송하여 온도를 낮춘다.
증착 챔버는 화학 증착 챔버 또는 원자층 증착 챔버일 수 있다. 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)은 증기상 시약 분배 장치를 증착 챔버에 연결한다. 가열가능한 서셉터 또는 증착 기재는 증착 챔버 내에 포함될 수도 있고, 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)에 대하여 수용하는 관계에 있다. 유출물 방출 라인은 증착 챔버에 연결된다. 증기상 시약은 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)을 통해 증착 챔버로 이동하여 임의로 가열가능한 서셉터상의 기재와 접촉하고, 임의의 남아있는 유출물은 유출물 방출 라인을 통해 방출된다. 유출물은 재순환, 회수, 폐기물 처리, 제거 처리, 또는 다른 처리 수단으로 이동할 수도 있다.
본 발명의 통합 증기상 또는 액상 시약 분배 장치는 액체 및 고체 물질, 예컨대 화학 증착, 원자층 증착 및 이온 주입 프로세스에 사용하는 액체 및 고체 소스 시약의 기화에 유용할 수도 있다. 예를 들어, 그 내용이 본원에 참조로서 포함되는 미국특허 6,921,062 B2; 2007년 1월 29일 출원된 미국특허 출원번호 60/898,121; 2007년 2월 27일 출원된 미국특허 출원번호 60/903,720; 2004년 12월 17일 출원된 미국특허 출원번호 11/013,434; 2007년 1월 29일 출원된 미국특허 출원번호 60/897,947; 2007년 2월 27일 출원된 미국특허 출원번호 60/903,579를 참조한다.
도 1, 5 및 14를 참조하면, 본 발명은 부분적으로는 통합 증기상 시약 분배 장치에 관한 것으로서,
복수의 용기(예컨대, 20 및 21)로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질에 연장되는 버블러 튜브를 포함하고, 운반 기체 공급 유입 개구를 통해 상기 운반 기체가 소스 화학물질에 버블링되어 소스 화학물질 증기의 적어도 일부가 상기 운반 기체중에 비말 동반되어 증기상 시약의 흐름을 충전 레벨 위 상기 내부 기체 공간에 생성할 수 있고, 상기 버블러 튜브는 상벽 부재에 인접한 유입 단부 및 하벽 부재에 인접한 배출 단부를 구비하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기; 및
복수의 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 매니폴드 22 및 23)로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42) 및 증기상 시약 방출 라인(예컨대, 도 14에서 34 및 44)을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18)를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브(예컨대 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드; 및
각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 22 및 23) 및 각각의 상기 용기(예컨대, 20 및 21)와의 통신을 지시하기 위한 하나 이상의 컨트롤러(도시하지 않음)
를 포함한다.
통합 증기상 시약 분배 장치는 복수의 소싱 기체 매니폴드(예컨대, 24 및 25)를 더 포함하고, 각각의 상기 소싱 기체 매니폴드는 서로 상호연결되고; 각각의 소싱 기체 매니폴드는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 22 및 23)에 연결되고; 각각의 소싱 기체 매니폴드는 상기 운반 기체 공급/증기상 시약 전달 매니폴드의 상기 운반 기체 공급 라인과 연속하는 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42)을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18), 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기(예컨대, PTA 및 PTB)를 포함한다.
도 1, 5 및 14를 참조하면, 본 발명은 부분적으로는 증기상 시약을 증착 챔버에 전달하기 위한 방법으로서,
(c) 통합 증기상 시약 분배 장치를 제공하는 단계로서,
복수의 용기(예컨대, 20 및 21)로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질에 연장되는 버블러 튜브를 포함하고, 운반 기체 공급 유입 개구를 통해 상기 운반 기체가 소스 화학물질에 버블링되어 소스 화학물질 증기의 적어도 일부가 상기 운반 기체중에 비말 동반되어 증기상 시약의 흐름을 충전 레벨 위 상기 내부 기체 공간에 생성할 수 있고, 상기 버블러 튜브는 상벽 부재에 인접한 유입 단부 및 하벽 부재에 인접한 배출 단부를 구비하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기; 및
복수의 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 매니폴드 22 및 23)로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42) 및 증기상 시약 방출 라인(예컨대, 도 14에서 34 및 44)을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18)를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브(예컨대 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드; 및
각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 22 및 23) 및 각각의 상기 용기(예컨대, 20 및 21)와의 통신을 지시하기 위한 하나 이상의 컨트롤러(도시하지 않음)
를 포함하는 통합 증기상 시약 분배 장치를 제공하는 단계;
소스 화학물질을 하나 이상의 상기 용기(예컨대, 20 또는 21)에 부가하는 단계;
소스 화학물질을 기화시키기 충분한 온도까지 하나 이상의 상기 용기(예컨대, 20 또는 21) 내 소스 화학물질을 가열하여 증기상 시약을 제공하는 단계;
운반 기체를 상기 운반 기체 공급 라인(예컨대, 도 14에서 32 또는 42) 및 상기 버블러 튜브를 통해 하나 이상의 상기 용기에 공급하는 단계;
상기 용기 중 임의의 다른 용기와 독립적으로 상기 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)을 통해 상기 용기(예컨대, 20 또는 21) 중 하나로부터 증기상 시약과 운반 기체를 인출하는 단계; 및
증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함한다.
상술한 방법은,
증기상 시약을 증착 챔버 내 임의로 가열가능한 서셉터상의 기재와 접촉시키는 단계; 및
임의의 남아있는 유출물을 증착 챔버에 연결된 유출물 방출 라인을 통해 방출하는 단계
를 더 포함한다.
상술한 방법에 사용하는 통합 증기상 시약 분배 장치는 복수의 소싱 기체 매니폴드(예컨대, 24 또는 25)를 더 포함하고, 각각의 상기 소싱 기체 매니폴드는 서로 상호연결되고; 각각의 소싱 기체 매니폴드는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드(예컨대, 22 및 23)에 연결되고; 각각의 소싱 기체 매니폴드는 상기 운반 기체 공급/증기상 시약 전달 매니폴드의 상기 운반 기체 공급 라인과 연속하는 운반 기체 공급 라인(예컨대, 도 14에서 32 및 42)을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18), 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기(예컨대, PTA 및 PTB)를 포함한다.
도 1, 5 및 18에 도시한 통합 증기상 시약 분배 장치의 동작 시, 소스 화학물질은 용기(예컨대, 20 또는 21)에 배치되고, 소스 화학물질을 기화시키기 충분한 온도까지 가열된다. 운반 기체는 운반 기체 공급 라인(예컨대, 도 14에서 32 또는 42)을 통해 운반 기체 공급 유입 개구로 흐르게 되고 버블러 튜브를 통해 소스 화학물질에 버블링된다. 운반 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18)는 소스 화학물질로 방출되는 운반 기체의 흐름을 제어한다. 소스 화학물질로부터의 증기는 운반 기체중에 비말 동반되어 증기상 시약을 생성한다.
증기상 시약은 증기상 시약 배출 개구 및 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)을 통해 내부 기체 공간으로부터 방출된다. 증기상 시약은 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)을 통해 증착 챔버로 흐른다. 증기상 시약 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)는 증착 챔버로 흐르는 증기상 시약의 흐름을 제어한다. 증착 챔버에서, 증기상 시약은 가열가능한 기재 또는 다른 장착 구조체상에 장착되는 웨이퍼(들) 또는 다른 기재 요소(들)상에 증착된다. 증착 챔버로부터의 유출물 증기는 유출물 방출 라인에서 방출된다. 유출물은 재순환, 회수, 폐기물 처리, 제거 처리, 또는 다른 처리 수단으로 이동할 수도 있다.
이러한 동작 동안, 용기 내 소스 화학물질 충전 레벨은 소스 화학물질 레벨 센서가 검출할 수 있다. 용기 내부의 액체 전구체 화학물질이 거의 소모되는 시점을 알아 그 다음 화학 증착 또는 원자층 증착 운행 전에 용기를 교체할 수 있는 것이 중요하다. 소스 화학물질 레벨은 꾸준하게 감소하고 결국에는 섬프 캐비티에서 최소 액면(예컨대, 섬브 캐비티에서의 액체의 높이)까지 낮아지고, 이 지점에서 중앙 처리 유닛은 소스 화학물질 레벨 감지 신호 전송 라인을 통해 대응하는 감지된 소스 화학물질 레벨 신호를 수신한다. 이에 반응하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 운반 기체 흐름 제어 밸브에 전송하여 밸브를 폐쇄하고 운반 기체의 용기로의 흐름을 차단하고, 또한 동시에 제어 신호를 제어 신호 전송 라인을 통해 전송하여 증기상 시약 흐름 제어 밸브를 폐쇄하고, 증기상 시약의 용기로부터의 흐름을 차단한다.
또한, 이러한 동작 동안, 용기 내 소스 화학물질의 온도는 온도 센서가 검출할 수 있다. 용기 내부의 액체 전구체 화학물질의 온도를 모니터하여 증기압을 제어하는 것이 중요하다. 용기 내 소스 화학물질의 온도가 너무 높아지면, 컨트롤러 또는 중앙 처리 유닛은 온도 감지 신호 전송 라인을 통해 대응하는 감지된 온도 신호를 수신한다. 이에 반응하여 컨트롤러 또는 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 가열 수단에 전송하여 온도를 낮춘다.
증착 챔버는 화학 증착 챔버 또는 원자층 증착 챔버일 수 있다. 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)은 증기상 시약 분배 장치를 증착 챔버에 연결한다. 가열가능한 서셉터는 증착 챔버 내에 포함될 수도 있고, 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)에 대하여 수용하는 관계에 있다. 유출물 방출 라인은 증착 챔버에 연결된다. 증기상 시약은 증기상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)을 통해 증착 챔버로 이동하여 임의로 가열가능한 서셉터상의 기재와 접촉하고, 임의의 남아있는 유출물은 유출물 방출 라인을 통해 방출된다. 유출물은 재순환, 회수, 폐기물 처리, 제거 처리, 또는 다른 처리 수단으로 이동할 수도 있다.
본 발명의 통합 증기상 또는 액상 시약 분배 장치, 즉 버블러는 액체 및 고체 물질, 예컨대 화학 증착, 원자층 증착 및 이온 주입 프로세스에 사용하는 액체 및 고체 소스 시약의 기화에 유용할 수도 있다. 예를 들어, 그 내용이 본원에 참조로서 포함되는 미국특허 6,921,062 B2; 2007년 1월 29일 출원된 미국특허 출원번호 60/898,121; 2007년 2월 27일 출원된 미국특허 출원번호 60/903,720; 2004년 12월 17일 출원된 미국특허 출원번호 11/013,434; 2007년 1월 29일 출원된 미국특허 출원번호 60/897,947; 2007년 2월 27일 출원된 미국특허 출원번호 60/903,579를 참조한다.
도 1, 5 및 14를 참조하면, 본 발명은 부분적으로는 통합 액상 시약 분배 장치에 관한 것으로서,
복수의 용기(예컨대, 20 및 21)로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 불활성 기체 공급 유입 개구를 구비하고, 불활성 기체 공급 유입 개구를 통해 상기 불활성 기체가 충전 레벨 위 내부 기체 공간으로 공급되어 충전 레벨 위 내부 기체 공간을 가압할 수 있고; 상벽 부재의 일부는 액상 시약 배출 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질로 연장되는 딥튜브를 포함하고, 딥튜브를 통해 액상 시약이 상기 장치로부터 분배될 수 있고, 상기 딥튜브는 상벽 부재에 인접한 배출 단부 및 하벽 부재에 인접한 유입 단부를 구비하는, 복수의 용기;
복수의 불활성 기체 공급/액상 시약 전달 매니폴드(예컨대, 매니폴드 22 및 23)로서, 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 불활성 기체 공급/액상 시약 전달 매니폴드에 연결되고; 각각의 불활성 기체 공급/액상 시약 전달 매니폴드는 불활성 기체 공급 라인(예컨대, 도 14에서 32 및 42) 및 액상 시약 방출 라인(예컨대, 도 14에서 34 및 44)을 포함하고; 상기 불활성 기체 공급 라인은 불활성 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 불활성 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 불활성 기체 공급 라인은 불활성 기체 공급 라인을 통과하는 불활성 기체의 흐름을 제어하기 위하여 하나 이상의 불활성 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18)를 포함하고; 상기 액상 시약 방출 라인은 액상 시약을 상기 용기로부터 제거하기 위하여 액상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 액상 시약 방출 라인은 액상 시약 방출 라인을 통과하는 액상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 액상 시약 흐름 제어 밸브(예컨대 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)를 포함하는, 복수의 불활성 기체 공급/액상 시약 전달 매니폴드; 및
각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드(예컨대, 22 및 23) 및 각각의 상기 용기(예컨대, 20 및 21)와의 통신을 지시하기 위한 하나 이상의 컨트롤러(도시하지 않음)
를 포함한다.
통합 액상 시약 분배 장치는 복수의 소싱 기체 매니폴드(예컨대, 24 및 25)를 더 포함하고, 각각의 상기 소싱 기체 매니폴드는 서로 상호연결되고; 각각의 소싱 기체 매니폴드는 적어도 하나의 불활성 기체 공급/액상 시약 전달 매니폴드(예컨대, 22 및 23)에 연결되고; 각각의 소싱 기체 매니폴드는 상기 불활성 기체 공급/액상 시약 전달 매니폴드의 상기 불활성 기체 공급 라인과 연속하는 불활성 기체 공급 라인(예컨대, 도 14에서 32 및 42)을 포함하고; 불활성 기체 공급 라인은 불활성 기체 공급 라인을 통과하는 불활성 기체의 흐름을 제어하기 위한 하나 이상의 불활성 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18), 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기(예컨대, PTA 및 PTB)를 포함한다.
도 1, 5 및 14를 참조하면, 본 발명은 부분적으로는 증기상 시약을 증착 챔버에 전달하기 위한 방법으로서,
(d) 통합 액상 시약 분배 장치를 제공하는 단계로서,
복수의 용기(예컨대, 20 및 21)로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 불활성 기체 공급 유입 개구를 구비하고, 불활성 기체 공급 유입 개구를 통해 상기 불활성 기체가 충전 레벨 위 내부 기체 공간으로 공급되어 충전 레벨 위 내부 기체 공간을 가압할 수 있고; 상벽 부재의 일부는 액상 시약 배출 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질로 연장되는 딥튜브를 포함하고, 딥튜브를 통해 액상 시약이 상기 장치로부터 분배될 수 있고, 상기 딥튜브는 상벽 부재에 인접한 배출 단부 및 하벽 부재에 인접한 유입 단부를 구비하는, 복수의 용기;
복수의 불활성 기체 공급/액상 시약 전달 매니폴드(예컨대, 매니폴드 22 및 23)로서, 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 불활성 기체 공급/액상 시약 전달 매니폴드에 연결되고; 각각의 불활성 기체 공급/액상 시약 전달 매니폴드는 불활성 기체 공급 라인(예컨대, 도 14에서 32 및 42) 및 액상 시약 방출 라인(예컨대, 도 14에서 34 및 44)을 포함하고; 상기 불활성 기체 공급 라인은 불활성 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 불활성 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 불활성 기체 공급 라인은 불활성 기체 공급 라인을 통과하는 불활성 기체의 흐름을 제어하기 위하여 하나 이상의 불활성 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18)를 포함하고; 상기 액상 시약 방출 라인은 액상 시약을 상기 용기로부터 제거하기 위하여 액상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 액상 시약 방출 라인은 액상 시약 방출 라인을 통과하는 액상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 액상 시약 흐름 제어 밸브(예컨대 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)를 포함하는, 복수의 불활성 기체 공급/액상 시약 전달 매니폴드; 및
각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드(예컨대, 22 및 23) 및 각각의 상기 용기(예컨대, 20 및 21)와의 통신을 지시하기 위한 하나 이상의 컨트롤러(도시하지 않음)
를 포함하는 통합 액상 시약 분배 장치를 제공하는 단계;
소스 화학물질을 하나 이상의 상기 용기(예컨대, 20 또는 21)에 부가하는 단계;
임의로 고체 소스 화학물질을 용융시키기 충분한 온도까지 하나 이상의 상기 용기(예컨대, 20 또는 21) 내 고체 소스 화학물질을 가열하여 액상 시약을 제공하는 단계;
불활성 기체를 상기 불활성 기체 공급 라인(예컨대, 도 14에서 32 또는 42)을 통해 하나 이상의 상기 용기에 공급하는 단계;
상기 용기(예컨대, 20 또는 21) 중 임의의 다른 용기와 독립적으로 상기 딥튜브 및 상기 액상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)을 통해 상기 용기 중 하나로부터 액상 시약을 인출하는 단계;
기화 장치를 제공하는 단계로서,
내부 용기 칸을 형성하여 액상 시약을 기화시키도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기;
통합 액상 시약 분배 장치를 상기 기화 장치에 연결하는 상기 액상 시약 방출 라인;
기화 장치의 일부로서, 운반 기체 공급 유입 개구를 구비하고, 운반 기체 공급 유입 개구를 통해 운반 기체는 상기 기화 장치로 공급되어 상기 액상 시약의 증기가 상기 운반 기체중에 비말 동반되어 증기상 시약을 생성할 수 있는, 기화 장치의 일부;
기화 장치의 일부로서, 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약은 상기 기화 장치로부터 분배될 수 있는, 기화 장치의 일부;
운반 기체를 상기 기화 장치에 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 기화 장치로부터 외부로 연장되는 운반 기체 공급 라인으로서, 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
증기상 시약을 상기 기화 장치로부터 상기 증착 챔버로 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 기화 장치로부터 외부로 연장되는 증기상 시약 방출 라인으로서, 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위한 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 증기상 시약 방출 라인
을 포함하는 기화 장치를 제공하는 단계;
액상 시약을 상기 기화 장치에 공급하는 단계;
액상 시약을 기화시키기 충분한 온도까지 상기 기화 장치 내 액상 시약을 가열하여 상기 증기상 시약을 제공하는 단계;
운반 기체를 상기 운반 기체 공급 라인을 통해 상기 기화 장치에 공급하는 단계;
증기상 시약과 운반 기체를 상기 증기상 시약 방출 라인을 통해 상기 기화 장치로부터 인출하는 단계; 및
증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
를 포함한다.
상술한 방법은,
증기상 시약을 증착 챔버 내 임의로 가열가능한 서셉터상의 기재와 접촉시키는 단계; 및
임의의 남아있는 유출물을 증착 챔버에 연결된 유출물 방출 라인을 통해 방출하는 단계
를 더 포함한다.
상술한 방법에 사용하는 통합 액상 시약 분배 장치는 복수의 소싱 기체 매니폴드(예컨대, 24 또는 25)를 더 포함하고, 각각의 상기 소싱 기체 매니폴드는 서로 상호연결되고; 각각의 소싱 기체 매니폴드는 적어도 하나의 불활성 기체 공급/액상 시약 전달 매니폴드(예컨대, 22 및 23)에 연결되고; 각각의 소싱 기체 매니폴드는 상기 불활성 기체 공급/액상 시약 전달 매니폴드의 상기 불활성 기체 공급 라인과 연속하는 불활성 기체 공급 라인(예컨대, 도 14에서 32 및 42)을 포함하고; 불활성 기체 공급 라인은 불활성 기체 공급 라인을 통과하는 불활성 기체의 흐름을 제어하기 위한 하나 이상의 불활성 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18), 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기(예컨대, PTA 및 PTB)를 포함한다.
도 1, 5 및 18에 도시한 통합 액상 시약 분배 장치의 동작 시, 소스 화학물질은 용기(예컨대, 20 또는 21)에 배치되고, 불활성 기체는 불활성 기체 공급 라인(예컨대, 도 14에서 32 또는 42)을 통해 불활성 기체 공급 유입 개구 및 충전 레벨 위 내부 기체 공간으로 흐르게 되어 충전 레벨 위 내부 기체 공간을 가압한다. 불활성 기체 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-1, V-3, V-6 및 V-8; 및 앰풀(21)을 위한 V-4, V-5, V-11 및 V-18)는 충전 레벨 위 내부 기체 공간으로 방출되는 불활성 기체의 흐름을 제어한다.
액상 시약은 용기(예컨대, 20 또는 21)로부터 액상 시약 배출 개구(예컨대, 딥튜브) 및 액상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)을 통해 방출된다. 액상 시약은 액상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)을 통해 증착 챔버로 흐른다. 액상 시약 흐름 제어 밸브(예컨대, 앰풀(20)을 위한 V-7, V-9, V-15 및 V-16; 및 앰풀(21)을 위한 V-12, V-13, V-14 및 V-17)는 기화 장치로 흐르는 액상 시약의 흐름을 제어한다.
기화 장치에서, 액상 시약이 기화되어 그 다음 기상 증착 동작을 위한 소스 증기를 형성한다. 기화 장치는 또한 액상 시약의 기화에 의해 생성된 소스 증기와 조합하거나 이를 시라우딩(shrouding)하기 위한 운반 기체를 수용할 수도 있다. 별법으로, 소스 증기는 순수한 형태로 하류의 증착 동작으로 진행할 수도 있다. 어쨌든, 기화 장치로부터의 소스 증기는 증기상 시약 방출 라인을 통해 증착 챔버로 흐른다. 증착 챔버에서, 증기상 시약은 가열가능한 기재 또는 다른 장착 구조체상에 장착되는 웨이퍼(들) 또는 다른 기재 요소(들)상에 증착된다. 증착 챔버로부터의 유출물 증기는 유출물 방출 라인에서 방출된다. 유출물은 재순환, 회수, 폐기물 처리, 제거 처리, 또는 다른 처리 수단으로 이동할 수도 있다.
이러한 동작 동안, 용기 내 소스 화학물질 충전 레벨은 소스 화학물질 레벨 센서가 검출할 수 있다. 용기 내부의 액체 전구체 화학물질이 거의 소모되는 시점을 알아 그 다음 화학 증착 또는 원자층 증착 운행 전에 용기를 교체할 수 있는 것이 중요하다. 소스 화학물질 레벨은 꾸준하게 감소하고 결국에는 섬프 캐비티에서 최소 액면(예컨대, 섬브 캐비티에서의 액체의 높이)까지 낮아지고, 이 지점에서 중앙 처리 유닛은 소스 화학물질 레벨 감지 신호 전송 라인을 통해 대응하는 감지된 소스 화학물질 레벨 신호를 수신한다. 이에 반응하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 운반 기체 흐름 제어 밸브에 전송하여 밸브를 폐쇄하고 운반 기체의 용기로의 흐름을 차단하고, 또한 동시에 제어 신호를 제어 신호 전송 라인을 통해 전송하여 액상 시약 흐름 제어 밸브를 폐쇄하고, 액상 시약의 용기로부터의 흐름을 차단한다.
또한, 이러한 동작 동안, 용기 내 소스 화학물질의 온도는 온도 센서가 검출할 수 있다. 용기 내부의 액체 전구체 화학물질의 온도를 모니터하여 증기압을 제어하는 것이 중요하다. 용기 내 소스 화학물질의 온도가 너무 높아지면, 중앙 처리 유닛은 온도 감지 신호 전송 라인을 통해 대응하는 감지된 온도 신호를 수신한다. 이에 반응하여 중앙 처리 유닛은 제어 신호를 제어 신호 전송 라인을 통해 가열 수단에 전송하여 온도를 낮춘다.
본 발명의 통합 액상 시약 분배 장치는 화학 증착, 원자층 증착 및 이온 주입 프로세스에 사용하는 전구체와 같은 시약의 분배에 유용할 수도 있고, 용기로부터 액체 시약의 높은 수준의 인출을 달성할 수 있다. 예를 들어, 그 내용이 본원에 참조로서 포함되는 미국특허 6,077,356; 2007년 1월 29일 출원된 미국특허 출원번호 60/898,121; 2007년 2월 27일 출원된 미국특허 출원번호 60/903,720; 2004년 12월 17일 출원된 미국특허 출원번호 11/013,434; 2007년 1월 29일 출원된 미국특허 출원번호 60/897,947; 2007년 2월 27일 출원된 미국특허 출원번호 60/903,579를 참조한다.
증착 챔버는 화학 증착 챔버 또는 원자층 증착 챔버일 수 있다. 액상 시약 방출 라인(예컨대, 도 14에서 34 또는 44)은 액상 시약 분배 장치를 기화 장치에 연결한다. 기화 장치는 운반 기체 공급 유입 개구로부터 위로 그리고 기화 장치로부터 외부로 연장되는 운반 기체 공급 라인을 구비하고, 운반 기체 공급 라인을 통해 운반 기체는 기화 장치로 공급되어 상기 액상 시약의 증기가 운반 기체중에 비말 동반되어 증기상 시약을 제조할 수 있다. 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 운반 기체 흐름 제어 밸브를 포함한다. 운반 기체 공급 라인은 운반 기체 공급원에 연결된다. 운반 기체 공급원은 운반 기체, 예컨대 질소, 아르곤, 헬륨 등을 운반 기체 공급 라인에 공급하는 임의의 적합한 타입, 예를 들어 고압 기체 실린더, 극저온 공기 분리 플랜트, 또는 압력 스윙 공기 분리 유닛일 수 있다.
기화 장치는 증기상 시약 배출 개구로부터 위로 그리고 기화 장치로부터 외부로 연장되는 증기상 시약 방출 라인을 구비하고, 증기상 시약 방출 라인을 통해 증기상 시약은 기화 장치로부터 증착 챔버로 분배될 수 있다. 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위한 증기상 시약 흐름 제어 밸브를 포함한다.
가열가능한 서셉터는 증착 챔버 내에 포함될 수도 있고, 증기상 시약 방출 라인에 대하여 수용하는 관계에 있다. 유출물 방출 라인은 증착 챔버에 연결된다. 증기상 시약은 증기상 시약 방출 라인을 통해 증착 챔버로 이동하여 임의로 가열가능한 서셉터상의 기재와 접촉하고, 임의의 남아있는 유출물은 유출물 방출 라인을 통해 방출된다. 유출물은 재순환, 회수, 폐기물 처리, 제거 처리, 또는 다른 처리 수단으로 이동할 수도 있다.
본 발명의 한 실시양태에서, 유기금속 화합물은 분말, 필름 또는 코팅을 형성하기 위하여 증기상 증착 기법에 사용된다. 화합물은 단일 소스 전구체로서 사용할 수 있거나 하나 이상의 다른 전구체, 예를 들어 적어도 하나의 다른 유기금속 화합물 또는 금속 착물을 가열함으로써 생성된 증기와 함께 사용할 수 있다.
증착은 다른 증기상 성분의 존재하에 수행될 수 있다. 본 발명의 한 실시양태에서, 필름 증착은 적어도 하나의 비-반응성 운반 기체의 존재하에 수행된다. 비-반응성 기체의 예는 불활성 기체, 예컨대 질소, 아르곤, 헬륨뿐만 아니라 프로세스 조건하에서 유기금속 화합물 전구체와 반응하지 않는 다른 기체를 포함한다. 다른 실시양태에서, 필름 증착은 적어도 하나의 반응성 기체의 존재하에 수행된다. 사용될 수 있는 반응성 기체 중 몇몇은 히드라진, 산소, 수소, 공기, 산소-농후 공기, 오존(O3), 아산화질소(N2O), 수증기, 유기 증기, 암모니아 등을 포함하지만, 이에 제한되지 않는다. 본 기술분야에 알려진 바와 같이, 예를 들어 공기, 산소, 산소-농후 공기, O3, N2O 또는 산화 유기 화합물의 증기와 같은 산화 기체의 존재는 금속 산화물 필름의 형성에 유리하다.
본원에서 기술한 증착 방법은 단일 금속을 포함하는 필름, 분말 또는 코팅을 형성하거나 단일 금속 산화물을 포함하는 필름, 분말 또는 코팅을 형성하도록 수행할 수 있다. 혼합된 필름, 분말 또는 코팅, 예를 들어 혼합된 금속 산화물 필름도 증착될 수 있다. 혼합된 금속 산화물 필름은 예를 들어 복수의 유기금속 전구체를 사용함으로써 형성할 수 있는데, 복수의 유기금속 전구체 중 적어도 하나는 상술한 유기금속 화합물로부터 선택된다.
증기상 필름 증착은 원하는 두께, 예를 들어 1㎚ 미만 내지 1㎜ 초과 범위의 필름층을 형성하도록 수행할 수 있다. 본원에서 기술한 전구체는 특히 얇은 필름, 예컨대 약 10㎚ 내지 약 100㎚ 범위의 두께를 갖는 필름을 제조하는 데 특히 유용하다. 본 발명의 필름은 예를 들어 금속 전극을, 특히 로직에서의 n-채널 금속 전극, DRAM 애플리케이션용 커패시터 전극, 및 유전체 재료로서 제조하기 위하여 고려할 수 있다.
증착 방법은 또한 층형 필름 제조에 적합한데, 층들 중 적어도 2개 층은 상 또는 조성이 상이하다. 층형 필름의 예로는 금속-절연체-반도체 및 금속-절연체-금속이 있다.
유기금속 화합물 전구체는 본 기술분야에 알려진 원자층 증착 프로세스, 화학 증착 프로세스 또는 더욱 구체적으로는 유기금속 화학 증착 프로세스에 사용할 수 있다. 예를 들어, 상술한 유기금속 화합물 전구체는 대기압뿐만 아니라 낮은 압력으로 화학 증착 프로세스에 사용할 수 있다. 화합물은 전체 반응 챔버가 가열되는 방법인 고온 벽 화학 증착뿐만 아니라 기재만이 가열되는 기법인 저온 또는 가온 벽 타입 화학 증착에서 사용할 수 있다.
상술한 유기금속 화합물 전구체는 플라스마 또는 광-보조 화학 증착 프로세스에서 사용할 수 있는데, 플라스마로부터의 에너지 또는 전자기 에너지는 개별적으로 화학 증착 전구체를 활성화하는 데 사용한다. 화합물은 또한 이온 빔, 전자 빔-보조 화학 증착 프로세스에서 사용할 수 있는데, 이온 빔 또는 전자 빔은 개별적으로 기재에 전달되어 화학 증착 전구체를 분해하기 위한 에너지를 공급한다. 레이저-보조 화학 증착 프로세스가 또한 사용될 수 있는데, 레이저 광은 기재에 전달되어 화학 증착 전구체의 광촉매 반응에 영향을 미친다.
증착 방법은 예를 들어 본 기술분야에 알려진 고온 또는 저온 벽 반응기, 플라스마-보조, 빔-보조 또는 레이저-보조 반응기와 같은 다양한 화학 증착 반응기에서 수행할 수 있다.
증착 챔버에 유용한 예시적인 기재는 예를 들어 금속, 금속 실리사이드, 반도체, 절연체, 배리어재, 세라믹 및 흑연으로부터 선택된 물질을 포함한다. 바람직한 기재는 패터닝된 웨이퍼이다. 증착 방법을 이용하여 코팅될 수 있는 기재의 예로는, 금속 기재, 예컨대, Al, Ni, Ti, Co, Pt, Ta; 금속 실리사이드, 예컨대 TiSi2, CoSi2, NiSi2; 반도체 물질, 예컨대 Si, SiGe, GaAs, InP, 다이아몬드, GaN, SiC; 절연체, 예컨대, SiO2, Si3N4, HfO2, Ta2O5, Al2O3, 바륨 스트론튬 티타네이트(BST); 배리어재, 예컨대, TiN, TaN과 같은 기재; 또는 이들 물질의 조합을 포함하는 기재가 있다. 또한, 필름 또는 코팅은 유리, 세라믹, 플라스틱, 열경화성 중합체 물질, 및 다른 코팅 또는 필름층상에 형성될 수 있다. 바람직한 실시양태에서, 필름 증착은 전자 컴포넌트의 제조 또는 가공에 사용되는 기재상에 수행된다. 다른 실시양태에서, 기재는 산화제의 존재하에 고온에서 안정적인 낮은 비저항 도체 증착 또는 광학적으로 투과성인 필름을 지지하는 데 사용한다.
증착 방법은 매끄럽고 평평한 표면을 갖는 기재상에 필름을 증착하도록 수행할 수 있다. 한 실시양태에서, 증착 방법은 웨이퍼 제조 또는 가공에 사용되는 기재상에 필름을 증착하도록 수행한다. 예를 들어, 증착 방법은 트렌치, 홀 또는 비아와 같은 특징부를 구비하는 패터닝된 기재상에 필름을 증착하도록 수행할 수 있다. 또한, 증착 방법은 웨이퍼 제조 또는 가공의 다른 단계, 예를 들어 마스킹 단계, 에칭 단계 등과 또한 통합할 수 있다.
화학 증착 필름은 원하는 두께로 증착할 수 있다. 예를 들어, 형성된 필름은 1 마이크로미터 미만, 바람직하게는 500 나노미터 미만, 더욱 바람직하게는 200 나노미터 미만의 두께일 수 있다. 50 나노미터 미만의 두께인 필름, 예를 들어 약 0.1 나노미터 내지 약 20 나노미터의 두께를 갖는 필름이 또한 제조될 수 있다.
상술한 유기금속 화합물 전구체는 원자층 증착 또는 원자층 핵형성 기법으로 필름을 형성하도록 본 발명의 방법에 또한 사용할 수 있는데, 필름 형성 동안 기재는 전구체, 산화제 및 불활성 기체 스트림의 펄스에 교대로 노출된다. 연속 층 증착 기법은 예를 들어 미국특허번호 6,287,965 및 미국특허번호 6,342,277에 기술되어 있다. 두 특허문헌의 내용은 본원에 참조로서 포함된다.
예를 들어, 한 원자층 증착 사이클에서, 기재는 단계적인 방식으로 a) 불활성 기체; b) 전구체 증기를 갖는 불활성 기체; c) 불활성 기체; 및 d) 산화제 단독 또는 불활성 기체와 함께 산화제에 노출된다. 일반적으로, 각 단계는 장비가 허용하는 만큼 짧을 수 있고(예컨대, 밀리초) 프로세스가 요구하는 만큼 길 수 있다(예컨대, 수 초 또는 분). 한 사이클의 기간은 밀리초만큼 짧을 수 있고 분만큼 길 수 있다. 사이클은 수 분부터 수 시간까지 일 수 있는 주기에 걸쳐 반복된다. 제조된 필름은 수 나노미터만큼 얇거나 예컨대 1 밀리미터(㎜)만큼 두꺼울 수 있다.
그러므로 본 발명의 수단 및 방법은 최초 공급된 소스 화학물질 부피의 95% 내지 98%를 증기상 또는 액상 시약을 선택적으로 분배하는 응용에 사용하게 하는, 증기상 또는 액상 시약의 공급 및 분배를 위한 시스템을 제공한다는 점에서 본 기술분야에서 실질적인 진보를 이룬다. 2-부분 앰풀의 세정 용이성은 1-부분 앰풀로 얻을 수도 있는 것 이상으로 이러한 앰풀의 재사용을 가능하게 한다.
상응하게도, 반도체 및 초전도체 제품의 제조와 같은 동작 시, 본 발명의 수단 및 방법을 이용하여 소스 화학물질의 낭비를 최초 분배 용기에 적재된 부피의 2% 내지 5% 만큼 낮은 수준으로 낮출 수 있고, 앰풀을 복수 회에 걸쳐 재사용할 수 있다.
따라서, 본 발명의 실시는 소스 화학물질 공급 및 증기상 또는 액상 시약 분배 시스템 및 분배된 증기상 또는 액상 시약이 사용되는 프로세스의 경제성을 현저하게 개선한다. 몇몇 예에서 본 발명은 종래기술 실시의 낭비 수준 특성에 의해 불가능했던 실질적인 문제이었던 소스 화학물질의 비용 효과적인 사용을 가능하게 할 수도 있다.
본 발명의 다른 이점인 증기상 또는 액상 시약 분배 동작의 말미에 용기 내 소스 화학물질 재고 감소는, 고갈된 공급 용기를 프로세스 시스템으로부터 교체하고, 다른 가공을 위한 또 다른 용기로 대체하는 전환 시간을, 종래의 실시에 비해, 공급 용기로부터의 최초 충전된 액체의 사용량 증가로 인한 공급 용기에 대한 더 긴 가동 시간의 결과로서 최소화할 수 있다.
본 발명의 다양한 변경 및 변형은 당업자에게 명백할 것이고, 이러한 변경 및 변형은 본원의 범위 및 특허청구범위의 사상과 범위 내에 포함된다는 점을 이해하게 된다.
본 발명의 특정 실시양태로서 간주되는 것을 도시하고 기술하였지만, 형태 또는 세부사항의 다양한 변경 및 변형은 본 발명의 사상과 범위를 벗어나지 않으면서 쉽게 이루어질 수 있음을 또한 이해하게 될 것이다. 그러므로 본 발명은 본원에서 도시하고 기술한 정확한 형태와 세부사항에 제한되지 않고, 본원과 특허청구범위에서 기술한 본 발명의 전반적인 내용이 아닌 임의의 것에도 제한되지 않도록 의도된다.

Claims (20)

  1. 통합 증기상 시약 분배 장치로서,
    복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 운반 기체 공급 유입 개구를 통해 운반 기체는 충전 레벨 위 상기 내부 기체 공간으로 공급되어 상기 소스 화학물질의 증기가 상기 운반 기체중에 비말 동반되어 증기상 시약을 생성할 수 있고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
    복수의 운반 기체 공급/증기상 시약 전달 매니폴드로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인 및 증기상 시약 방출 라인을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드; 및
    각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
    를 포함하는 통합 증기상 시약 분배 장치.
  2. 통합 증기상 시약 분배 장치로서,
    복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 운반 기체 공급 유입 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질에 연장되는 버블러 튜브를 포함하고, 운반 기체 공급 유입 개구를 통해 상기 운반 기체가 소스 화학물질에 버블링되어 소스 화학물질 증기의 적어도 일부가 상기 운반 기체중에 비말 동반되어 증기상 시약의 흐름을 충전 레벨 위 상기 내부 기체 공간에 생성할 수 있고, 상기 버블러 튜브는 상벽 부재에 인접한 유입 단부 및 하벽 부재에 인접한 배출 단부를 구비하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기; 및
    복수의 운반 기체 공급/증기상 시약 전달 매니폴드로서, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급/증기상 시약 전달 매니폴드는 운반 기체 공급 라인 및 증기상 시약 방출 라인을 포함하고; 상기 운반 기체 공급 라인은 운반 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위하여 하나 이상의 운반 기체 흐름 제어 밸브를 포함하고; 상기 증기상 시약 방출 라인은 증기상 시약을 충전 레벨 위 상기 내부 기체 공간으로부터 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 복수의 운반 기체 공급/증기상 시약 전달 매니폴드; 및
    각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
    를 포함하는 통합 증기상 시약 분배 장치.
  3. 제1항 또는 제2항에 있어서,
    복수의 소싱 기체 매니폴드를 더 포함하고, 각각의 상기 소싱 기체 매니폴드는 서로 상호연결되고; 각각의 소싱 기체 매니폴드는 적어도 하나의 운반 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 소싱 기체 매니폴드는 상기 운반 기체 공급/증기상 시약 전달 매니폴드의 상기 운반 기체 공급 라인과 연속하는 운반 기체 공급 라인을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브, 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기를 포함하는 통합 증기상 시약 분배 장치.
  4. 제3항에 있어서,
    화학 증착 챔버 및 원자층 증착 챔버로부터 선택되는 증착 챔버;
    통합 증기상 시약 분배 장치를 증착 챔버에 연결하는 증기상 시약 방출 라인;
    임의로 증착 챔버 내에 포함되고 증기상 시약 방출 라인에 대하여 수용하는 관계에 있는 가열가능한 서셉터; 및
    증착 챔버에 연결된 유출물 방출 라인
    을 더 포함하고,
    증기상 시약은 증기상 시약 방출 라인을 통해 증착 챔버로 이동하여 임의로 가열가능한 서셉터상의 기재와 접촉하고, 임의의 남아있는 유출물은 유출물 방출 라인을 통해 방출되는 통합 증기상 시약 분배 장치.
  5. 제4항에 있어서,
    상기 컨트롤러는, 각각의 상기 소싱 기체 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로, 각각의 상기 소싱 기체 매니폴드, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드, 각각의 상기 용기 및 상기 증착 챔버와의 통신을 지시하기 위한 알고리즘을 구비하는 통합 증기상 시약 분배 장치.
  6. 제4항에 있어서,
    (ⅰ) 상기 컨트롤러는 각각의 상기 소싱 기체 매니폴드, 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 용기로부터 디지털 및 아날로그 입력을 수신하고, 상기 디지털 및 아날로그 입력을 이용하여 동작을 수행하고, (ⅱ) 상기 컨트롤러는 상기 증착 챔버로부터 명령 입력을 수신하고, 상기 명령 입력을 이용하여 동작을 수행하고; 상기 동작은 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드, 각각의 상기 용기 및 각각의 상기 소싱 기체 매니폴드의 개별 온도 지대의 온도를 제어하고; 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 소싱 기체 매니폴드의 밸브를 제어하고; 각각의 상기 운반 기체 공급/증기상 시약 전달 매니폴드, 각각의 상기 용기 및 각각의 상기 소싱 기체 매니폴드에서의 피드백을 위하여 열전쌍 및 밸브 위치 표시기를 모니터하고; 증착 챔버로부터의 전기식 및 공압식 밸브 구동 신호를 각각의 상기 활성 운반 기체 공급/증기상 시약 전달 매니폴드 및 각각의 상기 활성 소싱 기체 매니폴드에 릴레이하고; 캐비닛의 비상 기체 오프(EGO), 온도 경고, 온도 경보, 밸브 위치 정보, 레벨 센서 정보 및 다른 경보를 상기 증착 챔버와 통신하는 것을 포함하는 통합 증기상 시약 분배 장치.
  7. 제6항에 있어서,
    상기 컨트롤러는 프로그램가능 로직 컨트롤러를 포함하고, 상기 컨트롤러는 상기 디지털 및 아날로그 입력 및 상기 명령 입력을 컴퓨터에 릴레이하여 사용자가 상기 동작을 모니터하게 하는 통합 증기상 시약 분배 장치.
  8. 증기상 시약을 증착 챔버에 전달하기 위한 방법으로서,
    (a) 제1항의 통합 증기상 시약 분배 장치를 제공하는 단계;
    (b) 소스 화학물질을 하나 이상의 상기 용기에 부가하는 단계;
    (c) 소스 화학물질을 기화시키기 충분한 온도까지 하나 이상의 상기 용기 내 소스 화학물질을 가열하여 증기상 시약을 제공하는 단계;
    (d) 운반 기체를 상기 운반 기체 공급 라인을 통해 하나 이상의 상기 용기에 공급하는 단계;
    (e) 상기 용기 중 임의의 다른 용기와 독립적으로 상기 증기상 시약 방출 라인을 통해 상기 용기 중 하나로부터 증기상 시약과 운반 기체를 인출하는 단계; 및
    (f) 증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
    를 포함하는 방법.
  9. 증기상 시약을 증착 챔버에 전달하기 위한 방법으로서,
    (a) 제2항의 통합 증기상 시약 분배 장치를 제공하는 단계;
    (b) 소스 화학물질을 하나 이상의 상기 용기에 부가하는 단계;
    (c) 소스 화학물질을 기화시키기 충분한 온도까지 하나 이상의 상기 용기 내 소스 화학물질을 가열하여 증기상 시약을 제공하는 단계;
    (d) 운반 기체를 상기 운반 기체 공급 라인 및 상기 버블러 튜브를 통해 하나 이상의 상기 용기에 공급하는 단계;
    (e) 상기 용기 중 임의의 다른 용기와 독립적으로 상기 증기상 시약 방출 라인을 통해 상기 용기 중 하나로부터 증기상 시약과 운반 기체를 인출하는 단계; 및
    (f) 증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
    를 포함하는 방법.
  10. 제8항 또는 제9항에 있어서,
    상기 용기 중 하나로부터 상기 증기상 시약과 운반 기체를 상기 증착 챔버에 전달함과 동시에, 낮은 레벨의 소스 화학물질을 함유하는 또 다른 용기를 상기 통합 증기상 시약 분배 장치로부터 연결해제하고, 상기 용기를 재충전하고, 상기 통합 증기상 시약 분배 장치 내 상기 용기를 대체하는 것을 더 포함하는 방법.
  11. 통합 액상 시약 분배 장치로서,
    복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 충전 레벨까지 소스 화학물질을 보유하고, 추가로 충전 레벨 위에 내부 기체 공간을 형성하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 불활성 기체 공급 유입 개구를 구비하고, 불활성 기체 공급 유입 개구를 통해 상기 불활성 기체가 충전 레벨 위 내부 기체 공간으로 공급되어 충전 레벨 위 내부 기체 공간을 가압할 수 있고; 상벽 부재의 일부는 액상 시약 배출 개구를 구비하고, 내부 기체 공간을 통과해 소스 화학물질로 연장되는 딥튜브를 포함하고, 딥튜브를 통해 액상 시약이 상기 장치로부터 분배될 수 있고, 상기 딥튜브는 상벽 부재에 인접한 배출 단부 및 하벽 부재에 인접한 유입 단부를 구비하는, 복수의 용기;
    복수의 불활성 기체 공급/액상 시약 전달 매니폴드로서, 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 불활성 기체 공급/액상 시약 전달 매니폴드에 연결되고; 각각의 불활성 기체 공급/액상 시약 전달 매니폴드는 불활성 기체 공급 라인 및 액상 시약 방출 라인을 포함하고; 상기 불활성 기체 공급 라인은 불활성 기체를 충전 레벨 위 상기 내부 기체 공간으로 전달하기 위하여 불활성 기체 공급 유입 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 불활성 기체 공급 라인은 불활성 기체 공급 라인을 통과하는 불활성 기체의 흐름을 제어하기 위하여 하나 이상의 불활성 기체 흐름 제어 밸브를 포함하고; 상기 액상 시약 방출 라인은 액상 시약을 상기 용기로부터 제거하기 위하여 액상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 액상 시약 방출 라인은 액상 시약 방출 라인을 통과하는 액상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 액상 시약 흐름 제어 밸브를 포함하는, 복수의 불활성 기체 공급/액상 시약 전달 매니폴드; 및
    각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 불활성 기체 공급/액상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
    를 포함하는 통합 액상 시약 분배 장치.
  12. 제11항에 있어서,
    복수의 소싱 기체 매니폴드를 더 포함하고, 각각의 상기 소싱 기체 매니폴드는 서로 상호연결되고; 각각의 소싱 기체 매니폴드는 적어도 하나의 불활성 기체 공급/증기상 시약 전달 매니폴드에 연결되고; 각각의 소싱 기체 매니폴드는 상기 불활성 기체 공급/증기상 시약 전달 매니폴드의 상기 불활성 기체 공급 라인과 연속하는 불활성 기체 공급 라인을 포함하고; 불활성 기체 공급 라인은 불활성 기체 공급 라인을 통과하는 불활성 기체의 흐름을 제어하기 위한 하나 이상의 불활성 기체 흐름 제어 밸브, 및 소싱 기체 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기를 포함하는 통합 액상 시약 분배 장치.
  13. 제12항에 있어서,
    화학 증착 챔버 및 원자층 증착 챔버로부터 선택되는 증착 챔버;
    통합 액상 시약 분배 장치를 기화 장치에 연결하는 액상 시약 방출 라인;
    기화 장치의 일부로서, 운반 기체 공급 유입 개구를 구비하고, 운반 기체 공급 유입 개구를 통해 운반 기체가 상기 기화 장치로 공급되어 상기 액상 시약의 증기가 상기 운반 기체중에 비말 동반되어 증기상 시약을 생성할 수 있는, 기화 장치의 일부;
    기화 장치의 일부로서, 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 기화 장치로부터 분배될 수 있는, 기화 장치의 일부;
    운반 기체를 상기 기화 장치에 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 기화 장치로부터 외부로 연장되는 운반 기체 공급 라인으로서, 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
    증기상 시약을 상기 기화 장치로부터 상기 증착 챔버에 방출하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 기화 장치로부터 외부로 연장되는 증기상 시약 방출 라인으로서, 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위한 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 증기상 시약 방출 라인;
    임의로 증착 챔버 내에 포함되고 증기상 시약 방출 라인에 대하여 수용하는 관계에 있는 가열가능한 서셉터; 및
    증착 챔버에 연결된 유출물 방출 라인
    을 더 포함하고,
    증기상 시약은 증기상 시약 방출 라인을 통해 증착 챔버로 이동하여 임의로 가열가능한 서셉터상의 기재와 접촉하고, 임의의 남아있는 유출물은 유출물 방출 라인을 통해 방출되는 통합 액상 시약 분배 장치.
  14. 증기상 시약을 증착 챔버에 전달하기 위한 방법으로서,
    (a) 제11항의 통합 액상 시약 분배 장치를 제공하는 단계;
    (b) 소스 화학물질을 하나 이상의 상기 용기에 부가하는 단계;
    (c) 임의로 고체 소스 화학물질을 용융시키기 충분한 온도까지 하나 이상의 상기 용기 내 고체 소스 화학물질을 가열하여 액상 시약을 제공하는 단계;
    (d) 불활성 기체를 상기 불활성 기체 공급 라인을 통해 하나 이상의 상기 용기에 공급하는 단계;
    (e) 상기 용기 중 임의의 다른 용기와 독립적으로 상기 딥튜브 및 상기 액상 시약 방출 라인을 통해 상기 용기 중 하나로부터 액상 시약을 인출하는 단계;
    (f) 기화 장치를 제공하는 단계로서,
    내부 용기 칸을 형성하여 액상 시약을 기화시키도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하는 용기;
    통합 액상 시약 분배 장치를 상기 기화 장치에 연결하는 상기 액상 시약 방출 라인;
    기화 장치의 일부로서, 운반 기체 공급 유입 개구를 구비하고, 운반 기체 공급 유입 개구를 통해 운반 기체가 상기 기화 장치로 공급되어 상기 액상 시약의 증기가 상기 운반 기체중에 비말 동반되어 증기상 시약을 생성할 수 있는, 기화 장치의 일부;
    기화 장치의 일부로서, 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 기화 장치로부터 분배될 수 있는, 기화 장치의 일부;
    운반 기체를 상기 기화 장치에 전달하기 위하여 운반 기체 공급 유입 개구로부터 위로 그리고 기화 장치로부터 외부로 연장되는 운반 기체 공급 라인으로서, 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브를 포함하는, 운반 기체 공급 라인;
    증기상 시약을 상기 기화 장치로부터 상기 증착 챔버로 방출하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 기화 장치로부터 외부로 연장되는 증기상 시약 방출 라인으로서, 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위한 하나 이상의 증기상 시약 흐름 제어 밸브를 포함하는, 증기상 시약 방출 라인
    을 포함하는 기화 장치를 제공하는 단계;
    (g) 액상 시약을 상기 기화 장치에 공급하는 단계;
    (h) 액상 시약을 기화시키기 충분한 온도까지 상기 기화 장치 내 액상 시약을 가열하여 상기 증기상 시약을 제공하는 단계;
    (i) 운반 기체를 상기 운반 기체 공급 라인을 통해 상기 기화 장치에 공급하는 단계;
    (j) 증기상 시약과 운반 기체를 상기 증기상 시약 방출 라인을 통해 상기 기화 장치로부터 인출하는 단계; 및
    (k) 증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
    를 포함하는 방법.
  15. 제14항에 있어서,
    상기 용기 중 하나로부터 상기 액상 시약을 상기 기화 장치에 전달함과 동시에, 낮은 레벨의 소스 화학물질을 함유하는 또 다른 용기를 상기 통합 액상 시약 분배 장치로부터 연결해제하고, 상기 용기를 재충전하고, 상기 통합 액상 시약 분배 장치 내 상기 용기를 대체하는 것을 더 포함하는 방법.
  16. 통합 증기상 시약 분배 장치로서,
    복수의 용기로서, 각 용기는 내부 용기 칸을 형성하여 소스 화학물질을 보유하도록 구성된 상벽 부재, 측벽 부재 및 하벽 부재를 포함하고; 상벽 부재의 일부는 증기상 시약 배출 개구를 구비하고, 증기상 시약 배출 개구를 통해 상기 증기상 시약이 상기 용기로부터 분배될 수 있는, 복수의 용기;
    복수의 증기상 시약 전달 매니폴드로서, 각각의 상기 증기상 시약 전달 매니폴드는 서로 상호연결되고; 각각의 용기는 적어도 하나의 증기상 시약 전달 매니폴드에 연결되고; 각각의 증기상 시약 전달 매니폴드는 증기상 시약 방출 라인을 포함하고; 상기 증기상 시약 방출 라인은 상기 용기로부터 증기상 시약을 제거하기 위하여 증기상 시약 배출 개구로부터 위로 그리고 상벽 부재로부터 외부로 연장되고, 증기상 시약 방출 라인은 증기상 시약 방출 라인을 통과하는 증기상 시약의 흐름을 제어하기 위하여 임의로 하나 이상의 증기상 시약 흐름 제어 밸브을 포함하는, 복수의 증기상 시약 전달 매니폴드; 및
    각각의 상기 증기상 시약 전달 매니폴드가 서로 독립적으로 동작할 수 있고, 각각의 상기 용기가 서로 독립적으로 동작할 수 있는 방식으로 각각의 상기 증기상 시약 전달 매니폴드 및 각각의 상기 용기와의 통신을 지시하기 위한 하나 이상의 컨트롤러
    를 포함하는 통합 증기상 시약 분배 장치.
  17. 제16항에 있어서,
    복수의 운반 기체 공급 매니폴드를 더 포함하고, 각각의 상기 운반 기체 공급 매니폴드는 적어도 하나의 증기상 시약 전달 매니폴드에 연결되고; 각각의 운반 기체 공급 매니폴드는 운반 기체 공급 라인을 포함하고; 운반 기체 공급 라인은 운반 기체 공급 라인을 통과하는 운반 기체의 흐름을 제어하기 위한 하나 이상의 운반 기체 흐름 제어 밸브, 및 운반 기체 공급 매니폴드의 압력을 모니터 및 제어하기 위한 압력 변환기를 포함하는 통합 증기상 시약 분배 장치.
  18. 제17항에 있어서,
    화학 증착 챔버 및 원자층 증착 챔버로부터 선택되는 증착 챔버;
    통합 증기상 시약 분배 장치를 증착 챔버에 연결하는 증기상 시약 방출 라인;
    임의로 증착 챔버 내에 포함되고 증기상 시약 방출 라인에 대하여 수용하는 관계에 있는 가열가능한 서셉터; 및
    증착 챔버에 연결된 유출물 방출 라인
    을 더 포함하고,
    증기상 시약은 증기상 시약 방출 라인을 통해 증착 챔버로 이동하여 임의로 가열가능한 서셉터상의 기재와 접촉하고, 임의의 남아있는 유출물은 유출물 방출 라인을 통해 방출되는 통합 증기상 시약 분배 장치.
  19. 증기상 시약을 증착 챔버에 전달하기 위한 방법으로서,
    (a) 제16항의 통합 증기상 시약 분배 장치를 제공하는 단계;
    (b) 소스 화학물질을 하나 이상의 상기 용기에 부가하는 단계;
    (c) 임의로 소스 화학물질을 기화시키기 충분한 온도까지 하나 이상의 상기 용기 내 소스 화학물질을 가열하여 증기상 시약을 제공하는 단계;
    (d) 상기 용기 중 임의의 다른 용기와 독립적으로 상기 증기상 시약 방출 라인을 통해 상기 용기 중 하나로부터 증기상 시약을 인출하는 단계;
    (e) 운반 기체를 상기 운반 기체 공급 라인을 통해 상기 증기상 시약 전달 매니폴드에 공급하여 상기 증기상 시약과 혼합하는 단계; 및
    (f) 증기상 시약과 운반 기체를 상기 증착 챔버에 공급하는 단계
    를 포함하는 방법.
  20. 제19항에 있어서,
    상기 용기 중 하나로부터의 상기 증기상 시약과 상기 운반 기체 공급 매니폴드 중 하나로부터의 운반 기체를 상기 증착 챔버에 전달함과 동시에, 낮은 레벨의 소스 화학물질을 함유하는 또 다른 용기를 상기 통합 증기상 시약 분배 장치로부터 연결해제하고, 상기 용기를 재충전하고, 상기 통합 증기상 시약 분배 장치 내 상기 용기를 대체하는 것을 더 포함하는 방법.
KR1020107021089A 2008-02-22 2009-02-12 다중 앰풀 전달 시스템 KR20100126423A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3057808P 2008-02-22 2008-02-22
US61/030,578 2008-02-22

Publications (1)

Publication Number Publication Date
KR20100126423A true KR20100126423A (ko) 2010-12-01

Family

ID=40810204

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107021089A KR20100126423A (ko) 2008-02-22 2009-02-12 다중 앰풀 전달 시스템

Country Status (6)

Country Link
US (4) US20090214777A1 (ko)
JP (1) JP2011513950A (ko)
KR (1) KR20100126423A (ko)
CN (1) CN101514446A (ko)
TW (1) TW200949123A (ko)
WO (1) WO2009105376A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190042755A (ko) * 2011-07-22 2019-04-24 어플라이드 머티어리얼스, 인코포레이티드 Ald/cvd 프로세스들을 위한 반응물 전달 시스템
KR102203976B1 (ko) * 2020-09-14 2021-01-18 포이스주식회사 에어포켓 제거 기능을 갖는 화학약품 공급시스템 및 공급방법

Families Citing this family (404)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102007003541A1 (de) * 2007-01-24 2008-07-31 Robert Bosch Gmbh Elektronisches Bauteil
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
JP5465954B2 (ja) * 2008-09-29 2014-04-09 株式会社日立国際電気 基板処理装置及び判断プログラムを格納する記憶媒体及び基板処理装置の表示方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
US10689753B1 (en) * 2009-04-21 2020-06-23 Goodrich Corporation System having a cooling element for densifying a substrate
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2501839B1 (en) * 2009-11-16 2016-01-27 FEI Company Gas delivery for beam processing systems
TWI525042B (zh) * 2010-09-16 2016-03-11 首威公司 氟化氫供應單元
WO2012124593A1 (ja) * 2011-03-15 2012-09-20 シャープ株式会社 蒸着粒子射出装置および蒸着装置
US8927066B2 (en) * 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery
KR101599343B1 (ko) 2011-05-10 2016-03-03 가부시키가이샤 후지킨 유량 모니터 부착 압력식 유량 제어 장치
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
EP2715781B1 (en) 2011-05-28 2020-07-01 Entegris Inc. Refillable ampoule with purge capability
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5755958B2 (ja) 2011-07-08 2015-07-29 株式会社フジキン 半導体製造装置の原料ガス供給装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5652960B2 (ja) * 2011-08-01 2015-01-14 株式会社フジキン 原料気化供給装置
JP5647083B2 (ja) 2011-09-06 2014-12-24 株式会社フジキン 原料濃度検出機構を備えた原料気化供給装置
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130152857A1 (en) * 2011-12-15 2013-06-20 Intermolecular, Inc. Substrate Processing Fluid Delivery System and Method
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9243325B2 (en) * 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140137961A1 (en) * 2012-11-19 2014-05-22 Applied Materials, Inc. Modular chemical delivery system
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9447497B2 (en) * 2013-03-13 2016-09-20 Applied Materials, Inc. Processing chamber gas delivery system with hot-swappable ampoule
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US20150079283A1 (en) * 2013-09-13 2015-03-19 LGS Innovations LLC Apparatus and method to deposit doped films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US20150259797A1 (en) * 2014-03-17 2015-09-17 Jiangsu Nata Opto-electronic Material Co., Ltd. Liquid-Metal Organic Compound Supply System
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9605346B2 (en) * 2014-03-28 2017-03-28 Lam Research Corporation Systems and methods for pressure-based liquid flow control
KR102387359B1 (ko) 2014-04-18 2022-04-14 어플라이드 머티어리얼스, 인코포레이티드 자동-리필 앰풀 및 사용 방법들
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6821327B2 (ja) * 2015-05-22 2021-01-27 ラム リサーチ コーポレーションLam Research Corporation オンデマンド充填アンプルの補充
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
EP3162914A1 (en) * 2015-11-02 2017-05-03 IMEC vzw Apparatus and method for delivering a gaseous precursor to a reaction chamber
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017172724A1 (en) * 2016-03-28 2017-10-05 Applied Materials, Inc. Apparatus and methods to remove residual precursor inside gas lines post-deposition
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10460960B2 (en) * 2016-05-09 2019-10-29 Applied Materials, Inc. Gas panel apparatus and method for reducing exhaust requirements
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170362701A1 (en) * 2016-06-16 2017-12-21 Veeco Instruments Inc. Central source delivery for chemical vapor deposition systems
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) * 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10947621B2 (en) * 2017-10-23 2021-03-16 Applied Materials, Inc. Low vapor pressure chemical delivery
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190386256A1 (en) * 2018-06-18 2019-12-19 Universal Display Corporation Sequential material sources for thermally challenged OLED materials
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11021792B2 (en) * 2018-08-17 2021-06-01 Lam Research Corporation Symmetric precursor delivery
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN108980633B (zh) * 2018-08-27 2023-09-29 哈尔滨工业大学水资源国家工程研究中心有限公司 水力降温红外热点天线
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109609931B (zh) * 2018-12-27 2021-05-07 北京北方华创微电子装备有限公司 原子层沉积装置及方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129502B (en) * 2019-04-25 2022-03-31 Beneq Oy Feedstock supply cabinet
JP2020180354A (ja) * 2019-04-26 2020-11-05 東京エレクトロン株式会社 原料ガス供給システム及び原料ガス供給方法
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP6961161B2 (ja) 2019-05-14 2021-11-05 日本エア・リキード合同会社 固体材料容器用キャビネット
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11661653B2 (en) 2019-12-18 2023-05-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Vapor delivery systems for solid and liquid materials
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
JP7478028B2 (ja) 2020-05-27 2024-05-02 大陽日酸株式会社 固体材料供給装置
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112283590A (zh) * 2020-11-17 2021-01-29 江苏雅克福瑞半导体科技有限公司 一种用于制造半导体的化学品供应系统及其工作方法
CN112283587A (zh) * 2020-11-17 2021-01-29 江苏雅克福瑞半导体科技有限公司 一种用于制造半导体的化学品供应系统及其净化方法
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115254815A (zh) * 2022-06-28 2022-11-01 上海至纯系统集成有限公司 一种液态前驱体供液设备
CN116641041A (zh) * 2023-05-06 2023-08-25 拓荆科技(上海)有限公司 一种气体分配装置

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3266311A (en) * 1964-05-12 1966-08-16 Delavan Mfg Company Inc Cryogenic liquid level sensing apparatus
US3329447A (en) * 1965-01-18 1967-07-04 Gifford L Hitz Self-energizing seal for high pressure flanged connections
DE2219870C2 (de) * 1972-04-22 1974-05-02 Troisdorfer Bau- Und Kunststoff Gmbh, 5210 Troisdorf Behälter, insbesondere Kunststoffoder Stahlbehälter
US3826139A (en) * 1973-03-19 1974-07-30 Laval Turbine Liquid level indicating apparatus
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4676404A (en) * 1983-10-17 1987-06-30 Nippon Zeon Co., Ltd. Method and apparatus for feeding drug liquid from hermetic returnable can
US5102010A (en) * 1988-02-16 1992-04-07 Now Technologies, Inc. Container and dispensing system for liquid chemicals
US4899585A (en) * 1988-07-19 1990-02-13 Semi-Gas Systems, Inc. Liquid level detector and method for a vapor deposition container
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5280012A (en) * 1990-07-06 1994-01-18 Advanced Technology Materials Inc. Method of forming a superconducting oxide layer by MOCVD
DE69218152T2 (de) * 1991-12-26 1997-08-28 Canon K.K., Tokio/Tokyo Herstellungsverfahren einer niedergeschlagenen Schicht mittels CVD, unter Verwendung von flüssigem Rohstoff und dazu geeignete Vorrichtung
EP0555614A1 (en) * 1992-02-13 1993-08-18 International Business Machines Corporation Metal-organic gas supply for MOVPE and MOMBE
JPH06291040A (ja) * 1992-03-03 1994-10-18 Rintetsuku:Kk 液体気化供給方法と液体気化供給器
US5335821A (en) * 1992-09-11 1994-08-09 Now Technologies, Inc. Liquid chemical container and dispensing system
US5526956A (en) * 1992-09-11 1996-06-18 Now Technologies, Inc. Liquid chemical dispensing and recirculating system
US6260588B1 (en) * 1993-04-28 2001-07-17 Advanced Technology Materials, Inc. Bulk chemical delivery system
US6029717A (en) * 1993-04-28 2000-02-29 Advanced Delivery & Chemical Systems, Ltd. High aspect ratio containers for ultrahigh purity chemicals
US5366119A (en) * 1993-05-26 1994-11-22 Kline James B Dispenser bottle with internal pump
US5388574A (en) * 1993-07-29 1995-02-14 Ingebrethsen; Bradley J. Aerosol delivery article
JPH07211646A (ja) * 1994-01-14 1995-08-11 Mitsubishi Electric Corp 材料供給装置
US5366120A (en) * 1994-04-19 1994-11-22 Tonis Tollasepp Paint pump
TW338174B (en) * 1995-01-06 1998-08-11 Tokyo Electron Co Ltd Apparatus for supplying a treatment material
US5749500A (en) * 1996-04-23 1998-05-12 Kraus; Joey Liquid retrieving adaptor for cylindrical containers
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
DE69738136T2 (de) * 1996-12-17 2008-06-12 Advanced Technology Materials, Inc., Danbury Reagenzzuführbehälter für cvd
US6296026B1 (en) * 1997-06-26 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6637475B2 (en) * 1997-07-11 2003-10-28 Advanced Technology Materials, Inc. Bulk chemical delivery system
US6296025B1 (en) * 1997-07-11 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6245151B1 (en) * 1998-07-17 2001-06-12 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
KR100273474B1 (ko) * 1998-09-14 2000-12-15 이경수 화학기상 증착장치의 가스 공급장치와 그 제어방법
US6257446B1 (en) * 1999-02-18 2001-07-10 Advanced Micro Devices, Inc. Liquid chemical container with integrated fluid reservoir
US7011710B2 (en) * 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6604555B2 (en) * 2000-08-04 2003-08-12 Arch Specialty Chemicals, Inc. Automatic refill system for ultra pure or contamination sensitive chemicals
US6609632B2 (en) * 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6736154B2 (en) * 2001-01-26 2004-05-18 American Air Liquide, Inc. Pressure vessel systems and methods for dispensing liquid chemical compositions
DE10137673A1 (de) * 2001-08-01 2003-02-27 Infineon Technologies Ag Vorrichtung zur Zufuhr von Gasgemischen zu einem CVD-Reaktor
DE10200786B4 (de) * 2002-01-11 2004-11-11 Dockweiler Ag Sicherheitsbehälter
US6953047B2 (en) * 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
JP4556205B2 (ja) * 2003-03-28 2010-10-06 ニチアス株式会社 金属ガスケット
JP4185015B2 (ja) * 2003-05-12 2008-11-19 東京エレクトロン株式会社 気化原料の供給構造、原料気化器及び反応処理装置
US6955198B2 (en) * 2003-09-09 2005-10-18 Advanced Technology Materials, Inc. Auto-switching system for switch-over of gas storage and dispensing vessels in a multi-vessel array
US7334678B2 (en) * 2004-02-13 2008-02-26 Boston Scientific Scimed, Inc. Guidewire hoops and methods pertaining thereto
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US20070175392A1 (en) * 2006-01-27 2007-08-02 American Air Liquide, Inc. Multiple precursor dispensing apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190042755A (ko) * 2011-07-22 2019-04-24 어플라이드 머티어리얼스, 인코포레이티드 Ald/cvd 프로세스들을 위한 반응물 전달 시스템
KR102203976B1 (ko) * 2020-09-14 2021-01-18 포이스주식회사 에어포켓 제거 기능을 갖는 화학약품 공급시스템 및 공급방법

Also Published As

Publication number Publication date
US20090211525A1 (en) 2009-08-27
CN101514446A (zh) 2009-08-26
WO2009105376A2 (en) 2009-08-27
US20090214779A1 (en) 2009-08-27
WO2009105376A3 (en) 2010-06-03
TW200949123A (en) 2009-12-01
US20090214778A1 (en) 2009-08-27
JP2011513950A (ja) 2011-04-28
US20090214777A1 (en) 2009-08-27

Similar Documents

Publication Publication Date Title
KR20100126423A (ko) 다중 앰풀 전달 시스템
KR101485615B1 (ko) 반응물 분배 장치 및 전달 방법
US8235364B2 (en) Reagent dispensing apparatuses and delivery methods
US20060133955A1 (en) Apparatus and method for delivering vapor phase reagent to a deposition chamber
KR101585242B1 (ko) 반응물 분배 장치 및 송출 방법
US20050229970A1 (en) Cabinet for chemical delivery with solvent purging and removal
US20080305014A1 (en) Substrate processing apparatus
EP2108616B1 (en) Delivery method for a reagent using a reagent dispensing apparatus
US20030049933A1 (en) Apparatus for handling liquid precursor material for semiconductor processing
KR20090108556A (ko) 반응물 분배 장치 및 송출 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid