CN101514446A - 多安瓿输送系统 - Google Patents

多安瓿输送系统 Download PDF

Info

Publication number
CN101514446A
CN101514446A CNA2009100075488A CN200910007548A CN101514446A CN 101514446 A CN101514446 A CN 101514446A CN A2009100075488 A CNA2009100075488 A CN A2009100075488A CN 200910007548 A CN200910007548 A CN 200910007548A CN 101514446 A CN101514446 A CN 101514446A
Authority
CN
China
Prior art keywords
vapor
phase reaction
reaction agent
vector gas
manifold
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2009100075488A
Other languages
English (en)
Inventor
D·萨里加尼斯
C·A·霍弗
M·J·克劳斯
E·普赖尔
S·彻斯特斯
R·斯波恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Praxair Technology Inc
Original Assignee
Praxair Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Praxair Technology Inc filed Critical Praxair Technology Inc
Publication of CN101514446A publication Critical patent/CN101514446A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

本发明涉及一种多安瓿输送系统,其中集成的蒸气或液体相反应剂分配设备具有多个容器和多个载体或惰性气体供应/蒸气或液体相反应剂输送歧管,用来连续分配蒸气或液体相反应剂,例如用于在半导体材料和器件制造过程中材料沉积的前体。

Description

多安瓿输送系统
技术领域
本发明涉及一种集成的蒸气和液体相反应剂分配设备,该设备具有多个容器和多个载体或惰性气体供应/蒸气或液体相反应剂输送歧管,以用于连续分配例如前体的蒸气或液体相反应剂,从而在半导体材料和器件的制造中沉积材料。
背景技术
用于半导体和制药工业的高纯度化学品需要特殊包装以便在保存过程中保持其纯度。与空气和/或空气中的湿气进行反应的化学品尤其如此。这种高纯度化学品通常在例如发泡器或安瓿的容器中供应。
现代化学蒸气沉积和原子层沉积工具利用发泡器(bubbler)或安瓿来将前体化学品输送到沉积腔室。这些发泡器或安瓿通过将载体气体经过高纯度前体化学品的容器并将前体蒸气和气体一起承载到沉积腔室来操作。
集成电路具有减小的尺寸,内部部件或结构的尺寸也是如此。在尺寸减小时,对于更纯化学品的需要相应地增加以便减小杂质对薄膜质量和器件性能的影响。因此供应商必须能够不仅制造高纯度化学品,而且还必须能够使其在保持高纯度的容器内输送。
前体化学品以及安瓿和阀构造材料的物理性能决定可使用的最大许可输送温度。使其难以处理和输送的某些前体化学品性能包括例如其与空气中的湿气和氧的放热反应性能。在大量溢出的情况下,这会造成可燃烧副产品和火焰的产生,并且在输送管线内残留空气的情况下,造成会污染输送管线并在接着处理过程中输送到晶片表面、损坏电子器件的颗粒。前体化学品的有限的热稳定性在被加热安瓿中造成杂质在安瓿(根部)中逐渐积累,减小了蒸气压力和/或污染该过程,并且前体化学品输送歧管的气体管线和阀中的分解造成污染该过程的颗粒。
同样重要的是知道安瓿中的前体化学品何时接近用完,使其在下一个化学蒸气沉积或原子层沉积循环之前更换。如果安瓿将要在循环中间变干,整个批次的晶片将被损坏,造成可能几百万美元的损失。因此希望在安瓿内留有尽可能少的前体化学品以避免浪费有价值的液体前体化学品。由于化学品前体的成本增加,浪费尽可能少的化学品变得更加重要。
沉积过程的消耗速度以及安瓿尺寸是更换安瓿频率的决定因素。更换步骤非常费时,并且包括(i)在足以去除残留前体化学品的温度下,闭合安瓿,并循环地吹扫管线;(ii)将安瓿冷却到室温,取出使用后的安瓿并且用新的安瓿将其更换;(iii)在室温下循环地吹扫系统以便去除连接支管(leg)内的残留空气;(iv)将安瓿(及其阀)缓慢加热到所需温度(缓慢加热对于避免材料分解十分重要);安瓿被刚好加热到前体化学品熔点之上;安瓿从熔点温度缓慢降低到操作温度;并且新材料鉴定。
在具有低热稳定性和/或在室温下是固体性能的前体化学品的情况下,采用大规模输送系统是具有挑战性和不实际的。例如,这种挑战包括必须在储槽内加热和熔化大量材料,并且对前体化学品分配管线的很大长度进行热追踪,以确保前体化学品保持液体;由于在填充-填充过程中在容器内集中的杂质,杂质在安瓿中积累;以及空闲、被加热分配管线中前体化学品的热分解。
本领域希望的是提供一种蒸气或液体相反应剂分配设备,能够在与更换安瓿相关的停机时间最小的情况下操作。本领域希望的是提供一种蒸气或液体相反应剂分配设备,能够保持高纯度前体化学品,并还增加前体化学品在设备中的使用,并相应减小其浪费。
同样,本领域希望的是提供一种蒸气或液体相反应剂分配设备,对于与该设备相关的处理工具是透明的。换言之,工具操作者不必须对用于蒸气或液体相反应剂分配设备的工具进行调整以便适当操作。
发明内容
本发明部分涉及一种集成的蒸气相反应剂分配设备,包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,载体气体可经由其中供应到填充高度以上的所述内部气体容积,以便造成所述源化学品的蒸气变得携带在所述载体气体内,从而产生蒸气相反应剂;以及顶壁构件的一部分具有蒸气相反应剂出口开口,所述蒸气相反应剂可经由其中从所述容器分配;
多个载体气体供应/蒸气相反应剂输送歧管,每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线和蒸气相反应剂排放管线;所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到所述填充高度以上的内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述载体气体供应/蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
本发明还部分涉及一种集成的蒸气相反应剂分配设备,包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,载体气体可经由其中供应到填充高度以上的所述内部气体容积,以便造成所述源化学品的蒸气变得携带在所述载体气体内,从而产生蒸气相反应剂;以及顶壁构件的一部分具有蒸气相反应剂出口开口,所述蒸气相反应剂可经由其中从所述容器分配;
多个载体气体供应/蒸气相反应剂输送歧管,每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线和蒸气相反应剂排放管线;所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到所述填充高度以上的内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;
多个源气体歧管;每个所述源气体歧管互连;每个源气体歧管连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个源气体歧管包括与所述载体气体供应/蒸气相反应剂输送歧管的所述载体气体供应管线连续的载体气体供应管线;载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动,以及用于监测和控制源气体歧管的压力的压力传感器;以及
一个或多个控制器,用于与每个所述源气体歧管、每个所述载体气体供应/蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个所述源气体歧管可相互独立操作,每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
本发明还涉及一种用于将蒸气相反应剂输送到沉积腔室的方法,包括:
(a)提供集成的蒸气相反应剂分配设备;包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,载体气体可经由其中供应到填充高度以上的所述内部气体容积,以便造成所述源化学品的蒸气变得携带在所述载体气体内,从而产生蒸气相反应剂;以及顶壁构件的一部分具有蒸气相反应剂出口开口,所述蒸气相反应剂可经由其中从所述容器分配;
多个载体气体供应/蒸气相反应剂输送歧管,每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线和蒸气相反应剂排放管线;所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到所述填充高度以上的内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述载体气体供应/蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作;
将源化学品添加到一个或多个所述容器;
将一个或多个所述容器内的源化学品加热到足以蒸发源化学品的温度以便提供蒸气相反应剂;
经由所述载体气体供应管线将载体气体供应到一个或多个所述容器;
独立于任何其它的所述容器,经由所述蒸气相反应剂排放管线,从所述容器之一收回蒸气相反应剂和载体气体;以及
将蒸气相反应剂和载体气体供应到所述沉积腔室。
本发明还部分涉及一种集成的蒸气相反应剂分配设备,包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,该入口开口包括发泡器管,发泡器管延伸穿过内部气体容积进入源化学品,并且所述载体气体可在源化学品内发泡以造成源化学品蒸气的至少一部分变得携带在所述载体气体内,从而形成去往填充高度以上的所述内部气体容积的蒸气相反应剂流,所述发泡器管具有靠近顶壁构件的入口端以及靠近底壁构件的出口端;以及顶壁构件的一部分具有所述蒸气相反应剂可经由其中从所述容器分配的蒸气相反应剂出口开口;以及
多个载体气体供应/蒸气相反应剂输送歧管,每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线和蒸气相反应剂排放管线;所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到所述填充高度以上的内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述载体气体供应/蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
本发明还部分涉及一种集成的蒸气相反应剂分配设备,包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,该入口开口包括发泡器管,发泡器管延伸穿过内部气体容积进入源化学品,并且所述载体气体可在源化学品内发泡以造成源化学品蒸气的至少一部分变得携带在所述载体气体内,从而形成去往填充高度以上的所述内部气体容积的蒸气相反应剂流,所述发泡器管具有靠近顶壁构件的入口端以及靠近底壁构件的出口端;以及顶壁构件的一部分具有所述蒸气相反应剂可经由其中从所述容器分配的蒸气相反应剂出口开口;以及
多个载体气体供应/蒸气相反应剂输送歧管,每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线和蒸气相反应剂排放管线;所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到所述填充高度以上的内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;
多个源气体歧管;每个所述源气体歧管互连;每个源气体歧管连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个源气体歧管包括与所述载体气体供应/蒸气相反应剂输送歧管的所述载体气体供应管线连续的载体气体供应管线;载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动,以及用于监测和控制源气体歧管的压力的压力传感器;以及
一个或多个控制器,用于与每个所述源气体歧管、每个所述载体气体供应/蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个所述源气体歧管可相互独立操作,每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
本发明还部分涉及一种用于将蒸气相反应剂输送到沉积腔室的方法,包括:
(a)提供集成的蒸气相反应剂分配设备;包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,该入口开口包括发泡器管,发泡器管延伸穿过内部气体容积进入源化学品,并且所述载体气体可在源化学品内发泡以造成源化学品蒸气的至少一部分变得携带在所述载体气体内,从而形成去往填充高度以上的所述内部气体容积的蒸气相反应剂流,所述发泡器管具有靠近顶壁构件的入口端以及靠近底壁构件的出口端;以及顶壁构件的一部分具有所述蒸气相反应剂可经由其中从所述容器分配的蒸气相反应剂出口开口;以及
多个载体气体供应/蒸气相反应剂输送歧管,每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线和蒸气相反应剂排放管线;所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到所述填充高度以上的内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述载体气体供应/蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作;
将源化学品添加到一个或多个所述容器;
将一个或多个所述容器内的源化学品加热到足以蒸发源化学品的温度以便提供蒸气相反应剂;
经由所述载体气体供应管线和所述发泡器管将载体气体供应到一个或多个所述容器;
独立于任何其它的所述容器,经由所述蒸气相反应剂排放管线,从所述容器之一收回蒸气相反应剂和载体气体;以及
将蒸气相反应剂和载体气体供应到所述沉积腔室。
本发明还部分涉及一种集成的液体相反应剂分配设备,包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有惰性气体供应入口开口,所述惰性气体经由其中供应到填充高度以上的内部气体容积,以便加压填充高度以上的内部气体容积,以及顶壁构件的一部分具有液体相反应剂出口开口,出口开口包括汲取管,汲取管延伸经过内部气体容积进入源化学品,并且液体相反应剂经由其中从所述设备分配,所述汲取管具有靠近顶壁构件的出口端和靠近底壁构件的入口端;
多个惰性气体供应/液体相反应剂输送歧管,每个所述惰性气体供应/液体相反应剂输送歧管互连;每个容器连接到至少一个惰性气体供应/液体相反应剂输送歧管;每个惰性气体供应/液体相反应剂输送歧管包括惰性气体供应管线和液体相反应剂排放管线;所述惰性气体供应管线从惰性气体供应入口开口向上并从顶壁构件外部延伸,以便将惰性气体输送到所述填充高度以上的内部气体容积,惰性气体供应管线其中包括一个或多个惰性气体流动控制阀,以便控制惰性气体在其中的流动;并且所述液体相反应剂排放管线从液体相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除液体相反应剂,液体相反应剂排放管线其中任选地包括一个或多个液体相反应剂流动控制阀,以便控制液体相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述惰性气体供应/液体相反应剂输送歧管和每个所述容器连通,其方式是每个所述惰性气体供应/液体相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
本发明还部分涉及一种集成的液体相反应剂分配设备,包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有惰性气体供应入口开口,所述惰性气体经由其中供应到填充高度以上的内部气体容积,以便加压填充高度以上的内部气体容积,以及顶壁构件的一部分具有液体相反应剂出口开口,出口开口包括汲取管,汲取管延伸经过内部气体容积进入源化学品,并且液体相反应剂经由其中从所述设备分配,所述汲取管具有靠近顶壁构件的出口端和靠近底壁构件的入口端;
多个惰性气体供应/液体相反应剂输送歧管,每个所述惰性气体供应/液体相反应剂输送歧管互连;每个容器连接到至少一个惰性气体供应/液体相反应剂输送歧管;每个惰性气体供应/液体相反应剂输送歧管包括惰性气体供应管线和液体相反应剂排放管线;所述惰性气体供应管线从惰性气体供应入口开口向上并从顶壁构件外部延伸,以便将惰性气体输送到所述填充高度以上的内部气体容积,惰性气体供应管线其中包括一个或多个惰性气体流动控制阀,以便控制惰性气体在其中的流动;并且所述液体相反应剂排放管线从液体相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除液体相反应剂,液体相反应剂排放管线其中任选地包括一个或多个液体相反应剂流动控制阀,以便控制液体相反应剂在其中的流动;
多个源气体歧管;每个所述源气体歧管互连;每个源气体歧管连接到至少一个惰性气体供应/液体相反应剂输送歧管;每个源气体歧管包括与所述惰性气体供应/液体相反应剂输送歧管的所述惰性气体供应管线连续的惰性气体供应管线;惰性气体供应管线其中包括一个或多个惰性气体流动控制阀,以便控制惰性气体在其中的流动,以及用于监测和控制源气体歧管的压力的压力传感器;以及
一个或多个控制器,用于与每个所述惰性气体供应/液体相反应剂输送歧管和每个所述容器连通,其方式是每个所述惰性气体供应/液体相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
本发明还涉及一种将液体相反应剂输送到沉积腔室的方法,包括:
(a)提供集成的液体相反应剂分配设备;包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有惰性气体供应入口开口,所述惰性气体经由其中供应到填充高度以上的内部气体容积,以便加压填充高度以上的内部气体容积,以及顶壁构件的一部分具有液体相反应剂出口开口,出口开口包括汲取管,汲取管延伸经过内部气体容积进入源化学品,并且液体相反应剂经由其中从所述设备分配,所述汲取管具有靠近顶壁构件的出口端和靠近底壁构件的入口端;
多个惰性气体供应/液体相反应剂输送歧管,每个所述惰性气体供应/液体相反应剂输送歧管互连;每个容器连接到至少一个惰性气体供应/液体相反应剂输送歧管;每个惰性气体供应/液体相反应剂输送歧管包括惰性气体供应管线和液体相反应剂排放管线;所述惰性气体供应管线从惰性气体供应入口开口向上并从顶壁构件外部延伸,以便将惰性气体输送到所述填充高度以上的内部气体容积,惰性气体供应管线其中包括一个或多个惰性气体流动控制阀,以便控制惰性气体在其中的流动;并且所述液体相反应剂排放管线从液体相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除液体相反应剂,液体相反应剂排放管线其中任选地包括一个或多个液体相反应剂流动控制阀,以便控制液体相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述惰性气体供应/液体相反应剂输送歧管和每个所述容器连通,其方式是每个所述惰性气体供应/液体相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作;
将源化学品添加到一个或多个所述容器;
任选地将一个或多个所述容器内的固体源化学品加热到足以熔化固体源化学品的温度以便提供液体相反应剂;
经由所述惰性气体供应管线将惰性气体供应到一个或多个所述容器;
独立于任何其它的所述容器,经由所述汲取管和所述液体相反应剂排放管线,从所述容器之一收回液体相反应剂;
提供蒸发设备,包括:
容器,包括构造成形成内部容器隔室以便蒸发液体相反应剂的顶壁构件、侧壁构件和底壁构件;
所述液体相反应剂排放管线将集成的液体相反应剂分配设备连接到所述蒸发设备上;
蒸发设备的一部分具有载体气体供应入口开口,载体气体可经由其中供应到所述蒸发设备,以便造成所述液体相反应剂的蒸气携带在所述载体气体内,从而形成蒸气相反应剂;
蒸发设备的一部分具有蒸气相反应剂出口开口,所述蒸气相反应剂可经由其中从蒸发设备分配;
载体气体供应管线从载体气体供应入口开口向上并从蒸发设备外部延伸以便将载体气体输送到所述蒸发设备,载体气体供应管线其中包括一个或多个气体流动控制阀,以便控制载体气体在其中的流动;
蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从蒸发设备外部延伸以便从所述蒸发设备将蒸气相反应剂移到所述沉积腔室,蒸气相反应剂排放管线其中包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;
将液体相反应剂供应到所述蒸发设备;
将所述蒸发设备内的液体相反应剂加热到足以蒸发液体相反应剂的温度,以便提供所述蒸气相反应剂;
经由所述载体气体供应管线将载体气体供应到蒸发设备;
经由所述蒸气相反应剂排放管线从所述蒸发设备收回蒸气相反应剂和载体气体;以及
将蒸气相反应剂和载体气体供应到所述沉积腔室。
本发明还部分涉及一种集成的蒸气相反应剂分配设备;包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品;顶壁构件的一部分具有蒸气相反应剂出口开口,蒸气相反应剂可经由其中从所述容器分配;
多个蒸气相反应剂输送歧管,每个所述蒸气相反应剂输送歧管互连;每个容器连接到至少一个蒸气相反应剂输送歧管;每个蒸气相反应剂输送歧管包括蒸气相反应剂排放管线;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述容器去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个所述蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
本发明还部分涉及一种集成的蒸气相反应剂分配设备,包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品;顶壁构件的一部分具有蒸气相反应剂出口开口,蒸气相反应剂可经由其中从所述容器分配;
多个蒸气相反应剂输送歧管,每个所述蒸气相反应剂输送歧管互连;每个容器连接到至少一个蒸气相反应剂输送歧管;每个蒸气相反应剂输送歧管包括蒸气相反应剂排放管线;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述容器去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;
多个载体气体供应歧管;每个所述载体气体供应歧管连接到至少一个蒸气相反应剂输送歧管;每个载体气体供应歧管包括载体气体供应管线;载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动,以及用于监测和控制载体气体供应歧管的压力的压力传感器;以及
一个或多个控制器,用于与每个所述载体气体供应歧管、每个所述蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个所述载体气体供应歧管可相互独立操作,每个所述蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
本发明还涉及一种将蒸气相反应剂输送到沉积腔室的方法,包括:
(a)提供集成的蒸气相反应剂分配设备;包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品;顶壁构件的一部分具有蒸气相反应剂出口开口,蒸气相反应剂可经由其中从所述容器分配;
多个蒸气相反应剂输送歧管,每个所述蒸气相反应剂输送歧管互连;每个容器连接到至少一个蒸气相反应剂输送歧管;每个蒸气相反应剂输送歧管包括蒸气相反应剂排放管线;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述容器去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;以及
多个载体气体供应歧管;每个所述载体气体供应歧管连接到至少一个蒸气相反应剂输送歧管;每个载体气体供应歧管包括载体气体供应管线;载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动,以及用于监测和控制载体气体供应歧管的压力的压力传感器;以及
一个或多个控制器,用于与每个所述载体气体歧管、每个所述蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个气体供应歧管可相互独立操作,每个所述蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作;
将源化学品添加到一个或多个所述容器;
任选地将一个或多个所述容器内的源化学品加热到足以蒸发源化学品的温度以便提供蒸气相反应剂;
独立于任何其它的所述容器,经由所述蒸发相反应剂排放管线,从所述容器之一收回蒸气相反应剂;
经由所述载体气体供应管线将载体气体供应到一个或多个所述蒸气相反应剂输送歧管,以便与所述蒸气相反应剂混合;以及
将蒸气相反应剂和载体气体供应到所述沉积腔室。
本发明的集成的蒸气或液体相反应剂分配设备或组件可用于广泛的处理系统,包括例如化学蒸气沉积系统,其中来自于供应容器的蒸气相反应剂输送到化学蒸气沉积腔室以便从源蒸气将材料层沉积在其中的衬底上。
本发明的集成的蒸气或液体相反应剂分配设备能够以与安瓿更换相关的最小停机时间连续操作,并且能够保持高纯度前体化学品,并且还增加了前体化学品在设备中的使用,并相应减小其浪费。集成的蒸气或液体相分配设备对于与该设备相关的处理工具是透明的。工具操作者不必须对用于集成的蒸气或液体相反应剂分配设备的工具进行调整以便适当操作。本发明的集成蒸气或液体相反应剂分配设备或组件保持液体前体化学品的纯度,增加液体或固体前体化学品的使用率,并由此减小浪费,并且增加工具利用。
将从下面的披露以及所附权利要求中更加完整地理解本发明的其它方面、特征和实施例。
具体实施方式
图1是集成的蒸气或液体相反应剂分配设备的阀示意图;
图2是表示来往于用于控制集成的蒸气或液体相反应剂分配设备的编程逻辑控制器的输入和输出的示意图;
图3是表示这里使用的阀符号的示意图,3-孔口阀上的黑色支管表示被促动支管,流动路径总是在白色支管之间开启;
图4是单个安瓿的示意图,表示阀(V-1-V-6)和加热区域(Z-1-Z-5);
图5是集成蒸气或液体相反应剂分配设备的配管和仪表的示意图,表示阀(V-1-V-16)、压力传感器(PTA和PTB)以及加热区域(Z-1-Z-16);
图6是示例性PLC逻辑流程图,表示PLC在操作者在每个歧管上改变模式时所采取的总体基本步骤以及选择;
图7是编程逻辑控制器的简化气动配置,表示来自于工具的气动信号如何与任何驱动歧管上的适当的阀相关同时还使得编程逻辑控制器控制空闲歧管上的类似阀的实例,此构造使得该端部用来锁定工具上一个位置处的所有气动阀;
图8表示单个安瓿的装载平台;
图9表示安瓿滑出支架的侧视图,表示集成的弹簧板;
图10是消除对准和间隙问题的安瓿装载支架的示意图;
图11表示集成的蒸气或液体相反应剂分配设备的歧管配置;
图12表示集成的蒸气或液体相反应剂分配设备的歧管配置,表示安瓿转动45°的角度以便减小歧管中的90°弯头、管线长度以及安瓿之间的距离;
图13是颠倒的示意图,表示在面向前(顶部)和45°(底部)的特定侧部安瓿的情况下,安瓿出口之间的短直线喷射距离;
图14是集成的蒸气或液体相反应剂分配设备的配管和仪表的示意图,表示具有特定侧部安瓿的阀配置;
图15是集成的蒸气或液体相反应剂分配设备的简化示意图,表示载体气体和前体从多个安瓿输送系统排出的一个实施例以及纯前体从多安瓿输送系统排出的另一实施例(净输送);
图16是集成的蒸气或液体相反应剂分配设备的配管和仪表的示意图,表示用于净前体输送系统的阀配置;
图17是用于集成蒸气或液体相反应剂分配设备的PLC屏幕的示例性平面拍照。
具体实施方式
少量有机金属前体通常存放在用于化学蒸气沉积或原子层沉积工具的当日-容器、安瓿或发泡器内。在晶片变得越来越大并且有机金属前体的使用率增加时,给定数量前体可以持续的时间长度减小。这需要更加频繁地更换安瓿,造成较低的工具使用。迄今为止的标准方法是1)安瓿变大;以及2)大型重新填充系统,其中前体作为液体从存储sub-fab内的大型储槽抽取并发送到工具上的较小安瓿内。
本发明独特之处在于虽然大型填充方法对于用于已经长时间使用的例如TMA或TMG的某些前体起作用,许多较新的前体会是固体的,或者具有低的热稳定性,使得大型填充系统难以或不能用于它们。在一个实施例中,本发明可将相同或不同类型(例如两个发泡器安瓿或一个发泡器安瓿和一个汲取管安瓿)以及相同或不同有机金属前体的两个安瓿并排放置在系统上。一个安瓿有效,而另一个离线,准备在有效的一个几乎空了时变得在线。
另外,本发明的多安瓿输送系统设计成通过使得半导体工具“看到”单个安瓿系统的编程逻辑控制器控制。对于工具的销售者来说,这使得当前系统简单替换。
在一个实施例中,本发明包括具有相同或不同类型(例如两个发泡器安瓿或一个发泡器安瓿和一个汲取管安瓿)并包括相同或不同前体的多个(例如两个)安瓿,其中被加热的歧管平行铅垂,并且共用相同的工艺和排放管线。歧管使得一个安瓿是有效的(在温度下并将前体输送到工具),而另一歧管是可以等待或者离线状态。编程逻辑控制器控制歧管阀和热追踪,并且使得工具“看到”系统上的唯一一个安瓿通过正确设置有效歧管上的额外的阀,并且将来自于工具的气动阀信号重新引导到有效安瓿歧管上的适当阀上。编程逻辑控制器可控制循环吹扫和无效安瓿上的安瓿交换步骤,同时另一个在运行。由于工具只看到一个安瓿,这是为现有工具提供即插即用的解决方法。
本发明的多安瓿输送系统的优点在于半导体工具平台已经设计用于单个安瓿前体输送系统。在前体需要更换(液体与固体或者热不稳定液体)的情况下,工具销售商不需要重新设计平台,使其工具控制多个安瓿。
定位安瓿的隔室任选地保持通过壁隔开的安瓿。根据安全需要,具有单个门并没有分隔壁的一个隔室可适用于本发明。每个安瓿可通过与变成逻辑控制器互锁的其本身的门访问,以便防止对在线安瓿擅动。安瓿安装在安瓿支架上,使得安瓿被操纵以进出隔室、略微上下而且围绕其本身轴线从而对准歧管。
与单个安瓿相比,多安瓿系统优于大型填充系统的优点包括在安瓿更换过程中多安瓿系统具有零工具停机时间;与大型填充相比,多安瓿系统使得使用者避免延伸经过fab的可能有害的有机金属前体液体填充管线;并且大型系统在使用的前体顶部上填充前体,在安瓿内集中了杂质,同时双重安瓿系统去除使用后的安瓿,以便通过新的安瓿更换。
对于加热到高操作温度的前体来说,大型填充系统始终需要安瓿冷却,才开始注满,而双重安瓿系统使得新安瓿安装并来到该温度,同时另一安瓿继续将前体供应到工具。在两种情况下,工具会需要重新鉴定过程,这取决于流程负责人,以及他们确定系统和前体供应如何可以重复。在有效安瓿接近用完时,在使得第二安瓿合格之前,不用等待重新填充或温度稳定。大型填充容器内的超标有机金属前体会影响多个工具上的多个安瓿。对于多安瓿系统,该影响局限于一个工具上的一个安瓿。
其它优点同样是显而易见的。许多大型填充系统采用溶剂来清洁液体管线。随后前体和溶剂的混合废弃物添加了消费场所化学品处理的成本。双重安瓿系统可容易用于例如金属氯化物的高熔点固体前体,这种前体不使其作为液体或固体输送经过管线。双重安瓿系统具有小歧管,如果存在颗粒或污染问题,容易更换并且只影响一个工具。大型填充罐上的类似的问题会需要更换多段管线,影响了多个工具。由于双重安瓿系统使用作为单个安瓿系统的相同的单个安瓿,这使其减小(单件流程)化学品库存管理。
另外,对于具有多个晶片的大批工具来说,本发明的多安瓿系统可将使用者更换安瓿的停机时间从大约24小时或更多减小到大约4小时或更少,或者大约是鉴定新材料的时间。这使得停机时间减小大约80%以上。
图1表示用于本发明的双重安瓿输送系统的阀示意图。参考图1,双重安瓿输送系统包括吊挂在(hook up to)其本身平行气体歧管(22和23)上的两个安瓿(20和21),气体歧管可将有机金属前体蒸气输送到公共处理工具。供应到每个歧管的气体使用吹扫/处理歧管24和25来选择,并且在给定歧管空闲时,它可被吹扫到公共排放管线。安瓿和歧管容纳在通风的隔室26内,隔室具有用于每个安瓿的分开门和区段。使用定位在吹扫/处理歧管内的压力传感器(PTA和PTB)针对流动或非流动情况监测气体管线。安瓿和歧管也可以温度控制。
这种双重安瓿输送系统的操作经由编程逻辑控制器进行。典型的来往于控制此双重安瓿系统的编程逻辑控制器的输入和输出在图2中表示。编程逻辑控制器采用来自于歧管的多种数字和逻辑输入,并且使用它们来控制温度并进行操作。另外,编程逻辑控制器采用来自于处理工具的输入并将这些输入引导到有效歧管。编程逻辑控制器还可根据处理工具和终端用户的要求发送报警。例如触摸屏的人机界面使得使用者构造系统并手动进行操作。
实施本发明的优选模式是通过编程逻辑控制器控制的双重安瓿输送系统。图3表示这里使用的阀标号。用于典型原子层沉积或化学蒸气沉积处理工具的标准单个安瓿吊挂器在图4中表示。在此配置中,安瓿和安瓿以上的歧管被加热。实际上,安瓿(Z-4和Z-5)之上的歧管保持在高于安瓿(Z-1、Z-2和Z-3)的温度设置点5℃以上处,以便防止气体在管线内冷凝。阀V-3和V-4是与安瓿在一起的手动阀。
图4示意图内的所有阀是常闭阀。阀V-5和V-6是3孔口气动阀,使得处理工具将安瓿与歧管隔开。在前体输送过程中,V-2保持闭合,同时其它的阀开启,使得例如氩或氦的干燥、惰性载体气体进入安瓿并有助于例如TDMAH的有机金属前体输送离开安瓿到处理腔室。通常,对于原子层沉积应用来说,V-6下游具有作为最终隔离点尽可能靠近腔室定位的最终阀(未示出)。此最终阀集成在工具内。
用于双重安瓿输送系统的优选的配管和仪表在图5中表示。图5表示阀、压力传感器和热区域。气体输送工业中的常用实践是在上游和下游位置内使用压力传感器。如图5所示,此系统只在安瓿的上游具有压力传感器(PTA和PTB)。有机金属前体下游的压力传感器会作为死区、散热器和用于泄漏的另一连接点。这都可在歧管内造成颗粒形成。另外,确定阀是否开启或者管线内是否具有泄漏所需的所有信息可通过每个歧管的一个压力传感器来获得。
在图5中,类似于通过标准安瓿吊挂器内的CVD工具控制的那些的阀是用于安瓿A的V-1、V-2、V-8和V-9以及用于安瓿B的V-5、V-10、V-11和V-12。
编程逻辑控制器所负责的输入和输出在图2中示意表示。编程逻辑控制器设计成经由操作者机器界面(HMI)获取来自于歧管的不同模拟和数字信号以及来自于工具或操作者的指令。参考图5,编程逻辑控制器控制所有16个温度区域以及14个歧管阀,并且监测各自热电偶和阀位置指示器以便反馈。编程逻辑控制器将气动或电动阀开启指令从工具传递到有效歧管,并且如果在紧急(EMO-紧急关闭)情况下工具关闭,将关闭成安全状态。
编程逻辑控制器经由算法以便与每个源气体歧管、每个载体气体/蒸气相反应剂输送歧管、每个容器以及沉积腔室连通,其方式是每个源气体歧管可相互独立操作,每个载体气体供应/蒸气相反应剂输送歧管可相互独立操作并且每个容器可相互独立操作。
编程逻辑控制器可从每个源气体歧管、每个载体气体供应/蒸气相反应剂输送气体以及每个容器接收数字和模拟输入,并且使用数字和模拟输入来进行操作。控制器还可从沉积腔室接收指令输入,并且使用该指令输入来进行操作。
来自于每个载体气体供应/蒸气相反应输送歧管、每个容器和每个源气体歧管的数字和模块输入包括涉及来自于恒定温度区域的热电偶的模拟输入和每个载体气体供应/蒸气相反应剂输送歧管以及每个源气体歧管上的压力读取值,以及涉及阀位置指示器、排放泵接通/关闭以及每个容器上的高度传感器的数字输入。来自于沉积腔室的指令输入包括气动和电动阀促动信号、来自于所述沉积腔室的紧急关闭(EMO)以及报警状态。
相对于上面接收的数字和模拟输入来说,所进行的操作可包括控制每个载体气体所述载体气体供应/蒸气相反应剂输送歧管、每个容器所述容器以及每个所述源气体歧管内的分开温度区域内的温度;控制每个载体气体所述载体气体供应/蒸气相反应剂输送歧管以及每个所述源气体歧管内的阀;监测热电偶和阀位置指示器以便在每个载体气体所述载体气体供应/蒸气相反应剂输送歧管、每个输容器所述容器以及每个输送源气体所述源气体歧管内进行反馈;将电动和气动阀促动信号从沉积腔室传递到每个所述有效的载体气体供应/蒸气相反应剂输送歧管以及每个所述有效的源气体歧管;将有关的舱室紧急气体关闭(EGO)、温度警告、温度报警、阀位置信息、高度传感器信息和其它报警与所述沉积腔室通讯。
相对于上面接收的指令输入来说,所进行的操作可包括控制每个所述载体气体供应/蒸气相反应剂输送歧管、每个所述容器以及每个所述源气体歧管内的分开温度区域内的温度;控制每个所述载体气体供应/蒸气相反应剂输送歧管以及每个所述源气体歧管内的阀;监测热电偶和阀位置指示器以便在每个所述载体气体供应/蒸气相反应剂输送歧管、每个所述容器以及每个所述源气体歧管内进行反馈;将电动和气动阀促动信号从沉积腔室传递到每个所述有效的载体气体供应/蒸气相反应剂输送歧管以及每个所述有效的源气体歧管;将有关的舱室紧急气体关闭(EGO)、温度警告、温度报警、阀位置信息、高度传感器信息和其它报警与所述沉积腔室通讯。
从所述接收数字和模拟输入而进行的操作包括在每个载体气体供应/蒸气相反应剂输送歧管、每个源气体歧管以及每个容器内分开地控制温度状态和阀状态。温度状态和阀状态包括离线、手动、安瓿更换以及处理。处理包括待机、按钮或需要气体以及在线。
从所述接收指令输入而进行的操作包括在每个载体气体供应/蒸气相反应剂输送歧管、每个源气体歧管以及每个容器内分开地控制温度状态和阀状态。温度状态和阀状态包括离线、手动、安瓿更换以及处理。处理包括待机、按钮或需要气体以及在线。
在一个实施例中,控制器将数字和模拟输入传递到计算机,使得使用者监测所述操作,并且将指令输入传递到计算机,使得使用者监测所述操作。
每个容器可包括至少一个源化学品高度传感器和至少一个温度传感器。编程逻辑控制器可与每个源化学品高度传感器和每个温度传感器连通,以便相互独立地操作每个源气体歧管,相互独立地操作每个载体气体供应/蒸气相反应剂输送歧管,并且独立于任何其它的所述容器操作每个容器。
编程逻辑控制器还可以在没有流动或者工具端部处加热器失效的情况下采取所需动作。编程逻辑控制器可监测来自于排放泵的信号,以便确保它在开启歧管以便排放之前接通,并且可监测每个安瓿上的高度传感器,以便警告工具低前体状态。另外,编程逻辑控制器会警告工具在区域之一内出现温度异常情况或紧急关闭。如果需要,它还将来自于有效阀的适当阀位置指示器传递到工具。出于SPC或开发目的,编程逻辑控制器接收的所有数据可经由以太网连接重新传播,使得终端使用者监测温度、压力和类似情况。
集成的蒸气或液体相反应剂分配设备的另一独特方面在于编程逻辑控制器(PLC)控制为公共处理工具供应的两个分开歧管的温度和阀状态。表示总体流程和PLC所需决定的流程图在图6中表示。在所有步骤中,PLC监测例如管线压力、温度、阀状态以及类似情况的输入,以确保系统在其特定操作极限内。另外,PLC进行编程,使得某些阀不能同时开启,防止歧管之间的“交流”。例如,工艺阀的出口或排放阀的出口不能同时开启。在一个实施例中,每个载体气体供应/蒸气相反应剂输送歧管以及每个源气体歧管的温度是至少5℃或大于每个容器的温度。
在图6示意图的顶部左侧开始,安瓿可以在“安瓿有效”状态。在此状态,它处于温度下,并且PLC监测有效安瓿及其各自歧管的温度。它还将来自于工具的信号转移到适当有效歧管。在此有效状态下,工具可从安瓿进行处理。
从“安瓿有效”状态,安瓿及其各自歧管可进入“待机”状态。在此状态,安瓿处于温度下,并且准备置于离线或者进入有效状态。在此“待机”状态中,工具不经由各自歧管上的任何阀的控制。从“安瓿在温度下待机”,操作者可回到有效,进入手动模式或者开始安瓿交换。
为了从待机回到“有效”,控制器将吹扫歧管长达使用者限定的时间长度,并且接着将歧管上的适当阀的控制转移给工具。
在去往“开始安瓿更换”时,PLC监测确保其它歧管不使用吹扫气体或者排放管线,接着将提示使用者关闭安瓿手动阀,使得歧管吹扫可以进行。这种吹扫用来从歧管和安瓿阀和歧管阀之间的管支管中去除残留有机金属,使得在安瓿去除时,在这些支管中没有残留前体与空气或空气中的湿气反应。
在循环吹扫歧管之后,PLC检查以确保安瓿阀关闭。这经由泄漏来完成,其中歧管被泵送到基本压力、隔离并接着观察压力升高。如果安瓿关闭并且残留化学品从管线吹扫,歧管将不具有显著的压力升高。如果泄漏检查失效,操作者被提示进行调查。
在成功地泄漏检查之后,控制器将关闭加热器,并且在其达到安全温度时,提示操作者更换安瓿。
一旦新安瓿安装并且操作者知道之后,PLC将进行另一泄漏检查以便确保安瓿正确吊挂并接着开始吹扫歧管从而去除安瓿吊挂过程中吸收的残留空气和湿气。经由开启安瓿阀,并在加热之前接着排空、吹扫或加压安瓿头顶空间,PLC将伴随着操作者。这取决于使用者。在更多集成的系统的情况下,安瓿将接着经由人机界面(HMI)从操作者或从工具等待信号来加热。
一旦安瓿、其阀和歧管在设置点温度处稳定,安瓿将进入“在温度下安瓿待机”状态,在需要时进入“有效”。
PLC还可包括密码保护手动模式,使得熟练技师或技术人员手动促动阀以便氦泄漏检查、歧管更换、系统检查和类似情况。作为附加的安全措施,阀排除被编程到编程逻辑控制器,以便防止有效歧管和无效歧管之间的交流。安瓿可单独设计有自动阀,但是由于手动阀使得操作者确保紧密密封,这不是标准的实践。
PLC确定歧管是否有效。这可通过如下方式开始:1)手动按钮,其中工具操作者知道已经达到安瓿的运行极限并指令更换;或者2)使用来自于高度传感器的数据或来自于工具的计数以便确定何时一个安瓿低并且应该使得另一安瓿在线的自动转换功能。另一种情况是PLC在哪儿警告操作者需要转换、但是等待操作者输入来执行。
用于集成的蒸气或液体相反应剂分配设备的PLC屏幕的可选择屏幕拍照在图17中表示。
集成的蒸气或液体相反应剂分配设备的独特方面之一是用于编程逻辑控制器将阀开启气动信号从处理工具重新引导到适当有效歧管同时在歧管在无效状态时还使得编程逻辑控制器控制这些阀的安全方式的设计。另外,出于安全目的,希望的是在工具上的气动装置锁定时,集成的蒸气或液体相反应剂分配设备也可锁定。这种解决方法的实例在图7中示意表示。
为了控制公共气动阀,编程逻辑控制器将24伏DC信号供应给吊挂在公共主要气动供应装置上的电磁阀组上。在这种情况下,为隔室供应的主气动管线从工具抽吸。这意味着如果工具气动装置锁定,集成的蒸气或液体相反应剂分配设备的气动装置也如此。另外,对于公共阀的双重控制来说,来自于工具的每个气动信号可引导到特殊电磁阀(或等同物),该电磁阀可被促动以便将气动信号发送到集成蒸气或液体相反应剂分配设备的任何歧管上的适当阀上。“或”止回阀(例如3孔口梭阀)使得去往共用阀的气动信号来自于主电磁阀面板或独立A或B电磁阀,例如4位置3孔口阀,而不泻放其它的排放装置。
安瓿可定位在小通风隔室内。安瓿通常静置在支架上,并且歧管位于其上方,由于这种设计,这是相对刚性的结构。典型的安瓿安装在图8中表示。安瓿可座置在固定或滑动(进出页面)支架上的半柔性加热炉内。使用高真空VCR连接同样造成安瓿阀和歧管之间零间隙配合。一个实施例是使用加热炉内的游隙来补偿安瓿高度的变化。这使得隔室的建造和冲击误差困难。如果支架过高,安瓿将不安装在歧管之下。如果支架过低,连接不能正确紧密或者安瓿的整个重量(35-40lbs)将通过歧管支承来结束(wind up),使得焊接部和配件受到应力。为了便于安瓿装载,具有集成弹簧加载板的滑动支架可如图9所示使用。支架可结合对中销和转动台,如图10所示。所有这些结构可使得操作者对中安瓿,对准连接件并使其在刚性歧管之下方便地滑动。
安瓿的配置可影响上面歧管内的弯头的数量和管线长度。实际上,最好是减小前体输送管线上的“死区”和不需要的弯头。这用来减小冷凝、颗粒的机会,并使得残留气体在吹扫过程中完全去除。例如,具有面向前的相同安瓿的一个实施例在图11中表示,同时图12的另一实施例表示围绕其纵向轴线顺时针转动安瓿45度,可消除氩入口支管内的两个弯头,并且减小歧管之间公共出口管线的长度。还可以看到特定侧部安瓿的情况,其中一个安瓿(A)具有位于左侧上的入口,另一安瓿(B)具有位于右侧上的入口。在这种情况下安瓿(A)可以围绕其垂直轴线顺时针转动,并且安瓿(B)围绕其垂直轴线逆时针转动,对于图13所示的公共歧管来说,造成出口与出口距离非常短。表示特定侧部安瓿情况的配置的示意图在图14中表示。如图14所示,安瓿入口V-6和V-18位于相对侧上,并且出口阀(V-7和V-17)朝着中心。这种定向使得将两个安瓿连接到公共歧管上的管线长度最小,对于减小死区容积十分重要。
有时,容器几乎用完产品液体前体。几乎用完的状态可通过液体高度传感器来检测。传统高度传感器可与这里披露相一致地使用。传感器可指示例如容器需要更换或者重新填充,但是它不需要马上完成。如果需要,工具的过程会完成,其中小前体供应量保留在容器中。传感器还可指示工具过程必须停止,由于容器没有包含足够的前体供应量。传感器还可指示容器是满载的。
在重新填充和/或更换容器时,出现更换过程,其中容器从集成蒸气或液体相反应剂分配设备中去除。将系统与环境连通使得系统中的反应前体残留物暴露于大气组成,特别是氧和湿气。因此,残留物必须在开启系统之前从管线吹扫。大多数吹扫可使用气体和/或真空来完成。对于没有通过这些方法去除的前体残留物,可使用溶剂来充分冲洗管线。暴露于反应前体的集成蒸气或液体相反应剂分配设备的某些部件可通过适当溶剂冲洗,溶剂经由通向排放装置的出口管线去除。溶剂冲洗可通过溶剂罐和歧管支持。作为选择,吹扫气体经由阀进入集成蒸气或液体相反应剂分配设备,并且废物经由排放管线来到排放装置。这些排空过程中的残留压力可通过压力传感器监测。
集成蒸气或液体相反应剂分配设备的多种部件和操作通过控制器来控制。控制器构造成独立于其它容器-歧管组合控制每个容器-歧管组合。因此,一个容器内的前体独立于其它容器内的前体进行管理和分配,并且将前体提供给制造工具的整个过程是灵活的。例如,一次供应一种前体,或者一次供应多种前体。另外,在其它容器供应前体材料的同时可以更换一个或多个容器。
集成的蒸气或液体相反应剂分配设备的容器、歧管和多种其它部件内和之间的连接管线设计成保持这里描述的化学品。例如,管线可由高纯度不锈钢管形成。这里描述的关闭阀可以无弹簧、隔膜高纯度阀。
在操作中,集成的蒸气或液体相反应剂分配设备通过具有算法的控制器控制,控制器在多个单元之间进行连通,并且完成集成系统。系统的多个单元经由多种公共部件通讯。以任何组合具有其公共部件的控制器和不同的单元使得集成系统作为模块化工具操作。控制器可以是与这里的披露相一致的任何多种控制器,并可以定位在不同位置上。控制器可适用于与集成蒸气或液体相反应剂分配设备的多种系统通讯,其方式是容器可相互独立操作。作为选择,如果在工具和集成蒸气或液体相反应剂分配设备中使用分开的控制器,控制器相互通讯,使得工具知道化学品罐何时更换,并且集成蒸气或液体相反应剂分配设备知道工具何时需要前体。
容器内前体的剩余量同样通过控制器算法监测。容器可连续或断续监测。容器可包括例如外部传感器,例如重量标度或超声波传感器。容器还可包括例如内部传感器,例如上面提到的那些。在容器传感器发出高度低信号时,罐更换过程如这里描述那样开始。
这里描述的集成蒸气或液体相反应剂分配设备的实施例提供模块化、集成处理器,以便连续供应前体到目标处理工具。集成蒸气或液体相反应剂分配设备还可与其它模块组合,以便提供存储和输送前体到工具的系统,使得制造工具成功和连续地接收前体以便沉积。
以上描述只是说明本发明原理和多种实施例。虽然描述了本发明的实施例,本领域的普通技术人员可进行变型,而不偏离本发明的教导。这里描述的实施例只是示例性的,并且没有限制含义。这里披露的本发明的许多变型和改型以及设备和方法是可以的,并且在本发明的范围内。因此,保护范围不通过上面的描述来限定,而是只通过下面的权利要求来限定,该范围包括权利要求的主题的所有等同物。
应该理解到容器、歧管、压力调节器、阀和孔口的多种组合可用于本发明的实施例。本发明不应该局限于这里描述的这些制造的组合,本领域的普通技术人员将理解到本发明包括与这里的披露相一致的其它组合。
参考图1、5、14和16,工艺气体是载体气体。即将进入安瓿或与前体组合以便在输送到“处理”的过程中使其稀释的气体。吹扫气体只用于在安瓿用完或在新安瓿吊挂之后吹扫歧管。例如,消费者希望使用电子级氩作为载体气体,但是坚持电子级氮作为吹扫气体,这是由于它较便宜。
参考图1、5和14,容器(例如20和21)可包括顶壁构件的一部分,具有载体气体经由其中供应到填充高度以上的所述内部气体容积的载体气体供应入口开口,以便造成所述源化学品蒸发,变得携带在所述载体气体内,从而形成蒸气相反应剂;并且顶壁构件的一部分具有所述蒸气相反应剂经由其中从所述设备分配的蒸气相反应剂出口开口。
容器(例如20和21)可包括载体气体供应管线(例如图14中的32和42),该管线从载体气体供应入口开口向上并从顶壁构件外部延伸以便将载体气体输送到填充高度以上的所述内部气体容积;载体气体供应管线(例如图14内的32和42),包括载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18);以及用于监测和控制源气体歧管内的压力的压力传感器(例如PTA和PTB);以及蒸气相反应剂排放管线(例如图14的34和44),从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从填充高度以上的所述内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线(例如图14的34和44)包括蒸气相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),以便控制蒸气相反应剂在其中的流动。
在一个实施例中,容器(例如20和21)可包括具有载体气体供应入口开口的顶壁构件的一部分,入口开口包括发泡器管,发泡器管延伸穿过内部气体容积进入源化学品,并且所述载体气体可在源化学品内发泡以造成源化学品蒸气的至少一部分变得携带在所述载体气体内,从而形成去往填充高度以上的所述内部气体容积的蒸气相反应剂流,所述发泡器管具有靠近顶壁构件的入口端以及靠近底壁构件的出口端;以及顶壁构件的一部分具有所述蒸气相反应剂可经由其中从所述设备分配的蒸气相反应剂出口开口。
具有发泡器管的容器可包括从载体气体供应入口开口向上并从顶壁构件外部延伸的载体气体供应管线(例如图14的32和42),以便将载体气体输送到所述源化学品内,载体气体供应管线(例如图14的32和42)包括载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18);以及用于监测和控制源气体歧管内的压力的压力传感器(例如PTA和PTB);以及蒸气相反应剂排放管线(例如图14的34和44),从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从填充高度以上的所述内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线(例如图14的34和44)包括蒸气相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),以便控制蒸气相反应剂在其中的流动。
在另一实施例中,容器(例如20和21)可包括顶壁构件的一部分,具有惰性气体经由其中供应到填充高度以上的所述内部气体容积的惰性气体供应入口开口,以便加压填充高度以上的内部气体容积;以及顶壁构件的一部分具有液体相反应剂出口开口,出口开口包括汲取管,汲取管延伸经过内部气体容积进入源化学品,并且液体相反应剂经由其中从所述设备分配,所述汲取管具有靠近顶壁构件的出口端和靠近底壁构件的入口端。
具有汲取管的容器(例如20和21)可包括从惰性气体供应入口开口向上并从顶壁构件外部延伸的惰性气体供应管线(例如图14的32和42),以便将惰性气体输送填充高度以上的所述内部气体容积内,惰性气体供应管线(例如图14的32和42)包括惰性气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18);以及用于监测和控制源气体歧管内的压力的压力传感器(例如PTA和PTB);以及液体相反应剂排放管线(例如图14的34和44),从液体相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述容器去除液体相反应剂,液体相反应剂排放管线(例如图14的34和44)包括液体相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),以便控制液体相反应剂在其中的流动。
容器或安瓿通常由例如316L的不锈钢加工而成,并且电抛光以便防止前体液体或固体源化学品污染。覆盖或顶壁构件可以不可拆卸或可拆卸以有助于清洁和重新使用。容器可包括圆柱形侧壁构件或者限定非圆柱形的多个侧壁构件。具有可拆卸顶壁构件的容器可包括紧固装置,以便将顶壁构件固定在侧壁构件上。示例性紧固装置可包括焊接构件、螺栓或密封件。
安瓿可包括例如接通/断开阀的入口和出口阀以及质量控制阀,使得化学品输送到终端用户设备。任选的安瓿设备包括填充口和源化学品高度传感器,以便确定何时安瓿几乎用完。对于低蒸气压力化学品来说,容器内的材料在真空下输送,或者使用惰性气体来将蒸气换出。材料可选择地作为液体输送经过汲取管到终端使用者,其中它可根据需要蒸发或分配。
温度传感器最好包括在安瓿内以确保均匀热传导。源化学品传感器最好包括在安瓿内,以便确保源化学品的有效使用。阀和源化学品高度传感器经由面密封连接器连接,以确保清洁、防泄漏密封。一旦在干净的房屋内组装,安瓿被调节以便去除吸收的水,并且通过氦泄漏检测器来检测泄漏。安瓿设计成在从几托到略微高于环境的压力下使用。
在本发明的实施例中,温度传感器从容器外部的上端延伸经过顶壁构件的一部分,并且大致垂直向下进入容器的内部容积,其中温度传感器的下端靠近底壁表面非干涉地定位。源化学品高度传感器从容器外部的上端延伸经过顶壁构件的一部分,并且大致垂直向下延伸到容器的内部容积,其中源化学品高度传感器的下端靠近底壁表面非干涉地定位。温度传感器可操作地配置在容器内,以便确定容器内源化学品的温度源化学品高度传感器可操作地配置在容器内以便确定容器内源化学品的高度,温度传感器和源化学品高度传感器在容器内相互靠近非干涉地定位,其中温度传感器的下端相对于源化学品高度传感器的下端定位在容器的表面或较靠近该表面,并且温度传感器和源化学品高度传感器在容器内形成源化学品流体连通。源化学品高度传感器选自超声波传感器、光学传感器、电容传感器和悬浮式传感器,并且所述温度传感器包括热电偶套管和热电偶。
在本发明的实施例中,底壁构件任选地提供集存空腔,其中布置温度传感器、源化学品高度传感器、汲取管和/或起泡器管的下端。这种构造使得原始提供液体或固体源化学品的高百分比(例如95%或更高、最好是98%或更高)容积在源化学品有选择分配的应用中得到利用。这种构造还可改善源化学品供应和分配系统以及采用分配的源化学品的工艺的经济性。
在源化学品高度传感器发出内容物用完的信号时,本发明使得最少量的半导体前体化学品保留在安瓿或发泡器内。由于半导体前体的复杂性和成本增加,这非常重要。为了减小成本,半导体制造商将希望尽可能少地浪费前体。另外,本发明将温度传感器放入与源化学品高度传感器相同的凹入集存空腔内。只要源化学品高度传感器指示还具有前体,这可以确保源化学品半导体前体的真实温度将被读取。从安全的角度,这是非常重要的。如果温度传感器在半导体前体外部,它将发送错误的低温度信号到加热设备。这会造成过大热量施加在安瓿上,造成不安全的情况以及半导体前体分解。
再次参考容器或安瓿,容器可装备有源化学品高度传感器,它从容器外部上端向下延伸经过容器顶壁构件的非中央定位部分到非中央定位在底壁构件上的下端,任选地靠近容器的集存空腔的表面,在源化学品反应剂容纳在容器内时,使得至少95%的源化学品反应剂得到利用。源化学品高度传感器的上部可通过源化学品高度检测信号传递线连接到中央处理单元,例如在系统的操作过程中,从源化学品高度传感器的检测的源化学品高度信号传递到中央处理单元。
以类似方式,容器可装备有温度传感器,即热电偶套管和热电偶,它从容器外部的上端向下延伸经过容器顶壁构件的中央定位的部分到中央定位在底壁构件上的下端,靠近容器底壁的表面。温度传感器的上部可通过温度检测信号传递线连接到中央处理单元,以便在系统操作过程中,从温度传感器检测的温度信号传递到控制器或中央处理单元。
可包括适当微处理器、计算机或其它适当控制装置的控制器或中央处理单元也可通过控制信号传递线连接到流动控制阀上(例如经由适当的阀促动元件),以便有选择地调节流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),并且控制载体气体去往容器的流动。中央处理单元还可通过控制信号传递线连接到气体流动控制阀(例如经由适当的阀促动元件),以便有选择地调节流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),并且控制蒸气或液体相反应剂从容器排出。为了本发明的目的,流动控制阀包括隔离阀、计量阀和类似阀。
本发明使得半导体制造商使用最大量的前体,同时在更换安瓿之前浪费非常少。这减小了浪费,使得半导体前体和特定应用中投资回报最大。
典型的安瓿包括大约5-6英寸直径以及5-7英寸高度并由316不锈钢(316SS)制成的容器或圆筒。顶壁构件大约是半英寸厚,并且通过8-12个螺栓连接到侧壁构件上,或者可焊接其上。安瓿可以或不可以具有安装的喷射器(或浸渍)管。也可包括填充口。一个阀可用作惰性气体的入口以便将产品从出口阀换出。安瓿还可具有发泡器管。发泡器管可用来对经过产品的惰性气体发泡,以有助于作为蒸气输送材料。
用于本发明的示例性源化学品可在很宽范围上变化,包括例如用于如下金属组的液体或固体前体,即组2(例如钙、锶和钡)、组3(例如钇和镧)、组4(例如钛、锆和铪)、组5(例如钒、铌和钽)、组6(例如铬、钼和钨)、组7(例如锰)、组8、9和10(例如钴、镍、钌、铑、钯和铂)、组11(例如铜、银和金)、组12(例如锌和镉)、组13(例如铝、镓、铟和铊)、组14(例如硅、锗和铅)、组15(例如锑和铋)、组16(例如碲和钋)、周期表的镧系和锕类。用于本发明的优选的源化学品包括用于选自钌、铪、钽、钼、铂、金、钛、铅、钯、锆、铋、锶、钡、钙、锑、铊、铝和铑的金属的液体或固体前体,或者选自硅和锗的非金属前体。优选的有机金属前体合成物包括含有钌、铪、钽和/或钼的有机金属前体合成物。
源化学品可添加到容器内,同时容器从系统去除并通过新容器更换。添加到源化学品的温度不是关键,并且可在很宽范围上变化。源化学品可加热到足以蒸发源化学品的温度,以便以足够流速将蒸气相反应剂提供给过程。每种材料在室温下具有略微的蒸气压力,并且将在真空下蒸发。添加热量增加蒸发速度,使其足以在合理时间内提供所需化学品数量。
升华的固体源化学品以及在加热时熔化的固体源化学品可用于本发明。例如升华的固体源化学品可用于图1、5、14和16所示的蒸气相反应剂分配设备。在加热时熔化的固体源化学品可用于图1、5、14和16所示的蒸气或液体相反应剂分配设备。同样,液体源化学品可用于图1、5和14所示的蒸气相反应剂分配设备。在使用升华的固体源化学品时,它需要采用除尘设备。
用于本发明的示例性蒸气或液体相反应剂可在广泛范围上变化,并且包括例如用于如下金属组的蒸气或液体相前体,即组2(例如钙、锶和钡)、组3(例如钇和镧)、组4(例如钛、锆和铪)、组5(例如钒、铌和钽)、组6(例如铬、钼和钨)、组7(例如锰)、组8、9和10(例如钴、镍、钌、铑、钯和铂)、组11(例如铜、银和金)、组12(例如锌和镉)、组13(例如铝、镓、铟和铊)、组14(例如硅、锗和铅)、组15(例如锑和铋)、组16(例如碲和钋)、周期表的镧系和锕类。用于本发明的优选的源化学品包括用于选自钌、铪、钽、钼、铂、金、钛、铅、钯、锆、铋、锶、钡、钙、锑、铊、铝和铑的金属的液体或固体前体,或者选自硅和锗的非金属前体。优选的有机金属前体合成物包括含有钌、铪、钽和/或钼的有机金属前体合成物。
沉积腔室可以是化学蒸气沉积腔室或原子层沉积腔室。蒸气相反应剂排放管线(例如图14的34和44)将容器连接到沉积腔室。可加热的基座或衬底(例如晶片在垂直熔炉管内可垂直保持在石英舟上,其中外侧的加热器辐射加热晶片)容纳在沉积腔室内,并且相对于蒸气相反应剂排放管线(例如图14的34和44)以接收关系定位。流出物排放管线连接到沉积腔室。蒸气相反应剂经过蒸气相反应剂排放管线(例如图14的34和44)并进入沉积腔室,以便接触任选地位于可加热基座上的衬底,并且任何残留的流出物经由流出物排放管线排出。流出物可输送到重新循环、回收、废物处理、丢弃和其它处理装置。
参考图16,本发明部分涉及一种集成的蒸气相反应剂分配设备包括:
多个容器(例如20和21),每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品;顶壁构件的一部分具有蒸气相反应剂出口开口,蒸气相反应剂可经由其中从所述容器分配;
多个蒸气相反应剂输送歧管(例如歧管22和23),每个所述蒸气相反应剂输送歧管互连;每个容器连接到至少一个蒸气相反应剂输送歧管;每个蒸气相反应剂输送歧管包括蒸气相反应剂排放管线(例如34和44),所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述容器去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器(未示出),用于与每个所述蒸气相反应剂输送歧管(例如22和23)和每个所述容器(例如20和21)连通,其方式是每个所述蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
集成的蒸气相反应剂分配设备还包括多个载体气体供应歧管(例如24和25);每个所述载体气体供应歧管连接到至少一个蒸气相反应剂输送歧管(例如22和23);每个载体气体供应歧管包括载体气体供应管线(例如32和42);载体气体供应管线其中包括一个或多个载体气体流动控制阀(例如用于安瓿20的V-1以及用于安瓿21的V-5),以便控制载体气体在其中的流动,以及用于监测和控制载体气体供应歧管的压力的压力传感器(例如PTA和PTB)。
在图15中表示集成蒸气或液体相反应剂分配设备的简化示意图,表示载体气体和前体从多安瓿输送系统排放的一个实施例,以及纯前体从多安瓿输送系统(净输送)排放的另一实施例。
参考图16,本发明部分涉及一种将蒸气相反应剂输送到沉积腔室的方法,包括:
(a)提供集成的蒸气相反应剂分配设备,包括:
多个容器(例如容器20和21),每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品;顶壁构件的一部分具有蒸气相反应剂出口开口,所述蒸气相反应剂可经由其中从所述容器分配;
多个蒸气相反应剂输送歧管(例如歧管22和23),每个所述蒸气相反应剂输送歧管互连;每个容器连接到至少一个蒸气相反应剂输送歧管;每个蒸气相反应剂输送歧管包括蒸气相反应剂排放管线(例如34和44),所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从填充高度以上的所述内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器(未示出),用于与每个所述蒸气相反应剂输送歧管(例如22和23)和每个所述容器(例如20和21)连通,其方式是每个所述蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作;
将源化学品添加到一个或多个所述容器(例如20或21);
任选地将一个或多个所述容器(例如20或21)内的源化学品加热到足以蒸发源化学品的温度以便提供蒸气相反应剂;
独立于任何其它的所述容器,经由所述蒸发相反应剂排放管线,从所述容器之一收回蒸气相反应剂;
经由所述载体气体供应管线(例如32或42)将载体气体供应到一个或多个所述蒸气相反应剂输送歧管,以便与所述蒸气相反应剂混合;以及
将蒸气相反应剂和载体气体供应到所述沉积腔室。
所述方法还包括:
将蒸气相反应剂与沉积腔室内任选地位于可加热基座上的衬底接触;以及
经由连接到沉积腔室上的流出物排放管线排放任何残留的流出物。
用于所述方法的集成的蒸气相反应剂分配设备还包括多个载体气体供应歧管(例如24或25);每个所述载体气体供应歧管连接到至少一个蒸气相反应剂输送歧管(例如22和23);每个载体气体供应歧管包括载体气体供应管线(例如32和42);载体气体供应管线其中包括一个或多个载体气体流动控制阀(例如用于安瓿20的V-1以及用于安瓿21的V-5),以便控制载体气体在其中的流动,以及用于监测和控制载体气体供应歧管的压力的压力传感器(例如PTA和PTB)。
在图16所示的集成的蒸气相反应剂分配设备的操作中,源化学品(例如AlCl3)放置在容器(例如20或21)内并且加热到足以蒸发源化学品的温度。蒸气相反应剂经由蒸气相反应剂出口开口和蒸气相反应剂排放管线(例如34或44)从容器排放。净前体蒸气在通过惰性处理载体气体(来自于管线56)稀释之前经过控制阀或其它仪表(例如I-1),并且继续到达沉积腔室。蒸气相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17)控制流到沉积腔室的蒸气相反应剂的流动。在沉积腔室,蒸气相反应剂沉积在安装在可加热基座或其它安装结构上的晶片或其它衬底元件上。来自于沉积腔室的流出物蒸气在流出物排放管线中排放。流出物可来到重新循环、回收、废物处理、丢弃或其它沉积装置。在此实施例中,惰性气体吹扫管线32和42可用来在更换安瓿之前或之后从管线吹扫残留前体或空气。
在此操作过程中,容器内的源化学品填充高度可通过源化学品高度传感器来检测。重要的是知道容器内的液体前体化学品何时接近用完,使其可以在下一个化学品蒸气沉积或原子层沉积操作之前更换。源化学品高度逐渐减小并且逐渐降低到集存空腔内,达到最小液压头(例如集存空腔内的液体高度),此时控制器或中央处理单元通过源化学品高度检测信号传递线接收相应的检测源化学品高度信号。控制器或中央处理单元响应地将控制信号传递线内的控制信号传递到某些载体气体流动控制阀,以便关闭阀,并且关闭载体气体去往容器的流动,并且还同时传递控制信号传递线内的控制信号,以便关闭某些蒸气相反应剂流动控制阀,关闭蒸气相反应剂从容器的流动。
同样在此操作过程中,容器内的源化学品的温度可通过温度传感器检测。重要的是监测容器内的液体前体化学品的温度以便控制蒸气压力。如果容器内的源化学品的温度变得过高,控制器或中央处理单元通过温度检测信号传递线接收相应的检测温度信号。控制器或中央处理单元响应地将控制信号传递线内的控制信号传递到加热装置以便减小温度。
沉积腔室可以是化学品蒸气沉积腔室或者原子层沉积腔室。蒸气相反应剂排放管线(例如34或44)将蒸气相反应剂分配设备连接到沉积腔室。可加热基座可包括在沉积腔室内,并且与蒸气相反应剂排放管线(例如34或44)形成接收关系地定位。流出物排放管线连接到沉积腔室。蒸气相反应剂经过蒸气相反应剂排放管线(例如34或44)并进入沉积腔室,以便接触任选地位于可加热基座上的衬底,并且任何残留的流出物经由流出物排放管线排出。流出物可来到重新循环、回收、废物处理、丢弃或其它沉积装置。
本发明的集成的蒸气或液体相反应剂分配设备可用于液体和固体材料的蒸发,例如用于化学蒸气沉积、原子层沉积和离子注入过程的液体和固体源反应剂。参考例如US专利6921062B2;2007年1月29日提交的US专利申请序列No.60/898121;2007年2月27日提交的US专利申请序列No.60/903720;2004年12月17日提交的US专利申请序列No.11/013434;2007年1月29日提交的US专利申请序列No.60/897947;2007年2月27日提交的US专利申请序列No.60/903579;其披露结合于此作为参考。
参考图1、5和14,本发明部分涉及一种集成的蒸气相反应剂分配设备,包括:
多个容器(例如20和21),每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,载体气体可经由其中供应到填充高度以上的所述内部气体容积,以便造成所述源化学品的蒸气变得携带在所述载体气体内,从而产生蒸气相反应剂;以及顶壁构件的一部分具有蒸气相反应剂出口开口,所述蒸气相反应剂可经由其中从所述容器分配;
多个载体气体供应/蒸气相反应剂输送歧管(例如歧管22和23),每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线(例如图14的32和42)和蒸气相反应剂排放管线(例如图14的34和44);所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到所述填充高度以上的内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器(未示出),用于与每个所述载体气体供应/蒸气相反应剂输送歧管(例如22和23)和每个所述容器(例如20和21)连通,其方式是每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
集成的蒸气相反应剂分配设备还包括多个源气体歧管(例如24和25);每个所述源气体歧管互连;每个源气体歧管连接到至少一个载体气体供应/蒸气相反应剂输送歧管(例如22和23);每个源气体歧管包括与所述载体气体供应/蒸气相反应剂输送歧管的所述载体气体供应管线连续的载体气体供应管线(例如图14内的32和42);载体气体供应管线其中包括一个或多个载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制载体气体在其中的流动,以及用于监测和控制源气体歧管的压力的压力传感器(例如PTA和PTB)。
参考图1、5和14,本发明部分涉及一种用于将蒸气相反应剂输送到沉积腔室的方法,包括:
(b)提供集成的蒸气相反应剂分配设备;包括:
多个容器(例如20和21),每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,载体气体可经由其中供应到填充高度以上的所述内部气体容积,以便造成所述源化学品的蒸气变得携带在所述载体气体内,从而产生蒸气相反应剂;以及顶壁构件的一部分具有蒸气相反应剂出口开口,所述蒸气相反应剂可经由其中从所述容器分配;
多个载体气体供应/蒸气相反应剂输送歧管(例如歧管22和23),每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线(例如图14的32和42)和蒸气相反应剂排放管线(例如图14的34和44);所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到所述填充高度以上的内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述载体气体供应/蒸气相反应剂输送歧管(例如22和23)和每个所述容器(例如20和21)连通,其方式是每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作;
将源化学品添加到一个或多个所述容器(例如20或21);
将一个或多个所述容器(例如20或21)内的源化学品加热到足以蒸发源化学品的温度以便提供蒸气相反应剂;
经由所述载体气体供应管线(例如图14中的32或42)将载体气体供应到一个或多个所述容器;
独立于任何其它的所述容器,经由所述蒸气相反应剂排放管线(例如图14中的34或44),从所述容器(例如20或21)之一收回蒸气相反应剂和载体气体;以及
将蒸气相反应剂和载体气体供应到所述沉积腔室。
所述方法还包括:
使得蒸气相反应剂在沉积腔室内接触任选地位于可加热基座上的衬底;以及
经由连接到沉积腔室上的流出物排放管线排放任何残留流出物。
用于所述方法的集成的蒸气相反应剂分配设备还包括多个源气体歧管(例如24或25);每个所述源气体歧管互连;每个源气体歧管连接到至少一个载体气体供应/蒸气相反应剂输送歧管(例如22和23);每个源气体歧管包括与所述载体气体供应/蒸气相反应剂输送歧管的所述载体气体供应管线连续的载体气体供应管线(例如图14内的32和42);载体气体供应管线其中包括一个或多个载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制载体气体在其中的流动,以及用于监测和控制源气体歧管的压力的压力传感器(例如PTA和PTB)。
在图1、5和14所示的集成蒸气相反应剂分配设备的操作中,源化学品放置在容器(例如20或21)内并且加热到足以蒸发源化学品的温度。载体气体可以流过载体气体供应管线(例如图14中的32或42)到载体气体供应入口开口,从中它排放到填充高度以上的内部气体容积。载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18)控制排放到内部气体容积的载体气体的流动。来自于源化学品的蒸气变得携带在载体气体内以形成蒸气相反应剂。
蒸气相反应剂经由蒸气相反应剂出口开口和蒸气相反应剂排放管线(例如图14内的34或44)从内部气体容积排放。蒸气相反应剂在蒸气相反应剂排放管线(例如图14内的34或44)内流动到沉积腔室。蒸气相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17)控制流到沉积腔室的蒸气相反应剂的流动。在沉积腔室中,蒸气相反应剂沉积在安装在可加热基座或其它安装结构上的晶片或其它衬底元件上。来自于沉积腔室的流出物蒸气在流出物排放管线中排放。流出物可来到重新循环、回收、废物处理、丢弃或其它沉积装置。
在此操作过程中,容器内的源化学品填充高度可通过源化学品高度传感器来检测。重要的是知道容器内的液体前体化学品何时接近用完,使其可以在下一个化学品蒸气沉积或原子层沉积操作之前更换。源化学品高度逐渐减小并且逐渐降低到集存空腔内,达到最小液压头(例如集存空腔内的液体高度),此时控制器或中央处理单元通过源化学品高度检测信号传递线接收相应的检测源化学品高度信号。控制器或中央处理单元响应地将控制信号传递线内的控制信号传递到某些载体气体流动控制阀,以便关闭阀,并且关闭载体气体去往容器的流动,并且还同时传递控制信号传递线内的控制信号,以便关闭某些蒸气相反应剂流动控制阀,关闭蒸气相反应剂从容器的流动。
在从一个安瓿可以自动转换到另一安瓿的情况下,系统需要有关残留在安瓿内的材料量、每循环的使用量以及来自于工具的的信号的信息,该信号表示正在进行运转而不能在运转中进行更换,而可以在晶片运转或晶片批次之间更换。标准工业实践通常涉及在转换之后重新鉴定运转,并且该系统将警告操作者自动转换已经进行。
同样在此操作过程中,容器内的源化学品的温度可通过温度传感器检测。重要的是监测容器的温度(例如用于液体或固体源安瓿上的代表性点的热电偶套管),以便控制蒸气压力。如果容器内的源化学品的温度变得过高,控制器或中央处理单元通过温度检测信号传递线接收相应的检测温度信号。控制器或中央处理单元响应地将控制信号传递线内的控制信号传递到加热装置以便减小温度。
沉积腔室可以是化学品蒸气沉积腔室或者原子层沉积腔室。蒸气相反应剂排放管线(例如34或44)将蒸气相反应剂分配设备连接到沉积腔室。可加热基座或沉积衬底可包括在沉积腔室内,并且与蒸气相反应剂排放管线(例如图14中的34或44)形成接收关系地定位。流出物排放管线连接到沉积腔室。蒸气相反应剂经过蒸气相反应剂排放管线(例如图14中的34或44)并进入沉积腔室,以便接触任选地位于可加热基座上的衬底,并且任何残留的流出物经由流出物排放管线排出。流出物可来到重新循环、回收、废物处理、丢弃或其它沉积装置。
本发明的集成的蒸气或液体相反应剂分配设备可用于液体和固体材料的蒸发,例如用于化学蒸气沉积、原子层沉积和离子注入过程的液体和固体源反应剂。参考例如US专利6921062B2;2007年1月29日提交的US专利申请序列No.60/898121;2007年2月27日提交的US专利申请序列No.60/903720;2004年12月17日提交的US专利申请序列No.11/013434;2007年1月29日提交的US专利申请序列No.60/897947;2007年2月27日提交的US专利申请序列No.60/903579;其披露结合于此作为参考。
参考图1、5和14,本发明部分涉及一种集成的蒸气相反应剂分配设备,包括:
多个容器(例如容器20和21),每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,该入口开口包括发泡器管,发泡器管延伸穿过内部气体容积进入源化学品,并且所述载体气体可在源化学品内发泡以造成源化学品蒸气的至少一部分变得携带在所述载体气体内,从而形成去往填充高度以上的所述内部气体容积的蒸气相反应剂流,所述发泡器管具有靠近顶壁构件的入口端以及靠近底壁构件的出口端;以及顶壁构件的一部分具有所述蒸气相反应剂可经由其中从所述容器分配的蒸气相反应剂出口开口;以及
多个载体气体供应/蒸气相反应剂输送歧管(例如容器22和23),每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线(例如图14中的32和42)和蒸气相反应剂排放管线(例如图14中的34和44);所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到填充高度以上的所述内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从填充高度以上的所述内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述载体气体供应/蒸气相反应剂输送歧管(例如容器22和23)和每个所述容器(例如容器20和21)连通,其方式是每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
集成的蒸气相反应剂分配设备还包括多个源气体歧管(例如容器24和25);每个所述源气体歧管互连;每个源气体歧管连接到至少一个载体气体供应/蒸气相反应剂输送歧管(例如22和23);每个源气体歧管包括与所述载体气体供应/蒸气相反应剂输送歧管的所述载体气体供应管线连续的载体气体供应管线(例如图14中的32和34);载体气体供应管线其中包括一个或多个载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制载体气体在其中的流动,以及用于监测和控制源气体歧管的压力的压力传感器(例如PTA和PTB)。
参考图1、5和14,本发明部分涉及一种用于将蒸气相反应剂输送到沉积腔室的方法,包括:
(c)提供集成的蒸气相反应剂分配设备;包括:
多个容器(例如容器20和21),每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,该入口开口包括发泡器管,发泡器管延伸穿过内部气体容积进入源化学品,并且所述载体气体可在源化学品内发泡以造成源化学品蒸气的至少一部分变得携带在所述载体气体内,从而形成去往填充高度以上的所述内部气体容积的蒸气相反应剂流,所述发泡器管具有靠近顶壁构件的入口端以及靠近底壁构件的出口端;以及顶壁构件的一部分具有所述蒸气相反应剂可经由其中从所述容器分配的蒸气相反应剂出口开口;以及
多个载体气体供应/蒸气相反应剂输送歧管(例如22和23),每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线(例如图14中的32和42)和蒸气相反应剂排放管线(例如图14中的34和44);所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到填充高度以上的所述内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从填充高度以上的所述内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述载体气体供应/蒸气相反应剂输送歧管(例如容器22和23)和每个所述容器(例如容器20和21)连通,其方式是每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作;
将源化学品添加到一个或多个所述容器(例如20或21);
将一个或多个所述容器(例如20或21)内的源化学品加热到足以蒸发源化学品的温度以便提供蒸气相反应剂;
经由所述载体气体供应管线(例如图14中的32或42)和所述发泡器管将载体气体供应到一个或多个所述容器;
独立于任何其它的所述容器,经由所述蒸气相反应剂排放管线(例如图14中的34或44),从所述容器(例如20或21)之一收回蒸气相反应剂和载体气体;以及
将蒸气相反应剂和载体气体供应到所述沉积腔室。
所述方法还包括:
使得蒸气相反应剂在沉积腔室内接触任选地位于可加热基座上的衬底;以及
经由连接到沉积腔室上的流出物排放管线排放任何残留流出物。
用于所述方法的集成的蒸气相反应剂分配设备还包括多个源气体歧管(例如24和25);每个所述源气体歧管互连;每个源气体歧管连接到至少一个载体气体供应/蒸气相反应剂输送歧管(例如22和23);每个源气体歧管包括与所述载体气体供应/蒸气相反应剂输送歧管的所述载体气体供应管线连续的载体气体供应管线(例如图14内的32和42);载体气体供应管线其中包括一个或多个载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制载体气体在其中的流动,以及用于监测和控制源气体歧管的压力的压力传感器(例如PTA和PTB)。
在图1、5和18所示的集成蒸气相反应剂分配设备的操作中,源化学品放置在容器(例如20或21)内并且加热到足以蒸发源化学品的温度。载体气体可以流过载体气体供应管线(例如图14中的32或42)到载体气体供应入口开口,并经过起泡器管,从中它在源化学品内发泡。载体气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18)控制排放到内部气体容积的载体气体的流动。来自于源化学品的蒸气变得携带在载体气体内以形成蒸气相反应剂。
蒸气相反应剂经由蒸气相反应剂出口开口和蒸气相反应剂排放管线(例如图14内的34或44)从内部气体容积排放。蒸气相反应剂在蒸气相反应剂排放管线(例如图14内的34或44)内流动到沉积腔室。蒸气相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17)控制流到沉积腔室的蒸气相反应剂的流动。在沉积腔室中,蒸气相反应剂沉积在安装在可加热基座或其它安装结构上的晶片或其它衬底元件上。来自于沉积腔室的流出物蒸气在流出物排放管线中排放。流出物可来到重新循环、回收、废物处理、丢弃或其它沉积装置。
在此操作过程中,容器内的源化学品填充高度可通过源化学品高度传感器来检测。重要的是知道容器内的液体前体化学品何时接近用完,使其可以在下一个化学品蒸气沉积或原子层沉积操作之前更换。源化学品高度逐渐减小并且逐渐降低到集存空腔内,达到最小液压头(例如集存空腔内的液体高度),此时中央处理单元通过源化学品高度检测信号传递线接收相应的检测源化学品高度信号。中央处理单元响应地将控制信号传递线内的控制信号传递到某些载体气体流动控制阀,以便关闭阀,并且关闭载体气体去往容器的流动,并且还同时传递控制信号传递线内的控制信号,以便关闭某些蒸气相反应剂流动控制阀,关闭蒸气相反应剂从容器的流动。
同样在此操作过程中,容器内的源化学品的温度可通过温度传感器检测。重要的是监测容器的温度,以便控制蒸气压力。如果容器内的源化学品的温度变得过高,控制器或中央处理单元通过温度检测信号传递线接收相应的检测温度信号。控制器或中央处理单元响应地将控制信号传递线内的控制信号传递到加热装置以便减小温度。
沉积腔室可以是化学品蒸气沉积腔室或者原子层沉积腔室。蒸气相反应剂排放管线(例如34或44)将蒸气相反应剂分配设备连接到沉积腔室。可加热基座可包括在沉积腔室内,并且与蒸气相反应剂排放管线(例如图14中的34或44)形成接收关系地定位。流出物排放管线连接到沉积腔室。蒸气相反应剂经过蒸气相反应剂排放管线(例如图14中的34或44)并进入沉积腔室,以便接触任选地位于可加热基座上的衬底,并且任何残留的流出物经由流出物排放管线排出。流出物可来到重新循环、回收、废物处理、丢弃或其它沉积装置。
本发明的集成的蒸气相反应剂分配设备(即发泡器)可用于液体和固体材料的蒸发,例如用于化学蒸气沉积、原子层沉积和离子注入过程的液体和固体源反应剂。参考例如US专利6921062B2;2007年1月29日提交的US专利申请序列No.60/898121;2007年2月27日提交的US专利申请序列No.60/903720;2004年12月17日提交的US专利申请序列No.11/013434;2007年1月29日提交的US专利申请序列No.60/897947;2007年2月27日提交的US专利申请序列No.60/903579;其披露结合于此作为参考。
参考图1、5和14,本发明部分涉及一种集成的液体相反应剂分配设备,包括:
多个容器(例如20和21),每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有惰性气体供应入口开口,惰性气体可经由其中供应到填充高度以上的所述内部气体容积,以便加压填充高度以上的内部气体容积;以及顶壁构件的一部分具有液体相反应剂出口开口,液体相反应剂出口开口包括汲取管,汲取管延伸经过内部气体容积到源化学品,所述液体相反应剂可经由其中从所述设备分配,所述汲取管具有靠近顶壁构件的出口端和靠近底壁构件的入口端;
多个惰性气体供应/液体相反应剂输送歧管(例如22和23),每个所述惰性气体供应/液体相反应剂输送歧管互连;每个容器连接到至少一个惰性气体供应/液体相反应剂输送歧管;每个惰性气体供应/液体相反应剂输送歧管包括惰性气体供应管线(例如图14的32和42)和液体相反应剂排放管线(例如图14的34和44);所述惰性气体供应管线从惰性气体供应入口开口向上并从顶壁构件外部延伸,以便将惰性气体输送到填充高度以上的所述内部气体容积,惰性气体供应管线其中包括一个或多个惰性气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制惰性气体在其中的流动;并且所述液体相反应剂排放管线从液体相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述容器去除液体相反应剂,液体相反应剂排放管线其中任选地包括一个或多个液体相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),以便控制液体相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述惰性气体供应/液体相反应剂输送歧管(例如22和23)和每个所述容器(例如20和21)连通,其方式是每个所述惰性气体供应/液体相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
集成的液体相反应剂分配设备还包括多个源气体歧管(例如24和25);每个所述源气体歧管互连;每个源气体歧管连接到至少一个惰性气体供应/液体相反应剂输送歧管(例如22和23);每个源气体歧管包括与所述惰性气体供应/液体相反应剂输送歧管的所述惰性气体供应管线连续的惰性气体供应管线(例如图14内的32和42);惰性气体供应管线其中包括一个或多个惰性气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制惰性气体在其中的流动,以及用于监测和控制源气体歧管的压力的压力传感器(例如PTA和PTB)。
参考图1、5和14,本发明部分涉及一种用于将液体相反应剂输送到沉积腔室的方法,包括:
(d)多个容器(例如20和21),每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有惰性气体供应入口开口,惰性气体可经由其中供应到填充高度以上的所述内部气体容积,以便加压填充高度以上的内部气体容积;以及顶壁构件的一部分具有液体相反应剂出口开口,液体相反应剂出口开口包括汲取管,汲取管延伸经过内部气体容积到源化学品,所述液体相反应剂可经由其中从所述设备分配,所述汲取管具有靠近顶壁构件的出口端和靠近底壁构件的入口端;
多个惰性气体供应/液体相反应剂输送歧管(例如22和23),每个所述惰性气体供应/液体相反应剂输送歧管互连;每个容器连接到至少一个惰性气体供应/液体相反应剂输送歧管;每个惰性气体供应/液体相反应剂输送歧管包括惰性气体供应管线(例如图14的32和42)和液体相反应剂排放管线(例如图14的34和44);所述惰性气体供应管线从惰性气体供应入口开口向上并从顶壁构件外部延伸,以便将惰性气体输送到所述填充高度以上的内部气体容积,惰性气体供应管线其中包括一个或多个惰性气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制惰性气体在其中的流动;并且所述液体相反应剂排放管线从液体相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除液体相反应剂,液体相反应剂排放管线其中任选地包括一个或多个液体相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17),以便控制液体相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述惰性气体供应/液体相反应剂输送歧管(例如22和23)和每个所述容器(例如20和21)连通,其方式是每个所述惰性气体供应/液体相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作;
将源化学品添加到一个或多个所述容器(例如20或21);
任选地将一个或多个所述容器(例如20或21)内的固体源化学品加热到足以熔化固体源化学品的温度以便提供液体相反应剂;
经由所述惰性气体供应管线(例如图14中的32或42)将惰性气体供应到一个或多个所述容器;
独立于任何其它的所述容器(例如20或21),经由所述汲取管和所述液体相反应剂排放管线(例如图14中的34或44),从所述容器之一收回液体相反应剂;
提供蒸发设备,包括:
容器,包括构造成形成内部容器隔室以便蒸发液体相反应剂的顶壁构件、侧壁构件和底壁构件;
所述液体相反应剂排放管线将集成的液体相反应剂分配设备连接到所述蒸发设备上;
蒸发设备的一部分具有载体气体供应入口开口,载体气体可经由其中供应到所述蒸发设备,以便造成所述液体相反应剂的蒸气携带在所述载体气体内,从而形成蒸气相反应剂;
蒸发设备的一部分具有蒸气相反应剂出口开口,所述蒸气相反应剂可经由其中从所述蒸发设备分配;
载体气体供应管线从载体气体供应入口开口向上并从蒸发设备外部延伸以便将载体气体输送到所述蒸发设备,载体气体供应管线其中包括一个或多个气体流动控制阀,以便控制载体气体在其中的流动;
蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从蒸发设备外部延伸以便从所述蒸发设备将蒸气相反应剂移到所述沉积腔室,蒸气相反应剂排放管线其中包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;
将液体相反应剂供应到所述蒸发设备;
将所述蒸发设备内的液体相反应剂加热到足以蒸发液体相反应剂的温度,以便提供所述蒸气相反应剂;
经由所述载体气体供应管线将载体气体供应到蒸发设备;
经由所述蒸气相反应剂排放管线从所述蒸发设备收回蒸气相反应剂和载体气体;以及
将蒸气相反应剂和载体气体供应到所述沉积腔室。
所述方法还包括:
将蒸气相反应剂与沉积腔室内任选地位于可加热基座上的衬底接触;以及
经由连接到沉积腔室上的流出物排放管线排放任何残留的流出物。
用于所述方法的集成的液体相反应剂分配设备还包括多个源气体歧管(例如24和25);每个所述源气体歧管互连;每个源气体歧管连接到至少一个惰性气体供应/液体相反应剂输送歧管(例如22和23);每个源气体歧管包括与所述惰性气体供应/液体相反应剂输送歧管的所述惰性气体供应管线连续的惰性气体供应管线(例如图14内的32和42);惰性气体供应管线其中包括一个或多个惰性气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18),以便控制惰性气体在其中的流动,以及用于监测和控制源气体歧管的压力的压力传感器(例如PTA和PTB)。
在图1、5和14所示的集成液体相反应剂分配设备的操作中,源化学品放置在容器(例如20或21)内,并且惰性气体可以流过惰性气体供应管线(例如图14中的32或42)到惰性气体供应入口开口并进入填充高度以上的内部气体容积,以便加压填充高度以上的内部气体容积。惰性气体流动控制阀(例如用于安瓿20的V-1、V-3、V-6和V-8以及用于安瓿21的V-4、V-5、V-11和V-18)控制排放到填充高度以上的内部气体容积的惰性气体的流动。
液体相反应剂经由液体相反应剂出口开口(例如汲取管)和液体相反应剂排放管线(例如图14内的34或44)从容器(例如20或21)排放。液体相反应剂在液体相反应剂排放管线(例如图14内的34或44)内流动到沉积腔室。液体相反应剂流动控制阀(例如用于安瓿20的V-7、V-9、V-15和V-16以及用于安瓿21的V-12、V-13、V-14和V-17)控制流到蒸发设备的液体相反应剂的流动。
在蒸发设备中,液体相反应剂蒸发以便形成用于随后蒸气沉积操作的源蒸气。蒸发设备还可接收载体气体以便与通过液体相反应剂蒸发产生的源蒸气组合或覆盖。作为选择,源蒸气可以干净形式进入下游蒸气沉积操作。在任何情况下,来自于蒸发设备的源蒸气流过蒸气相反应排放管线到沉积腔室。在沉积腔室,蒸气相反应剂沉积到安装在可加热基座或其它安装结构上的晶片或气体衬底元件上。来自于沉积腔室的流出物蒸气在流出物排放管线排放。流出物可来到重新循环、回收、废物处理、丢弃或其它沉积装置。
在此操作过程中,容器内的源化学品填充高度可通过源化学品高度传感器来检测。重要的是知道容器内的液体前体化学品何时接近用完,使其可以在下一个化学品蒸气沉积或原子层沉积操作之前更换。源化学品高度逐渐减小并且逐渐降低到集存空腔内,达到最小液压头(例如集存空腔内的液体高度),此时中央处理单元通过源化学品高度检测信号传递线接收相应的检测源化学品高度信号。中央处理单元响应地将控制信号传递线内的控制信号传递到某些载体气体流动控制阀,以便关闭阀,并且关闭载体气体去往容器的流动,并且还同时传递控制信号传递线内的控制信号,以便关闭某些液体相反应剂流动控制阀,关闭液体相反应剂从容器的流动。
同样在此操作过程中,容器内的源化学品的温度可通过温度传感器检测。重要的是容器内的液体前体化学品的温度以便控制蒸气压力。如果容器内的源化学品的温度变得过高,中央处理单元通过温度检测信号传递线接收相应的检测温度信号。中央处理单元响应地将控制信号传递线内的控制信号传递到加热装置以便减小温度。
本发明的集成的液体相反应剂分配设备可用于反应剂的分配,例如用于化学蒸气沉积、原子层沉积和离子注入过程的前体,并且可实现高程度的液体反应剂从容器的收回。参考例如US专利6077356;2007年1月29日提交的US专利申请序列No.60/898121;2007年2月27日提交的US专利申请序列No.60/903720;2004年12月17日提交的US专利申请序列No.11/013434;2007年1月29日提交的US专利申请序列No.60/897947;2007年2月27日提交的US专利申请序列No.60/903579;其披露结合于此作为参考。
沉积腔室可以是化学蒸气沉积腔室或原子层沉积腔室。液体相反应剂排放管线(例如图14的34或44)将液体相反应剂分配设备连接到蒸发设备。蒸发设备具有从载体气体供应入口开口向上并蒸发设备外部外部延伸的载体气体供应管线,载体气体可经由其中供应到蒸发设备中,造成所述液体相反应剂的蒸气携带在载体气体中以便形成蒸气相反应剂。载体气体供应管线包括用于控制载体气体流过其中的载体气体流动控制阀。载体气体供应管线连接到载体气体源上。载体气体源可以是任何适当类型,例如高压气缸、低温空气分离厂或者压力摆动空气分离单元、将例如氮、氩、氦等载体气体供应到载体气体供应管线。
蒸气设备具有从蒸气相反应剂出口开口向上并从蒸发设备外部延伸的蒸气相反应剂排放管线,蒸气相反应剂可经由其中从蒸发设备分配到沉积腔室。蒸气相反应剂排放管线其中包括蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动。
可加热的基座可包括在沉积腔室内,并且与蒸气相反应剂排放管线形成接收关系定位。流出物排放管线连接到沉积腔室。蒸气相反应剂经过蒸气相反应剂排放管线并进入沉积腔室,以便接触任选地位于可加热基座上的衬底,并且任何材料的流出物经由流出物排放管线排放。流出物来到重新循环、回收、废物处理、丢弃或其它沉积装置。
在本发明的实施例中,有机金属合成物用于蒸气相沉积技术,以便形成粉末、薄膜或涂层。合成物可用作单个源前体或者可与一个或多个前体一起使用,例如与通过加热至少一种前体的有机金属合成物或金属复合物来产生的蒸气一起使用。
沉积可在其它蒸气相组分存在情况下进行。在本发明的实施例中,薄膜沉积在至少一种非反应载体气体的存在下进行。非反应前体的实例包括惰性气体,例如氮、氩、氦以及在处理条件下不与有机金属合成物前体反应的其它气体。在其它实施例中,薄膜沉积在至少一种反应气体的存在下进行。可采用的某些反应气体包括但不局限于联氨、氧、氢、空气、富含氧的空气、臭氧(O3)、一氧化二氮(N2O)、水蒸气、有机蒸气、氨和其它物质。如本领域公知那样,例如空气、氧、富含氧的空气、O3、N2O的氧化气体或氧化有机合成物的存在有利于金属氧化物薄膜的形成。
这里描述的沉积方法可以进行来形成包括单种金属的薄膜、粉末或涂层,或者包括单种金属氧化物的薄膜、粉末或涂层。混合薄膜、粉末或涂层也可沉积,例如混合金属氧化物薄膜。混合金属氧化物薄膜可以通过采用多种有机金属前体来形成,至少一种有机金属前体选自以上描述的有机金属合成物。
蒸气相薄膜沉积可进行来形成所需厚度的薄膜层,例如从小于1nm到1mm以上。这里描述的前体特别用于制造薄膜,例如具有大约10nm到大约100nm范围厚度的薄膜。本发明的薄膜例如可用来制造金属电极,特别是n-通道金属电极,作为用于DRAM应用的电容电极以及作为介电材料。
沉积方法还适用于制备分层薄膜,其中至少两个层的相位或组分不同。分层薄膜的实例包括金属-绝缘体-半导体以及金属-绝缘体-金属。
有机金属合成物前体可用于原子层沉积、化学蒸气沉积或更特别是本领域公知的有机金属化学蒸气沉积过程。例如,上面描述的有机金属合成物前体可用于大气以及低压化学蒸气沉积过程。合成物可用于热壁化学品蒸气沉积(一种整个反应腔室被加热的方法)以及在冷或热壁式化学蒸气沉积(其中只有衬底被加热的技术)。
上面描述的有机金属合成物前体还可用于等离子或光辅助化学蒸气沉积过程,其中来自于等离子的能量或电磁能量各自用来激活化学蒸气沉积前体。合成物还可用于离子束、电子束辅助的化学蒸气沉积过程,其中各自的离子束或电子束指向衬底以便施加能量从而分解化学蒸气沉积前体。也可使用其中激光指向衬底以便实现化学蒸气沉积前体光解反应的激光辅助化学蒸气沉积过程。
沉积方法可在多种化学蒸气沉积反应器种进行,例如热或冷壁反应器、等离子辅助、束辅助或激光辅助的反应器,如本领域公知那样。
用于沉积腔室的示例性衬底包括例如选自金属、金属硅化物、半导体、绝缘体、阻挡材料、陶瓷和石墨的材料。优选的衬底是形成图案的晶片。可采用沉积方法涂覆的衬底的实例包括固体衬底,例如金属衬底,例如Al、Ni、Ti、Co、Pt、Ta;金属硅化物,例如TiSi2、CoSi2、NiSi2;半导体材料,例如Si、SiGe、GaAs、InP、金刚石、GaN、SiC;绝缘体,例如SiO2、Si3N4、HfO2、Ta2O5、Al2O3、钡锶钛酸盐(BST);阻挡材料,例如TiN、TaN;或者在包括材料组合的衬底上。另外薄膜或涂层可形成在玻璃、陶瓷、塑料、热固聚合材料上,以及其它涂层或薄膜层上。在优选实施例中,薄膜沉积在用于制造和处理电子部件的衬底上。在其它实施例中,衬底用来支承在高温下存在氧化剂时稳定的低电阻导体或透光薄膜。
沉积方法可用来在具有平滑、平表面的衬底上沉积薄膜。在一个实施例中,该方法用来在用于晶片制造或处理的衬底上沉积薄膜。例如,该方法可用来在包括例如沟槽、孔或通路的形成图案的衬底上沉积薄膜。另外,沉积方法还可结合晶片制造或处理中的其它步骤,例如掩模、蚀刻和其它步骤。
化学蒸气沉积薄膜可沉积到所需厚度。例如,所形成的薄膜可小于1微米厚,最好小于500纳米并最好小于200纳米厚。也可制造小于50纳米厚的薄膜,例如具有大约0.1和大约20纳米之间厚度的薄膜。
通过在其过程中衬底暴露于前体、氧化剂和惰性气体流的交替脉冲的原子层沉积和原子层核技术,所述的有机金属合成前体也可用于本发明的方法,以形成薄膜。在U.S.专利No.6287965以及U.S.专利No.6342277中描述连续层沉积技术。两个专利的披露整体结合于此作为参考。
例如在一个原子层沉积循环中,衬底以步进方式暴露于:a)惰性气体;b)承载前体蒸气的惰性气体;c)惰性气体;d)氧化剂(单独或与惰性气体一起)。通常,每个步骤可如设备允许那样短,(例如几毫秒),并且根据工艺需要那样长(例如几秒或几分钟)。一个循环的持续时间可短至几毫秒,并且长达几分钟。循环在从几分钟到几小时的范围的周期内重复。所制造的薄膜可以是几纳米薄或较厚,例如1毫米(mm)。
本发明的装置和方法因此在提供用于供应和分配蒸气或液体相反应剂的系统中的领域中实现显著进步,在有选择地分配蒸气或液体相反应剂的应用中,使得原始供应的源化学品的95-98%得到利用。清洁两部件安瓿的便利性使得这些安瓿重新利用超过由单部件安瓿所获得的便利性。
相应地,在例如半导体或超导体产品的制造过程中,可以通过本发明的装置和方法将源化学品的浪费减小到低至原始装载到分配容器内的容积的2-5%,并且多次重新使用安瓿。
因此,本发明的实践显著改善了源化学品供应装置和蒸气或液体相反应剂分配系统的经济性,并且改善其中采用被分配蒸气或液体相反应剂的过程。本发明在某些情况下可成本有效地利用源化学品,这是由现有技术实践的浪费程度的性能而不能实现的实际问题。
作为本发明进一步的优点,由于相对于现有技术实践,增加地利用了原始填充的液体,而增加供应容器的在线时间,蒸气或液体相反应剂分配操作中容器内减小的源化学品库存使得其中从处理系统换出用完的供应容器并更换另一容易以便进一步处理的转换时间得以减小。
本发明的多种变型和改型将对于本领域的普通技术人员是显而易见的,并且应该理解到这种变型和改型包括在此申请的权限以及权利要求的精神和范围内。
虽然表示和说明了认为是本发明的某些实施例,当然将理解到可容易地进行形式和细节上的多种调整和变化,而不偏离本发明的精神和范围。因此所打算的是本发明不局限于这里描述和说明的准确形式和细节,也不局限于小于这里披露和随后要求保护的整个本发明的范围。

Claims (20)

1.一种集成的蒸气相反应剂分配设备,包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,载体气体可经由其中供应到填充高度以上的所述内部气体容积,以便造成所述源化学品的蒸气变得携带在所述载体气体内,从而产生蒸气相反应剂;以及顶壁构件的一部分具有蒸气相反应剂出口开口,所述蒸气相反应剂可经由其中从所述容器分配;
多个载体气体供应/蒸气相反应剂输送歧管,每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线和蒸气相反应剂排放管线;所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到填充高度以上的所述内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从填充高度以上的所述内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述载体气体供应/蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
2.一种集成的蒸气相反应剂分配设备,包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有载体气体供应入口开口,该入口开口包括发泡器管,发泡器管延伸穿过内部气体容积进入源化学品,并且所述载体气体可在源化学品内发泡以造成源化学品蒸气的至少一部分变得携带在所述载体气体内,从而形成去往填充高度以上的所述内部气体容积的蒸气相反应剂流,所述发泡器管具有靠近顶壁构件的入口端以及靠近底壁构件的出口端;以及顶壁构件的一部分具有所述蒸气相反应剂可经由其中从所述容器分配的蒸气相反应剂出口开口;以及
多个载体气体供应/蒸气相反应剂输送歧管,每个所述载体气体供应/蒸气相反应剂输送歧管互连;每个容器连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个载体气体供应/蒸气相反应剂输送歧管包括载体气体供应管线和蒸气相反应剂排放管线;所述载体气体供应管线从载体气体供应入口开口向上并从顶壁构件外部延伸,以便将载体气体输送到所述填充高度以上的内部气体容积,载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从填充高度以上的所述内部气体容积去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述载体气体供应/蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
3.如权利要求1和2所述的集成的蒸气相反应剂分配设备,其特征在于,还包括多个源气体歧管;每个所述源气体歧管互连;每个源气体歧管连接到至少一个载体气体供应/蒸气相反应剂输送歧管;每个源气体歧管包括与所述载体气体供应/蒸气相反应剂输送歧管的所述载体气体供应管线连续的载体气体供应管线;载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动,以及用于监测和控制源气体歧管的压力的压力传感器。
4.如权利要求3所述的集成的蒸气相反应剂分配设备,其特征在于,还包括:
选自化学蒸气沉积腔室和原子层沉积腔室的沉积腔室;
将集成的蒸气相反应剂分配设备连接到沉积腔室的蒸气相反应剂排放管线;
容纳在沉积腔室内并与蒸气相反应剂排放管线形成接收关系定位的任选的可加热基座;以及
连接到沉积腔室上的流出物排放管线;
使得蒸气相反应剂经过蒸气相反应剂排放管线并进入沉积腔室,以便接触任选地位于可加热基座上的衬底,并且任何残留的流出物经由流出物排放管线排出。
5.如权利要求4所述的集成的蒸气相反应剂分配设备,其特征在于,所述控制器具有用于与每个所述源前体歧管、每个所述载体气体供应/蒸气相反应剂输送歧管、每个所述容器、以及所述沉积腔室连通的算法,其方式是每个所述源气体歧管可相互独立操作,每个所述载体气体供应/蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
6.如权利要求4所述的集成的蒸气相反应剂分配设备,其特征在于,(i)所述控制器从每个所述源气体歧管、每个载体气体供应/蒸气相反应剂输送歧管以及每个所述容器接收数字和模拟输入,并且使用所述数字和模拟输入来进行操作,以及(ii)所述控制器从所述沉积腔室接收指令输入,并且使用所述指令输入来进行操作;其中所述操作包括在每个所述载体气体供应/蒸气相反应剂输送歧管、每个所述容器以及每个所述源气体歧管中的分开的温度区域内控制温度;控制每个所述载体气体供应/蒸气相反应剂歧管以及每个所述源气体歧管内的阀;监测热电耦和阀位置指示器以便在每个所述载体气体供应/蒸气相反应剂输送歧管、每个所述容器以及每个所述源气体歧管内反馈;将电气和气动阀促动信号从沉积腔室传递到每个所述有效的承载气体供应/蒸气相反应剂输送歧管以及每个所述有效的源气体歧管;并且与所述沉积腔室通讯舱室的紧急气体关闭(EGO)、温度警告、温度报警、阀位置信息、高度传感器信息和其它报警。
7.如权利要求6所述的集成的蒸气相反应剂分配设备,其特征在于,所述控制器包括编程逻辑控制器,并且所述控制器将所述数字和模拟输入和所述指令输入传递给计算机,使得使用者监测所述操作。
8.一种将蒸气相反应剂输送到沉积腔室的方法,包括:
(a)提供如权利要求1所述的集成的蒸气相反应剂分配设备;
(b)将源化学品添加到一个或多个所述容器;
(c)将一个或多个所述容器内的源化学品加热到足以蒸发源化学品的温度以便提供蒸气相反应剂;
(d)经由所述载体气体供应管线将载体气体供应到一个或多个所述容器;
(e)独立于任何其它的所述容器,经由所述蒸气相反应剂排放管线,从所述容器之一收回蒸气相反应剂和载体气体;以及
(f)将蒸气相反应剂和载体气体供应到所述沉积腔室。
9.一种将蒸气相反应剂输送到沉积腔室的方法,包括:
(a)提供如权利要求2所述的集成的蒸气相反应剂分配设备;
(b)将源化学品添加到一个或多个所述容器;
(c)将一个或多个所述容器内的源化学品加热到足以蒸发源化学品的温度以便提供蒸气相反应剂;
(d)经由所述载体气体供应管线和所述发泡器管将载体气体供应到一个或多个所述容器;
(e)独立于任何其它的所述容器,经由所述蒸气相反应剂排放管线,从所述容器之一收回蒸气相反应剂和载体气体;以及
(f)将蒸气相反应剂和载体气体供应到所述沉积腔室。
10.如权利要求8和9所述的方法,其特征在于,还包括从所述容器之一同时分配所述蒸气相反应剂和承载气体到所述沉积腔室,将含有低高度源化学品的另一容器从所述集成蒸气相反应剂分配设备分开,重新填充该容器,并且在所述集成蒸气相反应剂分配设备中更换所述容器。
11.一种集成的液体相反应剂分配设备,包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品高达填充高度,并且另外限定填充高度以上的内部气体容积;顶壁构件的一部分具有惰性气体供应入口开口,所述惰性气体经由其中供应到填充高度以上的内部气体容积,以便加压填充高度以上的内部气体容积,以及顶壁构件的一部分具有液体相反应剂出口开口,出口开口包括汲取管,汲取管延伸经过内部气体容积进入源化学品,并且液体相反应剂经由其中从所述设备分配,所述汲取管具有靠近顶壁构件的出口端和靠近底壁构件的入口端;
多个惰性气体供应/液体相反应剂输送歧管,每个所述惰性气体供应/液体相反应剂输送歧管互连;每个容器连接到至少一个惰性气体供应/液体相反应剂输送歧管;每个惰性气体供应/液体相反应剂输送歧管包括惰性气体供应管线和液体相反应剂排放管线;所述惰性气体供应管线从惰性气体供应入口开口向上并从顶壁构件外部延伸,以便将惰性气体输送到所述填充高度以上的内部气体容积,惰性气体供应管线其中包括一个或多个惰性气体流动控制阀,以便控制惰性气体在其中的流动;并且所述液体相反应剂排放管线从液体相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述填充高度以上的内部气体容积去除液体相反应剂,液体相反应剂排放管线其中任选地包括一个或多个液体相反应剂流动控制阀,以便控制液体相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述惰性气体供应/液体相反应剂输送歧管和每个所述容器连通,其方式是每个所述惰性气体供应/液体相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
12.如权利要求11所述的集成的液体相反应剂分配设备,其特征在于,还包括:多个源气体歧管;每个所述源气体歧管互连;每个源气体歧管连接到至少一个惰性气体供应/液体相反应剂输送歧管;每个源气体歧管包括与所述惰性气体供应/液体相反应剂输送歧管的所述惰性气体供应管线连续的惰性气体供应管线;惰性气体供应管线其中包括一个或多个惰性气体流动控制阀,以便控制惰性气体在其中的流动,以及用于监测和控制源气体歧管的压力的压力传感器。
13.如权利要求12所述的集成的液体相反应剂分配设备,其特征在于,还包括:
选自化学蒸气沉积腔室和原子层沉积腔室的沉积腔室;
将集成的液体相反应剂分配设备连接到蒸发设备上的液体相反应剂排放管线;
蒸发设备的一部分具有载体气体供应入口开口,载体气体可经由其中供应到所述蒸发设备,以便造成所述液体相反应剂的蒸气携带在所述载体气体内,从而形成蒸气相反应剂;
蒸发设备的一部分具有蒸气相反应剂出口开口,所述蒸气相反应剂可经由其中从蒸发设备分配;
载体气体供应管线从载体气体供应入口开口向上并从蒸发设备外部延伸以便将载体气体输送到所述蒸发设备,载体气体供应管线其中包括一个或多个气体流动控制阀,以便控制载体气体在其中的流动;
蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从蒸发设备外部延伸以便从所述蒸发设备将蒸气相反应剂移到所述沉积腔室,蒸气相反应剂排放管线其中包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;
容纳在沉积腔室内并与液体相反应剂排放管线形成接收关系定位的任选的可加热基座;以及
连接到沉积腔室上的流出物排放管线;
使得液体相反应剂经过液体相反应剂排放管线并进入沉积腔室,以便接触任选地位于可加热基座上的衬底,并且任何残留的流出物经由流出物排放管线排出。
14.一种将蒸气相反应剂输送到沉积腔室的方法,包括:
(a)提供如权利要求11所述的集成的液体相反应剂分配设备;
(b)将源化学品添加到一个或多个所述容器;
(c)任选地将一个或多个所述容器内的固体源化学品加热到足以熔化固体源化学品的温度以便提供液体相反应剂;
(d)经由所述惰性气体供应管线将惰性气体供应到一个或多个所述容器;
(e)独立于任何其它的所述容器,经由汲取管和所述液体相反应剂排放管线,从所述容器之一收回液体相反应剂;
(f)提供蒸发设备,包括:
容器,包括构造成形成内部容器隔室以便蒸发液体相反应剂的顶壁构件、侧壁构件和底壁构件;
所述液体相反应剂排放管线将集成的液体相反应剂分配设备连接到所述蒸发设备上;
蒸发设备的一部分具有载体气体供应入口开口,载体气体可经由其中供应到所述蒸发设备,以便造成所述液体相反应剂的蒸气携带在所述载体气体内,从而形成蒸气相反应剂;
蒸发设备的一部分具有蒸气相反应剂出口开口,所述蒸气相反应剂可经由其中从蒸发设备分配;
载体气体供应管线从载体气体供应入口开口向上并从蒸发设备外部延伸以便将载体气体输送到所述蒸发设备,载体气体供应管线其中包括一个或多个气体流动控制阀,以便控制载体气体在其中的流动;
蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从蒸发设备外部延伸以便从所述蒸发设备将蒸气相反应剂移到所述沉积腔室,蒸气相反应剂排放管线其中包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;
(g)将液体相反应剂供应到所述蒸发设备;
(h)将所述蒸发设备内的液体相反应剂加热到足以蒸发液体相反应剂的温度,以便提供所述蒸气相反应剂;
(i)经由所述载体气体供应管线将载体气体供应到蒸发设备;
(j)经由所述蒸气相反应剂排放管线从所述蒸发设备收回蒸气相反应剂和载体气体;以及
(k)将蒸气相反应剂和载体气体供应到所述沉积腔室。
15.如权利要求14所述的方法,其特征在于,还包括从所述容器之一同时分配所述液体相反应剂到所述蒸发设备,将含有低高度源化学品的另一容器从所述集成蒸气相反应剂分配设备分开,重新填充所述容器,并且在所述集成蒸气相反应剂分配设备中更换所述容器。
16.一种集成的蒸气相反应剂分配设备;包括:
多个容器,每个容器包括构造成形成内部容器隔室的顶壁构件、侧壁构件和底壁构件,以便保持源化学品;顶壁构件的一部分具有蒸气相反应剂出口开口,蒸气相反应剂可经由其中从所述容器分配;
多个蒸气相反应剂输送歧管,每个所述蒸气相反应剂输送歧管互连;每个容器连接到至少一个蒸气相反应剂输送歧管;每个蒸气相反应剂输送歧管包括蒸气相反应剂排放管线;并且所述蒸气相反应剂排放管线从蒸气相反应剂出口开口向上并从顶壁构件外部延伸,以便从所述容器去除蒸气相反应剂,蒸气相反应剂排放管线其中任选地包括一个或多个蒸气相反应剂流动控制阀,以便控制蒸气相反应剂在其中的流动;以及
一个或多个控制器,用于与每个所述蒸气相反应剂输送歧管和每个所述容器连通,其方式是每个所述蒸气相反应剂输送歧管可相互独立操作,并且每个所述容器可相互独立操作。
17.如权利要求16所述的集成的蒸气相反应剂分配设备,其特征在于,还包括多个载体气体供应歧管;每个所述载体气体供应歧管连接到至少一个蒸气相反应剂输送歧管;每个载体气体供应歧管包括载体气体供应管线;载体气体供应管线其中包括一个或多个载体气体流动控制阀,以便控制载体气体在其中的流动,以及用于监测和控制载体气体供应歧管的压力的压力传感器。
18.如权利要求17所述的集成的蒸气相反应剂分配设备,其特征在于,
选自化学蒸气沉积腔室和原子层沉积腔室的沉积腔室;
将集成的蒸气相反应剂分配设备连接到沉积腔室的蒸气相反应剂排放管线;
容纳在沉积腔室内并与蒸气相反应剂排放管线形成接收关系定位的任选的可加热基座;以及
连接到沉积腔室上的流出物排放管线;
使得蒸气相反应剂经过蒸气相反应剂排放管线并进入沉积腔室,以便接触任选地位于可加热基座上的衬底,并且任何残留的流出物经由流出物排放管线排出。
19.一种将蒸气相反应剂输送到沉积腔室的方法,包括:
(a)提供如权利要求16所述的集成的蒸气相反应剂分配设备;
(b)将源化学品添加到一个或多个所述容器;
(c)任选地将一个或多个所述容器内的源化学品加热到足以蒸发源化学品的温度以便提供蒸气相反应剂;
(d)独立于任何其它的所述容器,经由所述蒸发相反应剂排放管线,从所述容器之一收回蒸气相反应剂;
(e)经由所述载体气体供应管线将载体气体供应到一个或多个所述蒸气相反应剂输送歧管,以便与所述蒸气相反应剂混合;以及
(f)将蒸气相反应剂和载体气体供应到所述沉积腔室。
20.如权利要求19所述的方法,其特征在于,还包括将来自于所述容器之一的所述蒸气相反应剂和来自于载体气体供应歧管之一的载体气体同时分配到所述沉积腔室,将含有低高度源化学品的另一容器从所述集成的蒸气相反应剂分配设备分开,重新填充所述容器,并且在所述集成蒸气相反应剂分配设备中更换所述容器。
CNA2009100075488A 2008-02-22 2009-02-23 多安瓿输送系统 Pending CN101514446A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3057808P 2008-02-22 2008-02-22
US61/030578 2008-02-22

Publications (1)

Publication Number Publication Date
CN101514446A true CN101514446A (zh) 2009-08-26

Family

ID=40810204

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2009100075488A Pending CN101514446A (zh) 2008-02-22 2009-02-23 多安瓿输送系统

Country Status (6)

Country Link
US (4) US20090214777A1 (zh)
JP (1) JP2011513950A (zh)
KR (1) KR20100126423A (zh)
CN (1) CN101514446A (zh)
TW (1) TW200949123A (zh)
WO (1) WO2009105376A2 (zh)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103688339A (zh) * 2011-07-22 2014-03-26 应用材料公司 用于ald/cvd工艺的反应物输送系统
CN105003825A (zh) * 2014-04-18 2015-10-28 应用材料公司 自动再填充安瓿及其使用方法
CN105390414A (zh) * 2014-08-22 2016-03-09 朗姆研究公司 按需填充安瓿
CN106169432A (zh) * 2015-05-22 2016-11-30 朗姆研究公司 按需填充的安瓿再填充
CN108167648A (zh) * 2010-09-16 2018-06-15 索尔维公司 氟化氢供应单元
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
CN108980633A (zh) * 2018-08-27 2018-12-11 哈尔滨工业大学水资源国家工程研究中心有限公司 水力降温红外热点天线
CN109477216A (zh) * 2016-03-28 2019-03-15 应用材料公司 去除气体管线内的沉积后残余的前驱物的设备和方法
CN109609931A (zh) * 2018-12-27 2019-04-12 北京北方华创微电子装备有限公司 原子层沉积装置及方法
CN110616403A (zh) * 2018-06-18 2019-12-27 环球展览公司 用于热激发oled材料的连续材料源
CN112283590A (zh) * 2020-11-17 2021-01-29 江苏雅克福瑞半导体科技有限公司 一种用于制造半导体的化学品供应系统及其工作方法
CN112283587A (zh) * 2020-11-17 2021-01-29 江苏雅克福瑞半导体科技有限公司 一种用于制造半导体的化学品供应系统及其净化方法
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
TWI757998B (zh) * 2019-12-18 2022-03-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 固體和液體材料之蒸氣輸送系統
CN115254815A (zh) * 2022-06-28 2022-11-01 上海至纯系统集成有限公司 一种液态前驱体供液设备
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102007003541A1 (de) * 2007-01-24 2008-07-31 Robert Bosch Gmbh Elektronisches Bauteil
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
JP5465954B2 (ja) * 2008-09-29 2014-04-09 株式会社日立国際電気 基板処理装置及び判断プログラムを格納する記憶媒体及び基板処理装置の表示方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
US10689753B1 (en) * 2009-04-21 2020-06-23 Goodrich Corporation System having a cooling element for densifying a substrate
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5650234B2 (ja) * 2009-11-16 2015-01-07 エフ・イ−・アイ・カンパニー ビーム処理システムに対するガス送達
JP5384770B2 (ja) * 2011-03-15 2014-01-08 シャープ株式会社 蒸着粒子射出装置および蒸着装置
US8927066B2 (en) * 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery
CN103518165B (zh) 2011-05-10 2016-06-08 株式会社富士金 带有流量监测器的压力式流量控制装置
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
KR101928120B1 (ko) 2011-05-28 2018-12-11 엔테그리스, 아이엔씨. 퍼지 능력을 갖춘 리필 가능한 앰플
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5755958B2 (ja) 2011-07-08 2015-07-29 株式会社フジキン 半導体製造装置の原料ガス供給装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5652960B2 (ja) * 2011-08-01 2015-01-14 株式会社フジキン 原料気化供給装置
JP5647083B2 (ja) 2011-09-06 2014-12-24 株式会社フジキン 原料濃度検出機構を備えた原料気化供給装置
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130152857A1 (en) * 2011-12-15 2013-06-20 Intermolecular, Inc. Substrate Processing Fluid Delivery System and Method
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140137961A1 (en) * 2012-11-19 2014-05-22 Applied Materials, Inc. Modular chemical delivery system
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9447497B2 (en) 2013-03-13 2016-09-20 Applied Materials, Inc. Processing chamber gas delivery system with hot-swappable ampoule
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US20150079283A1 (en) * 2013-09-13 2015-03-19 LGS Innovations LLC Apparatus and method to deposit doped films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US20150259797A1 (en) * 2014-03-17 2015-09-17 Jiangsu Nata Opto-electronic Material Co., Ltd. Liquid-Metal Organic Compound Supply System
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9605346B2 (en) * 2014-03-28 2017-03-28 Lam Research Corporation Systems and methods for pressure-based liquid flow control
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
EP3162914A1 (en) * 2015-11-02 2017-05-03 IMEC vzw Apparatus and method for delivering a gaseous precursor to a reaction chamber
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10460960B2 (en) * 2016-05-09 2019-10-29 Applied Materials, Inc. Gas panel apparatus and method for reducing exhaust requirements
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170362701A1 (en) * 2016-06-16 2017-12-21 Veeco Instruments Inc. Central source delivery for chemical vapor deposition systems
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) * 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10947621B2 (en) * 2017-10-23 2021-03-16 Applied Materials, Inc. Low vapor pressure chemical delivery
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11021792B2 (en) * 2018-08-17 2021-06-01 Lam Research Corporation Symmetric precursor delivery
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129502B (en) * 2019-04-25 2022-03-31 Beneq Oy Feedstock supply cabinet
JP2020180354A (ja) * 2019-04-26 2020-11-05 東京エレクトロン株式会社 原料ガス供給システム及び原料ガス供給方法
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP6961161B2 (ja) 2019-05-14 2021-11-05 日本エア・リキード合同会社 固体材料容器用キャビネット
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
JP7478028B2 (ja) 2020-05-27 2024-05-02 大陽日酸株式会社 固体材料供給装置
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR102203976B1 (ko) * 2020-09-14 2021-01-18 포이스주식회사 에어포켓 제거 기능을 갖는 화학약품 공급시스템 및 공급방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3266311A (en) * 1964-05-12 1966-08-16 Delavan Mfg Company Inc Cryogenic liquid level sensing apparatus
US3329447A (en) * 1965-01-18 1967-07-04 Gifford L Hitz Self-energizing seal for high pressure flanged connections
DE2219870C2 (de) * 1972-04-22 1974-05-02 Troisdorfer Bau- Und Kunststoff Gmbh, 5210 Troisdorf Behälter, insbesondere Kunststoffoder Stahlbehälter
US3826139A (en) * 1973-03-19 1974-07-30 Laval Turbine Liquid level indicating apparatus
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4676404A (en) * 1983-10-17 1987-06-30 Nippon Zeon Co., Ltd. Method and apparatus for feeding drug liquid from hermetic returnable can
US5102010A (en) * 1988-02-16 1992-04-07 Now Technologies, Inc. Container and dispensing system for liquid chemicals
US4899585A (en) * 1988-07-19 1990-02-13 Semi-Gas Systems, Inc. Liquid level detector and method for a vapor deposition container
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5280012A (en) * 1990-07-06 1994-01-18 Advanced Technology Materials Inc. Method of forming a superconducting oxide layer by MOCVD
DE69218152T2 (de) * 1991-12-26 1997-08-28 Canon Kk Herstellungsverfahren einer niedergeschlagenen Schicht mittels CVD, unter Verwendung von flüssigem Rohstoff und dazu geeignete Vorrichtung
EP0555614A1 (en) * 1992-02-13 1993-08-18 International Business Machines Corporation Metal-organic gas supply for MOVPE and MOMBE
JPH06291040A (ja) * 1992-03-03 1994-10-18 Rintetsuku:Kk 液体気化供給方法と液体気化供給器
US5526956A (en) * 1992-09-11 1996-06-18 Now Technologies, Inc. Liquid chemical dispensing and recirculating system
US5335821A (en) * 1992-09-11 1994-08-09 Now Technologies, Inc. Liquid chemical container and dispensing system
US6260588B1 (en) * 1993-04-28 2001-07-17 Advanced Technology Materials, Inc. Bulk chemical delivery system
US6029717A (en) * 1993-04-28 2000-02-29 Advanced Delivery & Chemical Systems, Ltd. High aspect ratio containers for ultrahigh purity chemicals
US5366119A (en) * 1993-05-26 1994-11-22 Kline James B Dispenser bottle with internal pump
US5388574A (en) * 1993-07-29 1995-02-14 Ingebrethsen; Bradley J. Aerosol delivery article
JPH07211646A (ja) * 1994-01-14 1995-08-11 Mitsubishi Electric Corp 材料供給装置
US5366120A (en) * 1994-04-19 1994-11-22 Tonis Tollasepp Paint pump
TW338174B (en) * 1995-01-06 1998-08-11 Tokyo Electron Co Ltd Apparatus for supplying a treatment material
US5749500A (en) * 1996-04-23 1998-05-12 Kraus; Joey Liquid retrieving adaptor for cylindrical containers
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6077356A (en) * 1996-12-17 2000-06-20 Advanced Technology Materials, Inc. Reagent supply vessel for chemical vapor deposition
US6296026B1 (en) * 1997-06-26 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6296025B1 (en) * 1997-07-11 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6637475B2 (en) * 1997-07-11 2003-10-28 Advanced Technology Materials, Inc. Bulk chemical delivery system
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6245151B1 (en) * 1998-07-17 2001-06-12 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
KR100273474B1 (ko) * 1998-09-14 2000-12-15 이경수 화학기상 증착장치의 가스 공급장치와 그 제어방법
US6257446B1 (en) * 1999-02-18 2001-07-10 Advanced Micro Devices, Inc. Liquid chemical container with integrated fluid reservoir
US7011710B2 (en) * 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6604555B2 (en) * 2000-08-04 2003-08-12 Arch Specialty Chemicals, Inc. Automatic refill system for ultra pure or contamination sensitive chemicals
US6609632B2 (en) * 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6736154B2 (en) * 2001-01-26 2004-05-18 American Air Liquide, Inc. Pressure vessel systems and methods for dispensing liquid chemical compositions
DE10137673A1 (de) * 2001-08-01 2003-02-27 Infineon Technologies Ag Vorrichtung zur Zufuhr von Gasgemischen zu einem CVD-Reaktor
DE10200786B4 (de) * 2002-01-11 2004-11-11 Dockweiler Ag Sicherheitsbehälter
US6953047B2 (en) * 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
JP4556205B2 (ja) * 2003-03-28 2010-10-06 ニチアス株式会社 金属ガスケット
JP4185015B2 (ja) * 2003-05-12 2008-11-19 東京エレクトロン株式会社 気化原料の供給構造、原料気化器及び反応処理装置
US6955198B2 (en) * 2003-09-09 2005-10-18 Advanced Technology Materials, Inc. Auto-switching system for switch-over of gas storage and dispensing vessels in a multi-vessel array
US7334678B2 (en) * 2004-02-13 2008-02-26 Boston Scientific Scimed, Inc. Guidewire hoops and methods pertaining thereto
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US20070175392A1 (en) * 2006-01-27 2007-08-02 American Air Liquide, Inc. Multiple precursor dispensing apparatus

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108167648A (zh) * 2010-09-16 2018-06-15 索尔维公司 氟化氢供应单元
CN103688339A (zh) * 2011-07-22 2014-03-26 应用材料公司 用于ald/cvd工艺的反应物输送系统
CN103688339B (zh) * 2011-07-22 2016-09-28 应用材料公司 用于ald/cvd工艺的反应物输送系统
CN105003825A (zh) * 2014-04-18 2015-10-28 应用材料公司 自动再填充安瓿及其使用方法
CN105003825B (zh) * 2014-04-18 2021-06-04 应用材料公司 自动再填充安瓿及其使用方法
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US11959175B2 (en) 2014-08-22 2024-04-16 Lam Research Corporation Fill on demand ampoule refill
US11180850B2 (en) 2014-08-22 2021-11-23 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
CN105390414A (zh) * 2014-08-22 2016-03-09 朗姆研究公司 按需填充安瓿
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
CN105390414B (zh) * 2014-08-22 2018-07-10 朗姆研究公司 按需填充安瓿
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
CN111508870B (zh) * 2015-05-22 2024-03-01 朗姆研究公司 按需填充的安瓿再填充
CN111508870A (zh) * 2015-05-22 2020-08-07 朗姆研究公司 按需填充的安瓿再填充
CN106169432B (zh) * 2015-05-22 2020-03-17 朗姆研究公司 按需填充的安瓿再填充
CN106169432A (zh) * 2015-05-22 2016-11-30 朗姆研究公司 按需填充的安瓿再填充
CN109477216A (zh) * 2016-03-28 2019-03-15 应用材料公司 去除气体管线内的沉积后残余的前驱物的设备和方法
CN110616403B (zh) * 2018-06-18 2023-06-16 环球展览公司 用于热激发oled材料的连续材料源
CN110616403A (zh) * 2018-06-18 2019-12-27 环球展览公司 用于热激发oled材料的连续材料源
CN108980633A (zh) * 2018-08-27 2018-12-11 哈尔滨工业大学水资源国家工程研究中心有限公司 水力降温红外热点天线
CN108980633B (zh) * 2018-08-27 2023-09-29 哈尔滨工业大学水资源国家工程研究中心有限公司 水力降温红外热点天线
CN109609931B (zh) * 2018-12-27 2021-05-07 北京北方华创微电子装备有限公司 原子层沉积装置及方法
CN109609931A (zh) * 2018-12-27 2019-04-12 北京北方华创微电子装备有限公司 原子层沉积装置及方法
TWI757998B (zh) * 2019-12-18 2022-03-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 固體和液體材料之蒸氣輸送系統
CN112283587A (zh) * 2020-11-17 2021-01-29 江苏雅克福瑞半导体科技有限公司 一种用于制造半导体的化学品供应系统及其净化方法
CN112283590A (zh) * 2020-11-17 2021-01-29 江苏雅克福瑞半导体科技有限公司 一种用于制造半导体的化学品供应系统及其工作方法
CN115254815A (zh) * 2022-06-28 2022-11-01 上海至纯系统集成有限公司 一种液态前驱体供液设备

Also Published As

Publication number Publication date
US20090211525A1 (en) 2009-08-27
US20090214777A1 (en) 2009-08-27
KR20100126423A (ko) 2010-12-01
TW200949123A (en) 2009-12-01
WO2009105376A2 (en) 2009-08-27
US20090214778A1 (en) 2009-08-27
US20090214779A1 (en) 2009-08-27
JP2011513950A (ja) 2011-04-28
WO2009105376A3 (en) 2010-06-03

Similar Documents

Publication Publication Date Title
CN101514446A (zh) 多安瓿输送系统
JP6909883B2 (ja) 基材物品および装置の特性および性能を増強するためのコーティング
CN102272351B (zh) 试剂分配装置及输送方法
CN101960564B (zh) 用于安瓿的加热阀歧管
TWI408250B (zh) 分配裝置及其使用方法
KR101485615B1 (ko) 반응물 분배 장치 및 전달 방법
JP4397188B2 (ja) 気化液体反応物のパルス的供給の方法および装置
KR101160724B1 (ko) 기화기 및 반도체 처리 시스템
CN101608734B (zh) 试剂分配装置及输送方法
JP6425850B1 (ja) 固体材料容器およびその固体材料容器に固体材料が充填されている固体材料製品
KR20140050681A (ko) Ald/cvd 프로세스들을 위한 반응물 전달 시스템
CN101569841B (zh) 试剂分配装置及输送方法
KR20200070318A (ko) 박막 제조를 위한 공급원 화학물질의 통합된 합성, 전달 및 처리 방법 및 시스템
WO2021067764A1 (en) Supply system for low volatility precursors
KR100626366B1 (ko) 기상 증착 시스템
JPH03141192A (ja) 気相成長装置および気相成長方法
KR20240074489A (ko) 세정 장치를 구비한 기화 시스템 및 이를 세정하는 세정 방법
KR20240074503A (ko) 세정 장치를 구비한 기화 시스템 및 이를 세정하는 세정 방법
KR20070038262A (ko) 원자층 적층 장치
KR20050049696A (ko) 퍼징유닛을 구비한 원자층 증착설비
KR20090108556A (ko) 반응물 분배 장치 및 송출 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20090826