CN103688339A - 用于ald/cvd工艺的反应物输送系统 - Google Patents

用于ald/cvd工艺的反应物输送系统 Download PDF

Info

Publication number
CN103688339A
CN103688339A CN201280035407.7A CN201280035407A CN103688339A CN 103688339 A CN103688339 A CN 103688339A CN 201280035407 A CN201280035407 A CN 201280035407A CN 103688339 A CN103688339 A CN 103688339A
Authority
CN
China
Prior art keywords
valve
ampoule
line
outlet
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280035407.7A
Other languages
English (en)
Other versions
CN103688339B (zh
Inventor
肯里克·乔伊
约瑟夫·尤多夫斯凯
史蒂芬·D·马库斯
埃内斯托·乌略亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103688339A publication Critical patent/CN103688339A/zh
Application granted granted Critical
Publication of CN103688339B publication Critical patent/CN103688339B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/6416With heating or cooling of the system
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86911Sequential distributor or collector type

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

本发明提供用于产生化学前驱物的装置和方法。所述装置包括连接到安瓿的入口管线和连接到安瓿的出口管线。所述入口管线具有入口阀门以控制进入安瓿内的载气的流量,以及所述出口管线具有出口阀门以控制引出安瓿的流量。旁通阀门允许载气绕过所述安瓿以及净化所述出口阀门,而无需使气体流入安瓿内。

Description

用于ALD/CVD工艺的反应物输送系统
背景
本发明的实施例一般涉及用于沉积材料的装置和方法。更具体来说,本发明的实施例是针对进行线性往复运动的原子层沉积腔室。另外,本发明的实施例可应用于旋转往复运动和静止的沉积腔室。
集成电路已经发展成在单一芯片上包括数百万晶体管、电容器和电阻器的复合装置。芯片设计的发展持续地需要更快的电路系统和更大的电路密度,更大的电路密度日益需要精确的制造工艺。对基板的精确处理需要在处理期间使用的流体的输送过程中精确控制温度、流量和压力。
化学气相沉积(chemical vapor deposition;CVD)和原子层沉积(atomic layerdeposition;ALD)是用于在基板上形成或沉积各种材料的气相沉积工艺。通常,CVD和ALD工艺涉及将气态反应物输送到基板表面,在基板表面处,在有利于反应热力学的温度和压力条件下会发生化学反应。使用CVD工艺或ALD工艺可以形成的层的类型和组成受限于将化学反应物或化学前驱物输送到基板表面的能力。在CVD和ALD应用期间,已经通过输送在载气内的液态前驱物而成功地使用了各种液态前驱物。
在一些情形中,在有助于气化易挥发的液态前驱物的条件下,载气穿过含有所述前驱物的加热容器或加热罐(诸如,安瓿或水浴瓶)。对于高蒸汽压液态前驱物,所述载气可以穿过保持在室温以下温度的安瓿或水浴瓶。在其它情况下,在有助于使固态前驱物升华的条件下,载气穿过含有所述固态前驱物的加热容器。升华工艺通常是在装载或充填有固态前驱物的容器中执行的,并且加热所述容器壁以使所述固态前驱物材料升华,同时产生气态前驱物。在任一情况下,所述载气都与气化的前驱物结合以形成工艺气体,所述工艺气体从容器经由专用导管或气体管线吸入到反应腔室中。
利用固态前驱物的气相沉积工艺可能会遭遇若干问题。尽管固态前驱物应设置为充分加热以升华变成气态,但是所述固态前驱物如果暴露于过高热量就可能会分解或者结块。金属有机固态前驱物通常是非常昂贵的、特别容易发生热分解,并且在升华工艺期间,所述金属有机固态前驱物一般必须维持在狭窄的温度和压力范围内。一旦固态前驱物被分解,被分解的固态前驱物就可能会污染容器中剩余的前驱物、导管和阀门构成的输送系统、工艺腔室以及基板。此外,过分加热固态前驱物可能会在工艺气体内提供过高的前驱物浓度,这可能会导致前驱物未被使用而浪费或者所述前驱物凝结在输送管线内或所述基板上。
或者,所述固态前驱物如果暴露于太少的热量,就可能不会升华。当载气流过容器并碰撞固态前驱物时,来自所述固态前驱物的颗粒可能会被吸入所述载气中并传递到工艺腔室内。这些不希望得到的固态或液态颗粒可能会变成对于输送系统、工艺腔室或基板的污染源。经由包括与固态前驱物混合的液态载体材料,在本领域中已经解决了所述颗粒污染问题。然而,液态载体材料和固态前驱物的混合物可能仅在有限的温度和压力范围内是有益的,因为所述液态载体材料可能会蒸发和变成所述输送系统、工艺腔室内或者所述基板上的污染物。
因此,存在对在安瓿或水浴瓶内形成工艺气体和提供所述工艺气体到工艺腔室的装置和方法的改善的持续需要。
发明内容
本发明的一些实施例是针对用于产生化学前驱物的装置。所述装置包括入口管线、出口管线和净化管线。所述入口管线与载气流体连通,并且所述入口管线具有安瓿入口阀门以控制进入安瓿内的载气的流量。所述出口管线具有出口阀门以控制引出安瓿的前驱物蒸汽和载气的流量。旁通阀门在所述安瓿出口阀门的下游。所述旁通阀门允许所述载气从所述入口管线流出以净化所述出口管线,而无需使载气流入安瓿。所述净化管线包括第二出口阀门,所述第二出口阀门与所述净化管线流体连通,以使净化气体流到工艺腔室内。所述装置进一步包括第三出口阀门,以使所述化学前驱物从安瓿绕过工艺腔室而流到前极管线。
在一些实施例中,旁通阀门在安瓿入口阀门的上游。在一或多个实施例中,旁通阀门在安瓿入口阀门的下游。
在一些实施例中,第二出口阀门包括与净化管线流体连通的第一输入和与出口管线流体连通的第二输入。在一或多个实施例中,第二阀门是三通阀门,所述三通阀门可以将仅来自出口管线的流或仅来自净化管线的流,或来自净化管线和出口管线的流的混合传递到工艺腔室。
一些实施例进一步包括安瓿,所述安瓿具有顶部、底部和主体,所述主体界定安瓿内部体积,所述安瓿包括入口导管和出口导管。在一或多个实施例中,所述安瓿进一步包括至少一个附加的导管,所述附加的导管具有隔离阀门,并且所述附加的导管与安瓿的内部体积流体连通。在一些实施例中,所述安瓿含有固态前驱物、液态前驱物和气态前驱物中的一或多种。
在一些实施例中,所述工艺腔室是化学气相沉积腔室或者原子层沉积腔室。
在一些实施例中,所述入口管线和所述净化管线中的一或多个包括加热器。一或多个实施例进一步地包括压力计,所述压力计在每一加热器的上游。
在一些实施例中,所述入口管线包括排气管线,所述排气管线在安瓿的上游。在一或多个实施例中,所述排气管线包括回压控制器,所述回压控制器在隔离阀门上游并且与隔离阀门流体连通。在一些实施例中,所述排气管线包括手控孔板,所述手控孔板在隔离阀门的上游并且与隔离阀门流体连通。
本发明的实施例是针对一种用于产生化学前驱物的装置。所述装置包括入口管线、出口管线、净化管线和旁通管线。所述入口管线包括第一加热器和第一阀门。所述入口管线配置成连接到安瓿的入口导管。所述出口管线包括第一三通阀门和第二三通阀门。所述第一三通阀门具有一个入口和两个出口,其中两个出口中的一个出口连接到排气装置,并且另一个出口与第二三通阀门流体连通。第二三通阀门具有两个入口和一个出口,所述第一入口与第一三通阀门的出口流体连通,并且所述第二入口与净化管线流体连通。所述出口管线配置成在所述第一三通阀门的上游连接到所述安瓿的出口导管。所述净化管线包括第二加热器和第二阀门,并且所述净化管线与所述第二三通阀门的一个入口流体连通。所述旁通管线包括旁通阀门,并且所述旁通管线在所述第一加热器和所述第一阀门的下游与入口管线流体连通,以及在所述第一三通阀门的上游与出口管线流体连通。所述旁通管线配置成允许气流从入口管线传递到出口管线,而无需穿过安瓿。
在一些实施例中,第一加热器在第一阀门的上游并且与所述第一阀门流体连通。在一或多个实施例中,第二加热器在第二阀门的上游并且与所述第二阀门流体连通。在一些实施例中,第一加热器在第一阀门的下游并且与所述第一阀门流体连通。
在一些实施例中,所述装置进一步包括在第一加热器上游的排气管线,并且与所述入口管线流体连通。在一些实施例中,所述排气管线包括回压控制器,所述回压控制器在隔离阀门的上游并且与隔离阀门流体连通。在一或多个实施例中,所述排气管线包括手控孔板,所述手控孔板在隔离阀门的上游并且与隔离阀门流体连通。
在一些实施例中,所述装置进一步包括安瓿,所述安瓿具有顶部、底部和主体,所述主体界定安瓿内部体积,所述安瓿包括入口导管和出口导管。在一些实施例中,所述安瓿进一步包括至少一个附加的导管,所述导管具有隔离阀门,并且所述附加的导管与安瓿的内部体积流体连通。在一或多个实施例中,所述安瓿含有固态前驱物。
在一些实施例中,所述装置进一步包括第一压力计,所述第一压力计在所述第一加热器和所述第一阀门的上游。在一些实施例中,所述装置进一步包括第二压力计,所述第二压力计在所述第二加热器和所述第二阀门的上游。
在一些实施例中,所述第二三通阀门的出口与工艺腔室流体连通。在一些实施例中,所述工艺腔室是化学气相沉积腔室或者原子层沉积腔室。
本发明的附加实施例是针对用于产生化学前驱物的装置。所述装置包括入口管线、出口管线、净化管线和旁通管线。所述入口管线包括第一加热器,所述第一加热器在第一阀门的上游并且和所述第一阀门流体连通。所述入口管线配置成连接到安瓿的入口导管。所述出口管线包括第一三通阀门和第二三通阀门。所述第一三通阀门具有一个入口和两个出口,其中两个出口中的一个出口连接到排气装置并且另一个出口与第二三通阀门流体连通。第二三通阀门具有两个入口和一个出口,所述第一入口与第一三通阀门的出口流体连通,并且所述第二入口与净化管线流体连通。所述出口管线配置成在所述第一三通阀门的上游连接到所述安瓿的出口导管。所述净化管线包括第二加热器和第二阀门。所述净化管线与所述第二三通阀门的一个入口流体连通。所述旁通管线包括旁通阀门,并且所述旁通管线在所述第一加热器和所述第一阀门的下游与所述入口管线流体连通。所述出口管线在所述第一三通阀门的上游。所述旁通管线配置成允许气流从入口管线传递到出口管线,而无需穿过安瓿。
本发明的其他实施例是针对用于产生化学前驱物的装置。所述装置包括入口管线、出口管线、旁通管线、净化管线和排气管线。所述入口管线包括第一阀门,并且所述入口管线配置成在所述第一阀门的下游连接到安瓿的入口导管。所述出口管线配置成连接到所述安瓿的出口导管,并且与三通阀门流体连通。所述旁通管线包括旁通阀门,并且所述旁通管线在所述第一阀门的下游与所述入口管线流体连通,并且与所述出口管线流体连通。所述旁通管线配置成允许气流从入口管线传递到出口管线,而无需穿过安瓿。所述净化管线包括加热器,并且所述净化管线在所述加热器的下游与所述三通阀门流体连通。所述排气管线在所述第一阀门的上游与所述入口管线流体连通,并且在所述三通阀门的上游与所述出口管线流体连通。所述排气管线包括至少两个阀门。
所述装置的一些实施例进一步包括至少一个压力计,所述压力计连接到所述净化管线和所述入口管线中的一或多个。在一些实施例中,所述安瓿包括液态蒸汽源。在一或多个实施例中,所述三通阀门与工艺腔室流体连通。在一或多个实施例中,所述工艺腔室是化学气相沉积腔室或原子层沉积腔室。
附图说明
因此,可详细地理解本发明的上述特征结构的方式,即上文简要概述的本发明的更具体描述可参照实施例进行,这些实施例图示在附图中。然而,应注意,附图仅图示本发明的典型实施例,且因此不应被视为本发明范围的限制,因为本发明可允许其他等效的实施例。
图1图示根据本发明的一或多个实施例的反应物输送系统的示意图;
图2图示根据本发明的一或多个实施例的反应物输送系统的示意图;
图3图示根据本发明的一或多个实施例的反应物输送系统的示意图;
图4图示根据本发明的一或多个实施例的反应物输送系统的示意图;以及
图5图示根据本发明的一或多个实施例的反应物输送系统的示意图。
具体实施方式
本发明的实施例是针对通过在载气/推动气体(例如,氮气或氩气)流入所述安瓿之前稳定化所述载气/推动气体的压力而改善前驱物输送系统的装置和方法。稳定化所述压力可以最小化和潜在地消除前驱物的夹带,并且可以提供更一致的前驱物用量到所述工艺腔室。这还可以去除清除(dump)前驱物的需要,这将会帮助减少拥有成本。本发明的实施例可以使用固态前驱物或者液体,以水浴瓶模式或蒸汽吸取模式任一种使用所述固态前驱物或者液体。在使用更高蒸汽压前驱物(例如,SiCl4、TiCl4、TMA)的液体输送系统中,稳定化安瓿中的压力对于确保一致、可重复的定量给料可能很重要。
图1图示典型的工艺气体输送系统102的简化示意图,所述工艺气体输送系统102适于产生含有化学前驱物的工艺气体,并且所述工艺气体输送系统102一般包括工艺腔室106和载气源105,所述工艺腔室106和载气源105耦合到气体面板104,所述气体面板104的元件经由控制器150控制。气体面板104一般控制各种的工艺气体和载气被输送到工艺腔室106时的速率和压力。工艺腔室106可以是用于进行含有液态、气态或等离子态的经气化化学前驱物的气相沉积工艺或热工艺的腔室。工艺腔室106一般是化学气相沉积(chemicalvapor deposition;CVD)腔室、原子层沉积(atomic layer deposition;ALD)腔室,或者所述CVD腔室和ALD腔室的变型。
图1图示用于产生化学前驱物的装置10的广义方面。所述装置中以虚线显示安瓿20。在一些实施例中,所述安瓿20意图和所述装置10一起使用,但是并非所述装置10的一部分。所述安瓿20具有顶部21、底部22和主体23,所述主体23界定内部体积23。所述安瓿20包括入口25和出口26,并且还可以包括至少一个附加的导管27,所述导管27与所述安瓿的内部体积24流体连通。所述附加的导管27可包括隔离阀门27a,并且所述附加的导管27可用于使所述安瓿20加压或减压。所述入口25可包括入口隔离阀门25a,以在入口不连接安瓿时将入口与周围环境隔离。所述出口26可包括出口隔离阀门26a,以在出口不连接安瓿时将出口与周围环境隔离。在将所述安瓿20连接到发生装置10之后,所述入口隔离阀门25a和所述出口隔离阀门26a可以开启以允许与所述安瓿20的内部体积24流体连通。
所述安瓿可以含有适合于在预定沉积工艺中使用的任何类型的前驱物。在一些实施例中,所述安瓿20含有固态前驱物和液态前驱物中的一或多种。所述固态前驱物或者液态前驱物可以通过将顶部21从主体23分离,或者通过附加的导管27而被添加到安瓿中。在一或多个实施例中,所述安瓿20包括固态前驱物。
所述装置10包括入口管线30,所述入口管线30与载气或载气源流体连通。当安瓿20存在时,所述入口管线30具有安瓿入口阀门31,以控制进入安瓿20内的载气流量。当安瓿20存在时,所述装置10还包括出口管线40,所述出口管线40包括出口阀门41,以控制引出所述安瓿20的前驱物蒸汽和载气的流量。
旁通管线50连接所述入口管线30和所述出口管线40。当安瓿20存在时,所述旁通管线50包括在所述安瓿出口阀门26a下游的旁通阀门51。所述旁通阀门51允许载气从所述入口管线30流出以净化所述出口管线40,而无需使载气流到安瓿20内。例如,当不存在安瓿20时,所述旁通阀门51可以开放以允许载气的流动。一些实施例中的旁通管线50和旁通阀门51是在安瓿入口阀门31的上游。在一或多个实施例中,所述旁通管线50在安瓿入口阀门31的下游连接到入口管线30。在一些实施例中,旁通管线50和旁通阀门51在安瓿出口阀门41的下游与出口管线40连通。在一或多个实施例中,所述旁通管线50在所述安瓿出口阀门41的上游连接到所述出口管线40。在一些实施例中,所述旁通管线50在所述安瓿入口阀门31的上游连接到所述入口管线30并且与所述入口管线30流体连通,以及所述旁通管线50在所述安瓿出口阀门41的下游连接到所述出口管线40并且与所述出口管线40流体连通。在一或多个实施例中,所述旁通管线50在所述安瓿入口阀门31的下游连接到所述入口管线30并且与所述入口管线30流体连通,以及所述旁通管线50在所述安瓿出口阀门41的上游连接到所述出口管线40并且与所述出口管线40流体连通。
净化管线60与净化气体或净化气源流体连通。所述净化管线60包括第二出口阀门61,所述第二出口阀门61与所述净化管线60流体连通以允许净化气体流到工艺腔室70。在一些实施例中,所述第二出口阀门61包括第一输入61a和第二输入61b,所述第一输入61a与所述净化管线60流体连通,所述第二输入61b与所述出口管线40流体连通。所述第二出口阀门61则还可以包括第一出口61c以引导流朝向所述工艺腔室70。在一些实施例中,第二阀门61是三通阀门或者比例调节阀门,所述第二阀门61可以让流仅从出口管线40和净化管线60中的一个传递到工艺腔室70,或者可以混合来自出口管线40和净化管线60的流。混合流可以在完全来自出口管线40到完全来自净化管线60之间的范围内,以及包括两者之间的所有状态。
第三出口阀门80与所述出口管线40流体连通,并且第三出口阀门80允许来自所述安瓿20的化学前驱物和/或载气流绕过工艺腔室70而被引导到排气管线(前极管线)。在一些实施例中,第三出口阀门80在旁通管线50的下游,所述旁通管线50与所述出口管线40流体连通。这些配置允许当不存在安瓿20时气体被引导到所述前极管线。在一或多个实施例中,第三出口阀门80在旁通管线50的上游,所述旁通管线50与所述出口管线40流体连通。
在一些实施例中,当安瓿20存在时,入口管线30包括在所述安瓿20上游的排气管线90。所述排气管线包括排气装置91,所述排气装置91与所述排气管线流体连通。一些实施例中的排气装置91是定位在隔离阀门上游并且与隔离阀门流体连通的回压控制器(见图3)。在一或多个实施例中,排气装置91包括手控孔板,所述手控孔板在隔离阀门上游并且与所述隔离阀门流体连通(见图4)。
在如图2所示的配置中,控制器150包括中央处理器(central processing unit;CPU)152、存储器154,以及支持电路156。中央处理器152可以是可在工业设置中用于控制各种腔室和子处理器的任何形式的计算机处理器中的一种。存储器154耦合到CPU152,并且存储器154可为随时可用的存储器中的一或多种,诸如随机存取存储器(random access memory;RAM)、只读存储器(read onlymemory;ROM)、闪存、光盘、软盘、硬盘,或任何其他形式的本端或远端数字存储器。支持电路156耦合到CPU152,以用传统方式支持CPU152。这些电路包括高速缓冲存储器、电源、同步脉冲电路、输入/输出电路系统和子系统等等。
流体输送线路136一般意欲根据需要而流体地耦合载气源105、安瓿100和工艺腔室106,以操作工艺腔室106。载气源105可以是本端容器、远端容器或集中式设备源,所述载气源105贯穿所述设备(例如,室内气源)供给载气。载气源105通常供给诸如氮气、氢气、氩气、氦气,或者这些气体的组合的载气。当需要使用专门的净化流体(诸如,净化液体)时,附加的净化流体源(未图示)也可以流体地耦合到流体输送线路136。流体输送线路136通常包括流量控制器120,所述流量控制器120设置在载气源105和结130之间,并且适用于调制穿过流体输送线路136的载气或其他流体的流量。流量控制器120可以是比例调节阀门、调制阀门、针孔阀门、调节器、质量流量控制器(massflow controller;MFC)等等。结130将流体输送线路136分隔为气体产生管线138和旁通管线140。结132在连接到工艺腔室106之前重新接合气体产生管线138和旁通管线140。
气体产生管线138包括安瓿入口支线138a,安瓿出口支线138b,阀门108、110、112,传感器126、128,断接配件162、163,以及加热器122。安瓿入口支线138a将安瓿100的入口流体地耦合到载气源105和旁通管线140。安瓿出口支线138b将安瓿组件100的出口流体地耦合到工艺腔室106和旁通管线140。阀门108、110和112通常是远距离可控的关闭阀门,用来使流体输送线路136内的流体流转向和/或用来有选择地隔离流体输送线路136内的各种元件,以便于去除、替换和/或检修被隔离元件,所述被隔离元件包括传感器126、128,加热器122,以及安瓿组件100。阀门108、110、112,以及阀门114、116、118(如下文结合旁通管线140描述的)一般是气动或电子地控制的,并且所述阀门的内部湿润表面是用与所述工艺以及由流体输送线路136搬运的其他流体相容的材料制造的。通常,阀门108、110、112、114、116和118是响应于来自控制器150的信号而致动的,以协调经由流体输送线路136的气体输送。传感器126、128(诸如热电偶,所述热电偶设置为抵靠气体产生管线138的导管)一般适用于探测工艺、载体和/或流过气体产生管线138的净化流体的温度。安瓿出口上的流量传感器127用于确定输送到所述腔室的流量。
旁通管线140一般包括阀门114、116和加热器124,并且所述旁通管线140用于流体地耦合工艺腔室106和载气源105,而无需使用气体产生管线138或安瓿组件100。阀门118一般耦合在结132和工艺腔室106之间,并且可用于将工艺腔室106与流体输送线路136隔离。加热器122、124是电阻加热元件或者其他热源,所述加热器适用于加热流体流,诸如分别流过气体产生管线138和旁通管线140的载气。
安瓿组件100一般含有安瓿或主体170,入口管线164,出口管线165,断接配件162b、163b,以及分别设置在入口管线164、165中的手控关闭阀门和手控阀门160、161。盲流段导管部分171b设置在手控阀门160和断接配件162之间的入口管线164中,以及盲流段导管部分172b设置在手控阀门161和断接配件163之间的出口管线165中。安瓿组件100也可以称为水浴瓶、罐,以及在本领域中已知用于描述经设计和用于存储、输送和分配化学前驱物的容器的其他术语。入口管线164在断接配件162处耦合到安瓿入口支线138a,以及出口管线165在断接配件163处耦合到安瓿出口支线138b。断接配件162、163通常是适用于方便拆卸和替换气体面板104中的安瓿组件100,同时让气体面板104的所有其他部件保持在原位,所述其他部件诸如为气体产生管线138和它的组件。为这个目的,断接配件162、163通常分别包括配对的断接配件162a、162b和163a、163b,其中断接配件162b、163b是固着到安瓿组件100,而相应的断接配件162a、163a是包含在流体输送线路136中。取决于应用,断接配件162a、162b和163a、163b可以是快速断接类型的配件、可重新密封的真空密封配件(诸如,VCR配件),或者其他适合的断接配件。
安瓿组件100可以具有各种大小和几何形状。安瓿组件100可以具有从约0.5L到约10L,以及更典型地从约1.2L到约4L的范围内的化学前驱物容量。在一个实例中,安瓿组件100具有约2.5L的化学前驱物容量。可以在安瓿组件100内的化学前驱物包括液态、固态和气态前驱物,较好地在预定温度和/或压力时是液态或者流体状态。例如,在室温下化学前驱物可以固态存在,但是在安瓿内一旦所述化学前驱物被加热到预定温度就会熔融成液态。在另一实例中,在所述安瓿中大多数的化学前驱物可以保持在固态,但是在处理期间大多数的化学前驱物会被加热到升高的温度,以致少量的所述固态前驱物直接升华成蒸汽。在另一实例中,在环境压力下化学前驱物可以气态存在,但是一旦在所述安瓿内被加压到预定压力就会凝结为液态。
在处理期间,载气从载气源105经由流体输送线路136流到安瓿组件100。可以用加热器122加热所述载气,可以将安瓿组件100加热到所需的温度,或者在一些应用中,可以加热所述载气和安瓿组件100两者。在处理期间,阀门114和116是关闭的,以引导所有的载气经由气体产生管线138和安瓿组件100流到工艺腔室106。
在拆卸与替换安瓿组件100前执行的初始的泵净化程序中,手控阀门160、161或气动阀门110、112是关闭的。这使得主体170与气体产生管线138隔离。在泵净化程序的抽空部分期间,载气源105也经由定位在载气源105和流体输送线路136之间的关闭阀门(未图示)而与流体输送线路136隔离。用于工艺腔室106的真空源通常用于对流体输送线路136和安瓿组件100的盲流段导管部分171b、172b抽空。或者,可以使用专用的真空源,诸如真空泵,所述真空泵流体地耦合到流体输送线路136。在任一情况下,通过开启在气体面板104中的需要的阀门,流体输送线路136的不与真空源隔离的所有部件都被抽空到所需的真空度,例如低真空度、中等真空度,或者高真空度。例如,当工艺腔室106的真空源用于抽空流体输送线路136时,开启阀门118以将工艺腔室106流体地耦合到流体输送线路136,阀门114和116是开启的以便让旁通管线140将安瓿入口支线138a流体地耦合到真空状态,以及阀门110和112是开启的以便流体地耦合导管部分171、172和盲流段导管部分171b、172b到真空状态。在抽空部分期间作为目标的所需真空度取决于每一具体的CVD或ALD应用,并且是各种因素(诸如,前驱物的蒸汽压、其他正被去除的残留物,以及流体输送线路长度)的函数。在一个实施例中,尽管存在未净化的流体输送线路,工作人员可进入气体面板104,以便关闭安瓿组件100的手控阀门160、161。
对于泵净化程序的净化部分,诸如载气源105这样的净化流体源流体地耦合到流体输送线路136,从而将所需的净化流体引入到流体输送线路136中。所需的净化流体可以是气体,诸如惰性气体或其他载气;或者是液体,包括诸如四氢呋喃(tetrahydrofuran;THF),或者三甘醇二甲醚,或者辛烷之类的溶剂。所述净化流体的组成取决于待净化的化学残留物的物理状态和化学成分,固体颗粒,以及有时需要一或多个液体溶剂进行净化的低蒸汽压液体。此外,在净化部分期间还可以加热净化流体,以帮助在化学残留物被引入流体输送线路136之前或者用加热器122、124加热化学残留物之前去除不需要的化学残留物。真空源(诸如在一个实例中是工艺腔室106)可以在净化部分期间与流体输送线路136隔离,或者可以流体地耦合到流体输送线路136以便贯穿净化部分期间持续地去除净化流体。在净化程序期间有效的净化流体流动可以主要沿着旁通管线140发生。当在净化部分开始处用净化流体回填充流体输送线路的安瓿入口支线138a和安瓿出口支线138b时,仅发生净化流体到安瓿入口支线138a和安瓿出口支线138b内的有效流动。因此,安瓿入口支线138a和安瓿出口支线138b充当主要长度的扩充盲流段,并且可能包括许多的扼流弯头(flow-restricting elbow)。此外,在安瓿替换期间将会暴露于环境的流体输送线路136的区域,即导管部分171、172和盲流段导管部分171b、172b,很可能会被污染,但是在净化前处理期间可以被彻底地净化。然而,导管部分171、172和盲流段导管部分171b、172b位于上述盲流段的远端,因此是流体输送线路136中很难被有效净化的区域。
在拆卸期间,阀门110和112是关闭的以将导管部分171、172与流体输送线路136流体地隔离,并且断接配件162、163是分隔的以允许拆卸安瓿组件100,其中配对的断接配件162b、163b固着于安瓿组件100,因此被和安瓿组件100一起拆卸掉。如上文所指出的,在本领域中已知安瓿关闭阀门,即手控阀门160、161,在长时间暴露于安瓿组件100中含有的前驱物化学品之后不能完全地密封。因为在入口管线164和出口管线165处分别使用针对安瓿组件100的单一点隔离,即分别为手控阀门160、161,所以在从气体面板104上拆卸掉废弃的安瓿期间,前驱物有可能泄漏到或泄漏出安瓿组件100。新充填的安瓿在断接配件162、163处重新连接到流体输送线路136。
在安装新的安瓿组件100之后,在安瓿拆卸/替换期间损坏的任何流体输送连接点或者其他密封件均进行泄漏检查,在本实例中是断接配件162、163。泄漏检查确保在处理期间污染物不会被吸入到流体输送线路136中,并且有毒的化学前驱物不会从安瓿组件100中泄漏出。如果断接配件162、163中的任何一个都不是真空密封的,那么在安瓿组件100的化学内含物和可能已经泄漏到盲流段导管部分171b、172b内的任何污染物之间仅存在单一隔离点。
图3图示根据本发明的一或多个实施例的气体输送系统202。安瓿200在安瓿200的顶部或侧面上具有一系列的阀门260、261。所述阀门260、261便于使前驱物蒸汽从安瓿200离开并进入到工艺反应器内的运动。入口阀门260控制惰性载气/推动气体流入安瓿200,以及出口阀门261控制所述前驱物蒸汽。所描述的阀门可以是任何适合的阀门机构,包括但并不限于气动阀门和手控阀门。本领域的技术人员将理解的是描述为例如气动阀门的阀门可以用其他类型的阀门替代,并且对具体阀门机构的描述不应该被视为对本发明范围的限制。
旁通管线240在入口阀门260的上游。所述旁通管线240连接安瓿200的入口阀门260的上游和出口阀门261的下游。旁通阀门262沿着旁通管线240,旁通阀门262控制载气的流量并且使载气绕过所述安瓿200。所述旁通阀门262使得使用者可以净化出口阀门261,无需使气体流入所述安瓿200。旁通阀门262帮助确保在拆卸安瓿200之前所述安瓿200下游的出口管线265已被清洁。紧靠入口阀门260的上游和出口阀门261的下游的是手控阀门(未图示)。这些手控阀门提供隔离安瓿200的第二种手段。换句话说,安瓿200可包括入口导管260a和出口导管261a,所述入口导管260a和出口导管261a具有旁通管线240,所述旁通管线240包括可远距离控制的旁通阀门262,所述旁通阀门262流体地连接所述入口导管260a和所述出口导管261a。
三通阀门218在出口阀门261、旁通管线240和手控阀门(未图示)的下游,所述三通阀门218具有单一入口和两个出口。三通阀门218的出口中的一个出口引导流朝向工艺腔室206,并且另一个出口引导流到前极管线而绕过腔室206。
在图3中图示的实施例包括第二三通阀门219,所述第二三通阀门219在三通阀门218和腔室206之间。第二三通阀门219连接到净化管线280,净化管线280可用于使净化气体(例如,氮气)流动。所述净化气体可以用作稀释气体和文丘里管(Venturi),以迅速地从安瓿200中吸取出蒸汽。阀门281在净化管线280上的三通阀门219的上游,所述阀门281用于隔离净化管线280,以便可以净化蒸汽吸取模式使用所述安瓿200。阀门264在所述入口管线238上的阀门260的上游,所述阀门264用于抽吸和净化围绕安瓿200的管线。气体加热器222、224在所述净化管线280上的阀门281和入口管线238上的阀门264中任意一个或两个的上游,所述气体加热器222、224用于升高流过相应管线的气体的温度,因此不会使前驱物在管线中凝结。
此外,排气管线289在入口管线238上的第一加热器224的上游,所述排气管线289包括回压控制器290。回压控制器290的用途是使得所述入口管线238中的气体在流入安瓿200之前可以在压力方面稳定化。这可以帮助防止进入安瓿200内的压力的急剧增加,否则压力的急剧增加可能会造成损伤或者导致不可预料的前驱物浓度,这还可以帮助阻止对前驱物的夹带。不受限于任何具体的工作原理,当存在流量设定点、质量流量控制器(未图示)并且MFC下游的隔离阀门291开启时,压力的轻微猝发进入到安瓿200中。为了减轻这种猝发,气体流入回压调节阀门290以及随后流入到前极管线内。所述回压调节阀门290用于设置气体压力,以便使气体维持在特定的压力。
图3的实施例可用于包含压力计227、228的闭环配置。闭环配置将使得在将载气引入到安瓿200期间入口管线238中的载气压力与安瓿200中的载气压力相当。在处理之后,安瓿的任何压力波动将会被捕捉到,从而将相应地设置回压。使载气转向还去除了清除前驱物以稳定化流量的需要。
安瓿200的盖或侧壁上具有隔离阀门295的第三端口可用于使安瓿200减压。这个端口的用途是使得使用者可以将安瓿200中的压力减轻到操作设定值。这个特征可以帮助减少在到腔室的输送管线中对前驱物的任何夹带,并且可以消除来自排气过程(burping process)的颗粒。
图4图示本发明的另一实施例。在这个实施例中,阀门264已经去除,并且已经用手控可调的孔板293替代了回压控制器290。手控可调的孔板293具有与回压控制器290所具有的反馈控制类似的效果。阀门264的位置可以如图3所示在气体加热器224的下游,或者可以和在图4中描述的阀门294一样在气体加热器的上游。压力控制器的压力设定点是由来自净化管线280上的阀门281并且穿过三通阀门219的净化气体决定的。取决于文丘里效应,设定点压力可以比净化气体的压力低或高。
图5图示与图3和图4类似的机制,但是图5的改变在于使用了可能有益的液体蒸汽输送系统。在图5所示的实施例中,控制器493、494起与图4所示的阀门293、294类似的作用,其中载气流可以转向到安瓿400的上游。隔离阀门496用来在气流进入腔室206之前将气流与阀门208隔离。
对于图5所示的液体输送系统,其中诸如TiCl4、TMA或SiCl4之类的一些前驱物的蒸汽压较高,所以安瓿400下游压力稳定可能是很重要的。因此,手控可调的孔板460或者压力控制器直接放置在脉冲阀门260的上游,并且用于维持安瓿400中的压力。可调节孔板460应该非常接近于脉冲阀门260,以去除在脉冲阀门260和孔板460之间的任何死角。用于液体硬件(liquidhardware)的设置与用于固体的设置相同。对于固体输送,输送管线中的压力是由净化气体的压力调整的。对于液体输送,针孔阀门用于进一步地调整所述输送系统中的压力。针孔阀门496控制到腔室206的流,以及针孔阀门498控制到排气装置207的流。
在一些实施例中,回头参看图3,安瓿200进一步包括附加的导管,所述附加的导管250具有隔离阀门251。这个附加的导管250和隔离阀门251可用于使用惰性气体(诸如,He)充填或者回填前驱物安瓿的一些或者所有部件。可以在高于大气压的压力下使用惰性气体充填所述安瓿200,以防止污染物进入所述安瓿200中。还可以将所述安瓿200封装在加热机构(未图示)中,所述加热机构可以经由一或多层导热涂层而提供对安瓿内含物更均匀的加热。
出于对化学相容性和机械强度的考虑,主体170一般是用不锈钢制成的,诸如,316不锈钢(316stainless steel;316SST)。主体170的材料应该是完全化学惰性的,因为不同类型的化学前驱物,诸如高反应性的材料可能会存储在主体170内。相当大的机械强度是安瓿组件100的主体170的理想特性。在一些实施例中,在工艺期间可以在低于大气压的压力下操作主体170,并且主体170可以被加压到高于大气压以用于运输和存储。因此,主体170必须充当针对有毒化学前驱物的可靠安全壳容器(containment vessel),同时用作真空腔室或者压力容器。
在使用期间主体100内部可能会产生不希望的热梯度,这是因为316SST是不良的导热媒介。例如,当液态化学前驱物包含在主体100内时,随着液态前驱物被消耗,主体100的更多体积被蒸汽充满,随后在安瓿的使用期限内主体100的不良导热性可能会导致在液态前驱物中的不均匀加热(例如,热点)。在另一实例中,诸如当主体100包含固态化学前驱物时,主体100的不良导热性可能会在安瓿的使用期限期间一直产生热点。在任一种情况下,CVD工艺或ALD工艺都可能会受到这样的温度不均匀性的不利影响。
可用于形成工艺气体的固态化学前驱物包括钽前驱物,诸如五(二甲氨基)钽(PDMAT;Ta(NMe2)5)、五(二乙氨基)第三戊亚氨-三(二甲氨基)钽(TAIMATA;(tAmylN)Ta(NMe2)3),其中tAmyl是第三戊基(C5H11或者-CH3CH2C(CH3)2-),或者是第三戊基的衍生物。在一个实施例中,所述PDMAT具有低卤素(例如,Cl、F、I或者Br)含量。所述PDMAT可以具有小于大约100ppm的卤素浓度。例如,所述PDMAT可以具有小于大约100ppm的氯浓度,较好地小于大约20ppm,更好地小于大约5ppm,以及更加好地小于大约1ppm,诸如大约100ppb或更小。
可以用于经由升华工艺形成工艺气体的其他固态化学前驱物包括四氯化铪(HfCl4)、二氟化氙、四羰基镍,以及六羰基钨,或者这些物质的衍生物。在其他实施例中,液态化学前驱物可以被蒸发以在本文所描述的安瓿内形成工艺气体。可以用于形成工艺气体的其他化学前驱物包括钨前驱物,诸如六氟化钨(WF6);钽前驱物,诸如钽(PDEAT;Ta(NEt2)5)、五(甲乙氨基)钽(PMEAT;Ta(NMeEt)5)、叔丁基亚氨基-三(二甲氨基)钽(TBTDMT,tBuNTa(NMe2)3)、叔丁基亚氨基-三(二乙氨基)钽(TBTDET,tBuNTa(NEt2)3)、叔丁基亚氨基-三(甲乙酰氨基)钽(TBTMET,tBuNTa(NMeEt)3),或者是钽前驱物的衍生物;钛前驱物,诸如四氯化钛(TiCl4)、四(二甲氨基)钛(TDMAT,(Me2N)4Ti)、四(二乙氨基)钛(TEMAT,(Et2N)4Ti),或者是钛前驱物的衍生物;钌前驱物,诸如双(乙基环戊二烯)钌((EtCp)2Ru);铪前驱物,诸如四(二甲氨基)铪(TDMAH,(Me2N)4Hf)、四(二乙氨基)铪(TDEAH,(Et2N)4Hf)、四(甲乙氨基)铪(TMEAH,(MeEtN)4Hf),或者是铪前驱物的衍生物;以及铝前驱物,诸如1-甲基吡咯肼:铝烷(MPA;MeC4H3N:AlH3)、吡啶:铝烷(C4H4N:AlH3)、烷基氨铝烷复合物(例如,三甲基胺:铝烷(Me3N:AlH3))、三乙基胺:铝烷(Et3N:AlH3)、二甲基乙胺:铝烷(Me2EtN:AlH3)、三甲基铝(TMA,Me3Al)、三乙基铝(TEA,Et3l)、三丁基铝(Bu3Al)、二甲基氯化铝(Me2AlCl)、二乙基氯化铝(Et2AlCl)、二丁基氢化铝(Bu2AlH)、二丁基氯化铝(Bu2AlCl),或者是铝前驱物的衍生物。在一或多个实施例中,所述前驱物是四氯化铪。
所述净化气体可以是在本领域中已知的任何适合的净化气体。适合的净化气体包括但不限于氦气、氮气、氖气、氩气、氪气和氙气。在一些实施例中,净化气体是氮气。
虽然本文已经参考具体实施例描述了本发明,但是应理解这些实施例仅是用于说明本发明的原理和应用。对本领域技术人员将显而易见的是,在没有脱离本发明的精神和范围的情况下,可以对本发明的方法和装置进行各种修改和变型。因此,本发明意欲包括在所附权利要求和权利要求的等效物的范围内的修改和变型。

Claims (15)

1.一种用于产生化学前驱物的装置,所述装置包括:
入口管线,所述入口管线与载气流体连通,所述入口管线具有安瓿入口阀门以控制进入安瓿内的载气的流量,
出口管线,所述出口管线具有出口阀门以控制引出安瓿的前驱物蒸汽和载气的流量;
旁通阀门,所述旁通阀门在所述安瓿出口阀门的下游,所述旁通阀门允许所述载气从所述入口管线流出以净化所述出口管线,而无需使载气流入安瓿;
净化管线,所述净化管线包括第二出口阀门,所述第二出口阀门与所述净化管线流体连通以使净化气体流到工艺腔室内;以及
第三出口阀门,所述第三出口阀门使所述化学前驱物从安瓿绕过所述工艺腔室而流到前极管线。
2.如权利要求1所述的装置,其中所述旁通阀门在所述安瓿入口阀门的上游。
3.如权利要求1或2所述的装置,其中所述第二出口阀门包括与所述净化管线流体连通的第一输入和与所述出口管线流体连通的第二输入。
4.如权利要求3所述的装置,其中所述第二阀门是三通阀门,所述三通阀门可以将仅来自所述出口管线的流或仅来自所述净化管线的流,或来自所述净化管线和所述出口管线的流的混合传递到所述工艺腔室。
5.如先前权利要求中任一项所述的装置,所述装置进一步包括:安瓿,所述安瓿具有顶部、底部和主体,所述主体界定安瓿内部体积,所述安瓿包括入口导管和出口导管。
6.如权利要求5所述的装置,其中所述安瓿进一步包括至少一个附加的导管,所述附加的导管具有隔离阀门,并且所述附加的导管与所述安瓿的内部体积流体连通。
7.如先前权利要求中任一项所述的装置,其中所述入口管线和所述净化管线中的一或多个包括加热器。
8.如权利要求7所述的装置,所述装置进一步包括压力计,所述压力计在每一加热器的上游。
9.如先前权利要求中任一项所述的装置,其中所述入口管线包括排气管线,所述排气管线在所述安瓿的上游。
10.一种用于产生化学前驱物的装置,所述装置包括:
入口管线,所述入口管线包括第一加热器和第一阀门,所述入口管线连接到安瓿的入口导管;
出口管线,所述出口管线包括第一三通阀门和第二三通阀门,所述第一三通阀门具有一个入口和两个出口,其中两个出口中的一个出口连接到排气装置并且另一个出口与所述第二三通阀门流体连通,所述第二三通阀门具有两个入口和一个出口,所述第一入口与所述第一三通阀门的所述出口流体连通,并且所述第二入口与净化管线流体连通,所述出口管线在所述第一三通阀门的上游连接到所述安瓿的出口导管;
净化管线,所述净化管线包括第二加热器和第二阀门,所述净化管线与所述第二三通阀门的一个入口流体连通;以及
旁通管线,所述旁通管线包括旁通阀门,所述旁通管线在所述第一加热器和所述第一阀门的下游与所述入口管线流体连通,以及在所述第一三通阀门的上游与所述出口管线流体连通,所述旁通管线允许气流从所述入口管线传递到所述出口管线,而无需穿过所述安瓿。
11.如权利要求10所述的装置,其中所述第二加热器在所述第二阀门的上游并且与所述第二阀门流体连通。
12.如权利要求10所述的装置,所述装置进一步包括排气管线,所述排气管线在所述第一加热器的上游并且与所述入口管线流体连通。
13.如权利要求9或12所述的装置,其中所述排气管线包括回压控制器,所述回压控制器在隔离阀门的上游并且与所述隔离阀门流体连通。
14.如权利要求9或12所述的装置,其中所述排气管线包括手控孔板,所述手控孔板在隔离阀门的上游并且与所述隔离阀门流体连通。
15.一种用于产生化学前驱物的装置,所述装置包括:
入口管线,所述入口管线包括第一加热器,所述第一加热器在第一阀门的上游并且和所述第一阀门流体连通,所述入口管线配置成连接到安瓿的入口导管;
出口管线,所述出口管线包括第一三通阀门和第二三通阀门,所述第一三通阀门具有一个入口和两个出口,其中两个出口中的一个出口连接到排气装置并且另一个出口与所述第二三通阀门流体连通,所述第二三通阀门具有两个入口和一个出口,所述第一入口与所述第一三通阀门的所述出口流体连通,并且所述第二入口与净化管线流体连通,所述出口管线配置成在所述第一三通阀门的上游连接到所述安瓿的出口导管;
净化管线,所述净化管线包括第二加热器和第二阀门,所述净化管线与所述第二三通阀门的一个入口流体连通;以及
旁通管线,所述旁通管线包括旁通阀门,所述旁通管线在所述第一加热器和所述第一阀门的下游与所述入口管线流体连通,以及在所述第一三通阀门的上游与所述出口管线流体连通,所述旁通管线配置成允许气流从所述入口管线传递到所述出口管线,而无需穿过所述安瓿。
CN201280035407.7A 2011-07-22 2012-07-20 用于ald/cvd工艺的反应物输送系统 Active CN103688339B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201161510677P 2011-07-22 2011-07-22
US61/510,677 2011-07-22
US201161526920P 2011-08-24 2011-08-24
US61/526,920 2011-08-24
US13/554,487 2012-07-20
US13/554,487 US20130019960A1 (en) 2011-07-22 2012-07-20 Reactant Delivery System For ALD/CVD Processes
PCT/US2012/047641 WO2013016208A2 (en) 2011-07-22 2012-07-20 Reactant delivery system for ald/cvd processes

Publications (2)

Publication Number Publication Date
CN103688339A true CN103688339A (zh) 2014-03-26
CN103688339B CN103688339B (zh) 2016-09-28

Family

ID=47554930

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280035407.7A Active CN103688339B (zh) 2011-07-22 2012-07-20 用于ald/cvd工艺的反应物输送系统

Country Status (4)

Country Link
US (1) US20130019960A1 (zh)
KR (2) KR102245759B1 (zh)
CN (1) CN103688339B (zh)
WO (1) WO2013016208A2 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105003825A (zh) * 2014-04-18 2015-10-28 应用材料公司 自动再填充安瓿及其使用方法
CN105390414A (zh) * 2014-08-22 2016-03-09 朗姆研究公司 按需填充安瓿
CN106987824A (zh) * 2015-12-02 2017-07-28 东京毅力科创株式会社 原料气体供给装置和原料气体供给方法
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
CN110137072A (zh) * 2018-02-08 2019-08-16 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质
PL424592A1 (pl) * 2018-02-14 2019-08-26 Politechnika Łódzka Sposób zasilania w pary prekursora reaktorów do nakładania powłok metodami próżniowymi oraz układ do zasilania w pary prekursora reaktorów do nakładania powłok metodami próżniowymi
CN110462096A (zh) * 2017-04-21 2019-11-15 应用材料公司 材料沉积布置、用于沉积材料的方法和材料沉积腔室
CN111066134A (zh) * 2017-10-23 2020-04-24 应用材料公司 低蒸汽压化学物质的输送
CN112703271A (zh) * 2018-09-03 2021-04-23 应用材料公司 用于薄膜沉积的直接液体注射系统
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
WO2023207332A1 (zh) * 2022-04-29 2023-11-02 拓荆科技股份有限公司 半导体处理装置
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition

Families Citing this family (274)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5236755B2 (ja) * 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI615497B (zh) 2013-02-28 2018-02-21 應用材料股份有限公司 金屬胺化物沉積前驅物及具有惰性安瓿襯裡之該前驅物的穩定化
US9447497B2 (en) * 2013-03-13 2016-09-20 Applied Materials, Inc. Processing chamber gas delivery system with hot-swappable ampoule
KR101463105B1 (ko) * 2014-01-02 2014-12-04 연세대학교 산학협력단 황화 텅스텐층 형성 방법 및 황화 텅스텐층 형성 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017172724A1 (en) 2016-03-28 2017-10-05 Applied Materials, Inc. Apparatus and methods to remove residual precursor inside gas lines post-deposition
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US9869018B2 (en) * 2016-04-26 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Solid precursor delivery method using liquid solvent for thin film deposition
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102474052B1 (ko) * 2018-01-15 2022-12-02 어플라이드 머티어리얼스, 인코포레이티드 반도체 제조 생산성을 위한 진보된 온도 모니터링 시스템 및 방법들
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020050919A1 (en) * 2018-09-05 2020-03-12 Applied Materials, Inc. Gas input system for a substrate processing chamber
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
WO2021030475A1 (en) * 2019-08-12 2021-02-18 MEO Engineering Company, Inc. Method and apparatus for precursor gas injection
KR102494377B1 (ko) 2019-08-12 2023-02-07 커트 제이. 레스커 컴파니 원자 스케일 처리를 위한 초고순도 조건
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220145456A1 (en) * 2020-11-09 2022-05-12 Applied Materials, Inc. Refillable large volume solid precursor sublimation vessel
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023215199A1 (en) * 2022-05-02 2023-11-09 Lam Research Corporation Gas supply line arrangements

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432205B1 (en) * 1998-09-14 2002-08-13 Genitech Co., Ltd. Gas feeding system for chemical vapor deposition reactor and method of controlling the same
CN101514446A (zh) * 2008-02-22 2009-08-26 普莱克斯技术有限公司 多安瓿输送系统
US20100136230A1 (en) * 2007-03-30 2010-06-03 Tokyo Electron Limited Method of cleaning powdery source supply system, storage medium, substrate processing system and substrate processing method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100572305B1 (ko) * 1998-11-23 2006-09-27 삼성전자주식회사 반도체제조설비
JP4845385B2 (ja) * 2004-08-13 2011-12-28 東京エレクトロン株式会社 成膜装置
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
KR101562180B1 (ko) * 2008-03-17 2015-10-21 어플라이드 머티어리얼스, 인코포레이티드 앰풀용 가열형 밸브 매니폴드
US8017527B1 (en) * 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US20100305884A1 (en) * 2009-05-22 2010-12-02 Applied Materials, Inc. Methods for determining the quantity of precursor in an ampoule

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432205B1 (en) * 1998-09-14 2002-08-13 Genitech Co., Ltd. Gas feeding system for chemical vapor deposition reactor and method of controlling the same
US20100136230A1 (en) * 2007-03-30 2010-06-03 Tokyo Electron Limited Method of cleaning powdery source supply system, storage medium, substrate processing system and substrate processing method
CN101514446A (zh) * 2008-02-22 2009-08-26 普莱克斯技术有限公司 多安瓿输送系统

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105003825B (zh) * 2014-04-18 2021-06-04 应用材料公司 自动再填充安瓿及其使用方法
KR20150120873A (ko) * 2014-04-18 2015-10-28 어플라이드 머티어리얼스, 인코포레이티드 자동-리필 앰풀 및 사용 방법들
CN105003825A (zh) * 2014-04-18 2015-10-28 应用材料公司 自动再填充安瓿及其使用方法
KR102387359B1 (ko) 2014-04-18 2022-04-14 어플라이드 머티어리얼스, 인코포레이티드 자동-리필 앰풀 및 사용 방법들
CN105390414A (zh) * 2014-08-22 2016-03-09 朗姆研究公司 按需填充安瓿
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
CN105390414B (zh) * 2014-08-22 2018-07-10 朗姆研究公司 按需填充安瓿
US11959175B2 (en) 2014-08-22 2024-04-16 Lam Research Corporation Fill on demand ampoule refill
US11180850B2 (en) 2014-08-22 2021-11-23 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
TWI696723B (zh) * 2015-12-02 2020-06-21 日商東京威力科創股份有限公司 原料氣體供給裝置、原料氣體供給方法及記憶媒體
CN106987824B (zh) * 2015-12-02 2019-09-03 东京毅力科创株式会社 原料气体供给装置和原料气体供给方法
CN106987824A (zh) * 2015-12-02 2017-07-28 东京毅力科创株式会社 原料气体供给装置和原料气体供给方法
CN110462096A (zh) * 2017-04-21 2019-11-15 应用材料公司 材料沉积布置、用于沉积材料的方法和材料沉积腔室
CN111066134A (zh) * 2017-10-23 2020-04-24 应用材料公司 低蒸汽压化学物质的输送
CN111066134B (zh) * 2017-10-23 2023-11-07 应用材料公司 低蒸汽压化学物质的输送
CN110137072B (zh) * 2018-02-08 2023-09-26 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质
CN110137072A (zh) * 2018-02-08 2019-08-16 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质
PL424592A1 (pl) * 2018-02-14 2019-08-26 Politechnika Łódzka Sposób zasilania w pary prekursora reaktorów do nakładania powłok metodami próżniowymi oraz układ do zasilania w pary prekursora reaktorów do nakładania powłok metodami próżniowymi
CN112703271A (zh) * 2018-09-03 2021-04-23 应用材料公司 用于薄膜沉积的直接液体注射系统
WO2023207332A1 (zh) * 2022-04-29 2023-11-02 拓荆科技股份有限公司 半导体处理装置

Also Published As

Publication number Publication date
KR20190042755A (ko) 2019-04-24
WO2013016208A2 (en) 2013-01-31
WO2013016208A3 (en) 2013-03-28
CN103688339B (zh) 2016-09-28
KR102245759B1 (ko) 2021-04-27
US20130019960A1 (en) 2013-01-24
KR20140050681A (ko) 2014-04-29

Similar Documents

Publication Publication Date Title
CN103688339A (zh) 用于ald/cvd工艺的反应物输送系统
CN101415977B (zh) 用于化学气相沉积或原子层沉积的化学物输送装置
US8951478B2 (en) Ampoule with a thermally conductive coating
CN101960564B (zh) 用于安瓿的加热阀歧管
CN100523289C (zh) 输送前体物质的方法和容器
FI117980B (fi) Menetelmä ohutkalvon kasvattamiseksi alustalle
JP6228257B2 (ja) 前駆体材料の送達のための容器及び方法
JP4809313B2 (ja) 入口プレナムを含む容器および容器から分配する方法
JP4418056B2 (ja) 化学気相堆積装置、および化学気相堆積方法
CN116855917A (zh) 一种化学物质输送系统及方法
JPH03112891A (ja) ガス供給装置
KR20030088318A (ko) Mocvd시스템

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant