KR20190042755A - Ald/cvd 프로세스들을 위한 반응물 전달 시스템 - Google Patents

Ald/cvd 프로세스들을 위한 반응물 전달 시스템 Download PDF

Info

Publication number
KR20190042755A
KR20190042755A KR1020197010790A KR20197010790A KR20190042755A KR 20190042755 A KR20190042755 A KR 20190042755A KR 1020197010790 A KR1020197010790 A KR 1020197010790A KR 20197010790 A KR20197010790 A KR 20197010790A KR 20190042755 A KR20190042755 A KR 20190042755A
Authority
KR
South Korea
Prior art keywords
line
valve
inlet
outlet
ampoule
Prior art date
Application number
KR1020197010790A
Other languages
English (en)
Other versions
KR102245759B1 (ko
Inventor
켄릭 최
조셉 유도브스키
스티븐 디. 마커스
에르네스토 울로아
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190042755A publication Critical patent/KR20190042755A/ko
Application granted granted Critical
Publication of KR102245759B1 publication Critical patent/KR102245759B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/6416With heating or cooling of the system
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/86911Sequential distributor or collector type

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

화학 전구체를 발생시키기 위한 장치 및 방법들이 제공된다. 장치는 앰플에 연결되도록 구성된 입구 라인과 앰플에 연결되도록 구성된 출구 라인을 포함한다. 입구 라인은 캐리어 가스의 앰플 내로의 유동을 제어하는 입구 밸브를 갖고, 출구 라인은 앰플을 빠져나가는 유동을 제어하는 출구 밸브를 갖는다. 바이패스 밸브는, 캐리어 가스를 앰플로 유동시키지 않으면서, 캐리어 가스가 앰플을 바이패싱하여 출구 밸브를 퍼징할 수 있도록 한다.

Description

ALD/CVD 프로세스들을 위한 반응물 전달 시스템{REACTANT DELIVERY SYSTEM FOR ALD/CVD PROCESSES}
본 발명의 실시예들은 일반적으로 물질들을 증착하기 위한 장치 및 방법에 관한 것이다. 더 구체적으로, 본 발명의 실시예들은 선형 왕복 운동(linear reciprocal motion)하는 원자 층 증착 챔버들에 관한 것이다. 또한, 본 발명의 실시예들은 회전 왕복 운동 및 고정식 증착 챔버들에 적용된다.
집적 회로들은 단일의 칩 상에 수백만 개의 트랜지스터들, 커패시터들 및 레지스터들을 포함하는 복잡한 디바이스들로 진화하였다. 칩 설계의 진화는, 점점 더 정밀한 제조 프로세스들을 요구하는 더 큰 회로 밀도 및 더 빠른 회로를 계속적으로 필요로 한다. 기판들의 정밀한 프로세싱은, 프로세싱 동안에 사용되는 유체들의 전달에서, 온도, 속도(rate) 및 압력의 정밀한 제어를 필요로 한다.
화학 기상 증착(CVD)과 원자 층 증착(ALD)은 기판 상에 다양한 물질들을 형성하거나 증착하기 위해 사용되는 기상 증착 프로세스들이다. 일반적으로, CVD 및 ALD 프로세스들은 가스상 반응물들(gaseous reactants)의 기판 표면으로의 전달을 수반하며, 기판 표면에서는 반응 열역학에 유리한 온도 및 압력 조건들 하에서 화학 반응이 일어난다. CVD 프로세스 또는 ALD 프로세스를 이용하여 형성될 수 있는 층들의 유형과 조성은 화학 반응물 또는 전구체를 기판 표면으로 전달할 수 있는 능력에 의해 제한된다. 캐리어 가스 내의 액체 전구체들을 전달함으로써, CVD 및 ALD 적용예들 동안에, 다양한 액체 전구체들이 성공적으로 사용되어 왔다.
캐리어 가스는, 일부 경우들에서, 전구체를 기화(vaporize)시키는데 도움이 되는 조건들 하에서 휘발성 액체 전구체를 수용하는 가열된 용기 또는 캐니스터(canister), 예컨대, 앰플(ampoule) 또는 버블러(bubbler)를 통과한다. 고증기압 액체 전구체들에 있어서, 캐리어 가스는 실온보다 낮은 온도로 유지되는 앰플 또는 버블러를 통과할 수 있다. 다른 경우들에서, 캐리어 가스는 고체 전구체를 승화시키는데 도움이 되는 조건들 하에서 고체 전구체를 수용하는 가열된 용기를 통과한다. 승화 프로세스는 통상적으로 고체 전구체로 채워지거나(filled) 장입된(loaded) 용기 내에서 실시되며, 용기 벽체들은 가스상 전구체를 생산하면서 고체 전구체 물질을 승화시키기 위해 가열된다. 어느 경우에도, 캐리어 가스는 기화된 전구체와 결합하여 프로세스 가스를 형성하며, 프로세스 가스는 용기로부터 전용 도관들 또는 가스 라인들을 통해 반응 챔버로 인출된다(drawn).
고체 전구체를 사용하는 기상 증착 프로세스는 몇 가지 문제점들을 겪을 수 있다. 고체 전구체가 가스 상태로 승화하기 위해서는 충분한 열이 제공되어야 하지만, 너무 많은 열에 노출되면, 고체 전구체는 분해되거나 응집(agglomerate)될 수 있다. 일반적으로 매우 고가인 금속-유기 고체 전구체들은 열분해에 특히 민감하고, 일반적으로, 승화 프로세스 동안에 좁은 온도 및 압력 범위 내에 유지되어야 한다. 고체 전구체들은, 일단 분해되면, 용기 내에 남아 있는 전구체, 도관들과 밸브들로 이루어진 전달 시스템, 프로세싱 챔버 뿐만 아니라, 기판을 오염시킬 수 있다. 또한, 고체 전구체를 과열시키는 것은 프로세스 가스 내에서 너무 높은 전구체 농도를 제공할 수 있으며, 이는 결코 사용되지 않는 낭비된(wasted) 전구체, 또는 기판 상에서의 또는 전달 라인들 내에서의 전구체의 응축으로 이어질 수 있다.
대안적으로, 고체 전구체는 너무 적은 열에 노출되면 승화하지 않을 수 있다. 캐리어 가스가 용기를 통과하고 고체 전구체에 충격을 줄 때(impact), 고체 전구체로부터의 미립자들(particulates)이 캐리어 가스 내에 혼입되어(entrained) 프로세싱 챔버로 전달될 수 있다. 이러한 바람직하지 않은 고체 또는 액체 미립자들은 전달 시스템, 프로세싱 챔버 또는 기판에 대한 오염원이 될 수 있다. 미립자 오염 문제는 당업계에서 고체 전구체와 혼합되는 액체 캐리어 물질을 포함함으로써 해결되어 왔다. 그러나, 액체 캐리어 물질이 증발되어(evaporated) 전달 시스템이나 프로세싱 챔버 내부 또는 기판 상에서 오염 물질이 될 수 있기 때문에, 액체 캐리어 물질과 고체 전구체의 혼합은 제한된 온도 및 압력 범위들 내에서만 이루어질 수 있다.
따라서, 앰플 또는 버블러 내부에서 프로세스 가스를 형성하고 프로세스 가스를 프로세싱 챔버로 제공하기 위한 개선된 장치 및 방법들에 대한 요구가 계속되고 있다.
본 발명의 일부 실시예들은 화학 전구체를 발생시키기 위한 장치에 관한 것이다. 장치는 입구 라인, 출구 라인 및 퍼지 라인을 포함한다. 입구 라인은 캐리어 가스와 유체 소통하며, 캐리어 가스의 앰플 내로의 유동을 제어하는 앰플 입구 밸브를 갖는다. 출구 라인은 앰플을 빠져나가는 전구체 증기와 캐리어 가스의 유동을 제어하는 출구 밸브를 갖는다. 앰플 출구 밸브의 하류에는 바이패스 밸브가 배치된다. 바이패스 밸브는, 캐리어 가스를 앰플로 유동시키지 않으면서, 캐리어 가스가 입구 라인으로부터 유동하여 출구 라인을 퍼징할 수 있도록 한다. 퍼지 라인은, 퍼지 가스를 프로세싱 챔버로 유동시키기 위해 퍼지 라인과 유체 소통하는 제 2 출구 밸브를 포함한다. 장치는 화학 전구체를 앰플로부터 포어라인으로 유동시키는 제 3 출구 밸브를 더 포함하고, 포어라인은 프로세싱 챔버를 바이패싱한다.
일부 실시예들에서, 바이패스 밸브는 앰플 입구 밸브의 상류에 배치된다. 하나 또는 둘 이상의 실시예들에서, 바이패스 밸브는 앰플 입구 밸브의 하류에 배치된다.
일부 실시예들에서, 제 2 출구 밸브는 퍼지 라인과 유체 소통하는 제 1 입력부와, 출구 라인과 유체 소통하는 제 2 입력부를 포함한다. 하나 또는 둘 이상의 실시예들에서, 제 2 밸브는, 출구 라인으로부터의 유동만, 또는 퍼지 라인으로부터의 유동만, 또는 퍼지 라인과 출구 라인으로부터의 유동들의 혼합 유동을 프로세싱 챔버로 전달할 수 있는 삼방향 밸브이다.
일부 실시예들은 내부 용적을 정의하는 상부, 하부 및 본체를 갖는 앰플을 더 포함하며, 앰플은 입구 도관과 출구 도관을 포함한다. 하나 또는 둘 이상의 실시예들에서, 앰플은 격리 밸브를 구비한 적어도 하나의 추가적인 도관을 더 포함하며, 추가적인 도관은 앰플의 내부와 유체 소통한다. 일부 실시예들에서, 앰플은 고체 전구체, 액체 전구체 및 가스 전구체 중 하나 또는 둘 이상을 수용한다.
일부 실시예들에서, 프로세싱 챔버는 화학 기상 증착 챔버 또는 원자 층 증착 챔버이다.
일부 실시예들에서, 입구 라인과 퍼지 라인 중 하나 또는 둘 이상은 히터를 포함한다. 하나 또는 둘 이상의 실시예들은 각각의 히터의 상류에 배치된 압력계를 더 포함한다.
일부 실시예들에서, 입구 라인은 앰플의 상류에 배치된 배기 라인을 포함한다. 하나 또는 둘 이상의 실시예들에서, 배기 라인은 격리 밸브의 상류에 배치되어 격리 밸브와 유체 소통하는 배압 제어기를 포함한다. 일부 실시예들에서, 배기 라인은 격리 밸브의 상류에 배치되어 격리 밸브와 유체 소통하는 수동 오리피스를 포함한다.
*본 발명의 실시예들은 화학 전구체를 발생시키기 위한 장치에 관한 것이다. 장치는 입구 라인, 출구 라인, 퍼지 라인 및 바이패스 라인을 포함한다. 입구 라인은 제 1 히터 및 제 1 밸브를 포함한다. 입구 라인은 앰플의 입구 도관에 연결되도록 구성된다. 출구 라인은 제 1 삼방향 밸브 및 제 2 삼방향 밸브를 포함한다. 제 1 삼방향 밸브는 1개의 입구와 2개의 출구들을 가지며, 2개의 출구들 중 하나는 배기부에 연결되고, 다른 출구는 제 2 삼방향 밸브와 유체 소통한다. 제 2 삼방향 밸브는 2개의 입구들과 1개의 출구를 가지며, 제 1 입구는 제 1 삼방향 밸브의 출구와 유체 소통하고, 제 2 입구는 퍼지 라인과 유체 소통한다. 출구 라인은 제 1 삼방향 밸브의 상류에 배치된 앰플의 출구 도관에 연결되도록 구성된다. 퍼지 라인은 제 2 히터 및 제 2 밸브를 포함하며, 제 2 삼방향 밸브의 하나의 입구와 유체 소통한다. 바이패스 라인은 바이패스 밸브를 포함하며, 제 1 히터 및 제 1 밸브의 하류에 배치된 입구 라인 및 제 1 삼방향 밸브의 상류에 배치된 출구 라인과 유체 소통한다. 바이패스 라인은 가스가 앰플을 통과하지 않으면서 입구 라인으로부터 출구 라인으로 유동할 수 있도록 구성된다.
일부 실시예들에서, 제 1 히터는 제 1 밸브의 상류에 배치되어 제 1 밸브와 유체 소통한다. 하나 또는 둘 이상의 실시예들에서, 제 2 히터는 제 2 밸브의 상류에 배치되어 제 2 밸브와 유체 소통한다. 일부 실시예들에서, 제 1 히터는 제 1 밸브의 하류에 배치되어 제 1 밸브와 유체 소통한다.
일부 실시예들에서, 장치는 제 1 히터의 상류에 배치되어 입구 라인과 유체 소통하는 배기 라인을 더 포함한다. 일부 실시예들에서, 배기 라인은, 격리 밸브의 상류에 배치되어 격리 밸브와 유체 소통하는 배압 제어기를 포함한다. 하나 또는 둘 이상의 실시예들에서, 배기 라인은, 격리 밸브의 상류에 배치되어 격리 밸브와 유체 소통하는 수동 오리피스를 포함한다.
일부 실시예들에서, 장치는 내부 용적을 정의하는 상부, 하부 및 본체를 갖는 앰플을 더 포함하며, 앰플은 입구 도관과 출구 도관을 포함한다. 일부 실시예들에서, 앰플은 격리 밸브를 구비한 적어도 하나의 추가적인 도관을 더 포함하며, 추가적인 도관은 앰플의 내부와 유체 소통한다. 하나 또는 둘 이상의 실시예들에서, 앰플은 고체 전구체를 수용한다.
일부 실시예들에서, 장치는 제 1 히터와 제 1 밸브의 상류에 배치된 제 1 압력계를 더 포함한다. 일부 실시예들에서, 장치는 제 2 히터와 제 2 밸브의 상류에 배치된 제 2 압력계를 더 포함한다.
일부 실시예들에서, 제 2 삼방향 밸브의 출구는 프로세싱 챔버와 유체 소통한다. 일부 실시예들에서, 프로세싱 챔버는 화학 기상 증착 챔버 또는 원자 층 증착 챔버이다.
본 발명의 추가적 실시예들은 화학 전구체를 발생시키기 위한 장치에 관한 것이다. 장치는 입구 라인, 출구 라인, 퍼지 라인 및 바이패스 라인을 포함한다. 입구 라인은 제 1 밸브의 상류에 배치되어 제 1 밸브와 유체 소통하는 제 1 히터를 포함한다. 입구 라인은 앰플의 입구 도관에 연결되도록 구성된다. 출구 라인은 제 1 삼방향 밸브 및 제 2 삼방향 밸브를 포함한다. 제 1 삼방향 밸브는 1개의 입구와 2개의 출구들을 가지며, 2개의 출구들 중 하나는 배기부에 연결되고, 다른 출구는 제 2 삼방향 밸브와 유체 소통한다. 제 2 삼방향 밸브는 2개의 입구들과 1개의 출구를 가지며, 제 1 입구는 제 1 삼방향 밸브의 출구와 유체 소통하고, 제 2 입구는 퍼지 라인과 유체 소통한다. 출구 라인은 제 1 삼방향 밸브의 상류에 배치된 앰플의 출구 도관에 연결되도록 구성된다. 퍼지 라인은 제 2 히터 및 제 2 밸브를 포함한다. 퍼지 라인은 제 2 삼방향 밸브의 하나의 입구와 유체 소통한다. 바이패스 라인은 바이패스 밸브를 포함하며, 제 1 히터 및 제 1 밸브의 하류에 배치된 입구 라인과 유체 소통한다. 출구 라인은 제 1 삼방향 밸브의 상류에 배치된다. 바이패스 라인은 가스가 앰플을 통과하지 않으면서 입구 라인으로부터 출구 라인으로 유동할 수 있도록 구성된다.
본 발명의 다른 실시예들은 화학 전구체를 발생시키기 위한 장치에 관한 것이다. 장치는 입구 라인, 출구 라인, 바이패스 라인, 퍼지 라인 및 배기 라인을 포함한다. 입구 라인은 제 1 밸브를 포함하며, 제 1 밸브의 하류에 배치된 앰플의 입구 도관에 연결되도록 구성된다. 출구 라인은 앰플의 출구 도관에 연결되도록 구성되며, 삼방향 밸브와 유체 소통한다. 바이패스 라인은 바이패스 밸브를 포함하며, 출구 라인 및 제 1 밸브의 하류에 배치된 입구 라인과 유체 소통한다. 바이패스 라인은 가스가 앰플을 통과하지 않으면서 입구 라인으로부터 출구 라인으로 유동할 수 있도록 구성된다. 퍼지 라인은 히터를 포함하며, 히터의 하류에 배치된 삼방향 밸브와 소통한다. 배기 라인은 제 1 밸브의 상류에 배치된 입구 라인 및 삼방향 밸브의 상류에 배치된 출구 라인과 유체 소통한다. 배기 라인은 적어도 2개의 밸브들을 포함한다.
장치의 일부 실시예들은 퍼지 라인과 입구 라인 중 하나 또는 둘 이상에 연결된 적어도 하나의 압력계를 더 포함한다. 일부 실시예들에서, 앰플은 액체 증기 소스를 포함한다. 하나 또는 둘 이상의 실시예들에서, 삼방향 밸브는 프로세싱 챔버와 유체 소통한다. 하나 또는 둘 이상의 실시예들에서, 프로세싱 챔버는 화학 기상 증착 챔버 또는 원자 층 증착 챔버이다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 발명의 하나 또는 둘 이상의 실시예들에 따른 반응물 전달 시스템의 개략도를 도시한다;
도 2는 본 발명의 하나 또는 둘 이상의 실시예들에 따른 반응물 전달 시스템의 개략도를 도시한다;
도 3은 본 발명의 하나 또는 둘 이상의 실시예들에 따른 반응물 전달 시스템의 개략도를 도시한다;
도 4는 본 발명의 하나 또는 둘 이상의 실시예들에 따른 반응물 전달 시스템의 개략도를 도시한다; 그리고
도 5는 본 발명의 하나 또는 둘 이상의 실시예들에 따른 반응물 전달 시스템의 개략도를 도시한다.
본 발명의 실시예들은 캐리어/푸시(push) 가스(예컨대, 질소 또는 아르곤)를 앰플로 유동시키기 전에 캐리어/푸시 가스의 압력을 안정화시킴으로써 전구체 전달 시스템들을 개선하는 장치 및 방법들에 관한 것이다. 압력을 안정화시키면, 전구체의 혼입을 최소화하고 잠재적으로 제거할 수 있으며, 프로세싱 챔버에 대해 더 일관된 투입량(dose)을 제공할 수 있다. 또한, 압력을 안정화시키는 것은 전구체를 폐기(dump)할 필요를 없애고 이는 소유 비용을 줄이는 데 도움이 될 것이다. 본 발명의 실시예들은 버블러 또는 증기 인출 모드에서 사용되는 고체 전구체들 또는 액체들과 함께 사용될 수 있다. 더 높은 증기압의 전구체들(예컨대, SiCl4, TiCl4, TMA)을 사용하는 액체 전달 시스템들에서는, 일관되고 반복가능한 투입을 보장하기 위해 앰플 내 압력의 안정화가 중요할 수 있다.
도 2은 화학 전구체를 함유한 프로세스 가스를 생산하는 데 적합한 통상적인 프로세스 가스 전달 시스템(102)의 단순화된 개략도를 도시하고, 일반적으로 시스템은 가스 패널(104)에 커플링된 캐리어 가스 소스(105)와 프로세싱 챔버(106)를 포함하며, 가스 패널의 구성 요소들은 제어기(150)에 의해 제어된다. 가스 패널(104)은 일반적으로 다양한 프로세스 및 캐리어 가스들이 프로세싱 챔버(106)로 전달되는 속도와 압력을 제어한다. 프로세싱 챔버(106)는, 액체, 가스 또는 플라즈마 상태로 기화된 화학 전구체를 수용하는 기상 증착 프로세스 또는 열 프로세스를 수행하는 챔버일 수 있다. 프로세싱 챔버(106)는 일반적으로 화학 기상 증착(CVD) 챔버, 원자 층 증착(ALD) 챔버, 또는 이들의 파생물이다.
도 1은 화학 전구체를 발생시키기 위한 장치(10)의 광범위한 양태를 도시한다. 장치는 점선으로 앰플(20)을 도시한다. 일부 실시예들에서, 앰플(20)은 장치(10)와 함께 사용하기 위한 것이지만, 장치(10)의 일부는 아니다. 앰플(20)은 내부 용적(23)을 정의하는 상부(21), 하부(22) 및 본체(23)를 갖는다. 앰플(20)은 입구(25)와 출구(26)를 포함하며, 앰플의 내부 용적(24)과 유체 소통하는 적어도 하나의 추가적인 도관(27)을 또한 포함할 수 있다. 추가적인 도관(27)은 격리 밸브(27a)를 포함할 수 있으며, 앰플(20)을 가압 또는 감압하기 위해 사용될 수 있다. 입구(25)는 앰플이 연결되지 않을 때 주변 환경으로부터 입구를 격리하기 위해 입구 격리 밸브(25a)를 포함할 수 있다. 출구(26)는 앰플이 연결되지 않을 때 주변 환경으로부터 출구를 격리하기 위해 출구 격리 밸브(26a)를 포함할 수 있다. 발생 장치(10)에 앰플(20)을 연결한 후에, 앰플(20)의 내부 용적(24)과 유체 소통을 허용하기 위해 입구 격리 밸브(25a)와 출구 격리 밸브(26a)가 개방될 수 있다.
앰플은 의도한 증착 프로세스에서 사용하기에 적당한 임의의 유형의 전구체를 수용할 수 있다. 일부 실시예들에서, 앰플(20)은 고체 전구체와 액체 전구체 중 하나 또는 둘 이상을 수용한다. 고체 전구체 또는 액체 전구체는, 본체(23)로부터 상부(21)를 분리하는 것에 의해서, 또는 추가적인 도관(27)을 통해서 앰플에 추가될 수 있다. 하나 또는 둘 이상의 실시예들에서, 앰플(20)은 고체 전구체를 포함한다.
장치(10)는 캐리어 가스 또는 캐리어 가스 소스와 유체 소통하는 입구 라인(30)을 포함한다. 앰플(20)이 존재할 때, 입구 라인(30)은 캐리어 가스의 앰플(20) 내로의 유동을 제어하는 앰플 입구 밸브(31)를 갖는다. 장치(10)는, 앰플(20)이 존재할 때, 앰플(20)을 빠져나가는 전구체 증기와 캐리어 가스의 유동을 제어하는 출구 밸브(41)를 포함한 출구 라인(40)을 또한 포함한다.
바이패스 라인(50)은 입구 라인(30)과 출구 라인(40)을 연결한다. 앰플(20)이 존재할 때, 바이패스 라인(50)은 앰플 출구 밸브(26a)의 하류에 배치되는 바이패스 밸브(51)를 포함한다. 바이패스 밸브(51)는, 캐리어 가스를 앰플(20)로 유동시키지 않으면서, 캐리어 가스가 입구 라인(30)으로부터 유동하여 출구 라인(40)을 퍼징할 수 있도록 한다. 예컨대, 앰플(20)이 존재하지 않을 때, 바이패스 밸브(51)는 캐리어 가스의 유동을 허용하도록 개방될 수 있다. 일부 실시예들의 바이패스 라인(50)과 바이패스 밸브(51)는 앰플 입구 밸브(31)의 상류에 배치된다. 하나 또는 둘 이상의 실시예들에서, 바이패스 라인(50)은 앰플 입구 밸브(31)의 하류에 배치된 입구 라인(30)에 연결된다. 일부 실시예들에서, 바이패스 라인(50)과 바이패스 밸브(51)는 앰플 출구 밸브(41)의 하류에 배치된 출구 라인(40)과 소통한다. 하나 또는 둘 이상의 실시예들에서, 바이패스 라인(50)은 앰플 출구 밸브(41)의 상류에 배치된 출구 라인(40)에 연결된다. 일부 실시예들에서, 바이패스 라인(50)은 앰플 입구 밸브(31)의 상류에 배치된 입구 라인(30)에 연결되어 입구 라인과 유체 소통하고, 그리고 앰플 출구 밸브(41)의 하류에 배치된 출구 라인(40)에 연결되어 출구 라인과 유체 소통한다. 하나 또는 둘 이상의 실시예들에서, 바이패스 라인(50)은 앰플 입구 밸브(31)의 하류에 배치된 입구 라인(30)에 연결되어 입구 라인과 유체 소통하고, 그리고 앰플 출구 밸브(41)의 상류에 배치된 출구 라인(40)에 연결되어 출구 라인과 유체 소통한다.
퍼지 라인(60)은 퍼지 가스 또는 퍼지 가스 소스와 유체 소통한다. 퍼지 라인(60)은 프로세싱 챔버(70)에 대한 퍼지 가스의 유동을 허용하기 위해 퍼지 라인(60)과 유체 소통하는 제 2 출구 밸브(61)를 포함한다. 일부 실시예들에서, 제 2 출구 밸브(61)는 퍼지 라인(60)과 유체 소통하는 제 1 입력부(61a)와, 출구 라인(40)과 유체 소통하는 제 2 입력부(61b)를 포함한다. 그리고, 제 2 출구 밸브(61)는 프로세싱 챔버(70)를 향하여 유동을 지향시키는 제 1 출구(61c)를 또한 포함할 수 있다. 일부 실시예들에서, 제 2 밸브(61)는, 출구 라인(40)과 퍼지 라인(60) 중 오직 하나로부터의 유동을 프로세싱 챔버(70)로 전달할 수 있거나 출구 라인(40)과 퍼지 라인(60)으로부터의 유동을 혼합할 수 있는 삼방향 밸브 또는 비례 밸브이다. 혼합된 유동은 전체 출구 라인(40)으로부터 전체 퍼지 라인(60)까지 다양할 수 있으며, 중간(in between)의 모든 상태를 포함할 수 있다.
제 3 출구 밸브(80)는 출구 라인(40)과 유체 소통하고, 앰플(20)로부터의 캐리어 가스 및/또는 화학 전구체의 유동이 배기 라인(포어라인)으로 지향될 수 있게 하며, 배기 라인(포어라인)은 프로세싱 챔버(70)를 바이패싱한다. 일부 실시예들에서, 제 3 출구 밸브(80)는 출구 라인(40)과 유체 소통하는 바이패스 라인(50)의 하류에 배치된다. 이러한 구성은, 앰플(20)이 존재하지 않을 때, 가스가 포어라인으로 지향될 수 있도록 한다. 하나 또는 둘 이상의 실시예들에서, 제 3 출구 밸브(80)는 바이패스 라인(50)의 상류에 배치되어, 출구 라인(40)과 유체 소통한다.
일부 실시예들에서, 입구 라인(30)은, 앰플(20)이 존재할 때, 앰플(20)의 상류에 배치된 배기 라인(90)을 포함한다. 배기 라인은 배기 라인과 유체 소통하는 배기 디바이스(91)를 포함한다. 일부 실시예들의 배기 디바이스(91)는 격리 밸브의 상류에 배치되어 격리 밸브와 유체 소통하는 배압 제어기이다(도 3 참조). 하나 또는 둘 이상의 실시예들에서, 배기 디바이스(91)는 격리 밸브의 상류에 배치되어 격리 밸브와 유체 소통하는 수동 오리피스를 포함한다(도 4 참조).
도 2에 도시된 구성에서, 제어기(150)는 중앙 처리 장치(CPU)(152), 메모리(154) 및 지원 회로들(156)을 포함한다. 중앙 처리 장치(152)는, 서브 프로세서들과 다양한 챔버들을 제어하기 위해 산업 분야에 이용될 수 있는 컴퓨터 프로세서의 임의의 형태 중 하나일 수 있다. 메모리(154)는 CPU(152)에 커플링되고, 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플래시 메모리, 컴팩트 디스크, 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 로컬 또는 원격 디지털 스토리지와 같은, 쉽게 입수할 수 있는 메모리 중 하나 또는 둘 이상일 수 있다. 지원 회로들(156)은 통상의 방식으로 CPU(152)를 지원하기 위해 CPU(152)에 커플링된다. 이 회로들은 캐시, 전력 공급 장치들, 클록 회로들, 입력/출력 회로, 및 서브 시스템들 등을 포함한다.
유체 전달 회로(136)는, 일반적으로, 프로세싱 챔버(106)의 작동을 위해 필요한 경우, 캐리어 가스 소스(105), 앰플(100) 및 프로세싱 챔버(106)를 유체적으로 커플링하도록 의도된다. 캐리어 가스 소스(105)는 로컬 용기, 원격 용기, 또는 설비를 통해 캐리어 가스를 공급하는 집중화된 설비 소스(예컨대, 옥내(in-house) 가스 공급원)일 수 있다. 캐리어 가스 소스(105)는 통상적으로 캐리어 가스, 예컨대, 질소, 수소, 아르곤, 헬륨, 또는 이들의 조합들을 공급한다. 퍼지 액체와 같은 특수한 퍼지 유체들의 사용이 필요한 경우, 유체 전달 회로(136)에 추가적인 퍼지 유체 소스들(도시되지 않음)이 또한 유체적으로 커플링될 수 있다. 유체 전달 회로(136)는 통상적으로 캐리어 가스 소스(105)와 접합부(130) 사이에 배치되어 유체 전달 회로(136)를 통과하는 캐리어 가스 또는 다른 유체들의 유량(flow rate)을 조절하도록 이루어진 유동 제어기(120)를 포함한다. 유동 제어기(120)는 비례 밸브, 조절 밸브, 니들 밸브, 조절기, 질량 유량 제어기(MFC) 등일 수 있다. 접합부(130)는 유체 전달 회로(136)를 가스 발생 라인(138)과 바이패스 라인(140)으로 분리한다. 접합부(132)는 가스 발생 라인(138)과 바이패스 라인(140)을 프로세싱 챔버(106)에 연결하기 전에 재결합한다.
가스 발생 라인(138)은 앰플 입구 구간(138a), 앰플 출구 구간(138b), 밸브들(108, 110, 112), 센서들(126, 128), 연결해제 피팅들(disconnect fittings)(162, 163), 및 히터(122)를 포함한다. 앰플 입구 구간(138a)은 앰플(100)의 입구를 캐리어 가스 소스(105)와 바이패스 라인(140)에 유체적으로 커플링한다. 앰플 출구 구간(138b)은 앰플 조립체(100)의 출구를 프로세싱 챔버(106)와 바이패스 라인(140)에 유체적으로 커플링한다. 밸브들(108, 110, 및 112)은 통상적으로 원격으로 제어가능한 차단 밸브들이며, 유체 전달 회로(136) 내에서 유체들의 유동을 전환시키는(divert) 역할을 하고 그리고/또는 센서들(126, 128), 히터(122) 및 앰플 조립체(100)를 포함하는 격리된 구성 요소의 제거, 교체 및/또는 서비스를 용이하게 하기 위해 유체 전달 회로(136) 내의 다양한 구성 요소들을 선택적으로 격리시키는데 사용된다. (바이패스 라인(140)과 관련하여 후술하는) 밸브들(114, 116, 118)과 아울러, 밸브들(108, 110, 112)은 일반적으로 공압적으로 또는 전자적으로 제어되며, 습윤화되는 내부 표면들은 유체 전달 회로(136)에 의해 취급되는 다른 유체들 및 프로세스들과 호환가능한 물질로 제조된다. 통상적으로, 밸브들(108, 110, 112, 114, 116, 및 118)은 제어기(150)로부터의 신호에 따라 작동되어 유체 전달 회로(136)를 통한 가스들의 전달을 조정한다. 가스 발생 라인(138)의 도관에 대향하여 배치된 열전대와 같은 센서들(126, 128)은 일반적으로, 가스 발생 라인(138)을 통해 유동하는 프로세스, 캐리어 및/또는 퍼지 유체의 온도를 검출하도록 이루어진다. 앰플의 출구에 있는 유동 센서(127)는 챔버로 전달되는 유량(flux)을 결정하기 위해 사용된다.
바이패스 라인(140)은 일반적으로 밸브들(114, 116)과 히터(124)를 포함하고, 가스 발생 라인(138)이나 앰플 조립체(100)를 사용하지 않으면서, 프로세싱 챔버(106)와 캐리어 가스 소스(105)를 유체적으로 커플링하는 역할을 한다. 밸브(118)는 일반적으로 접합부(132)와 프로세싱 챔버(106) 사이에 커플링되며, 유체 전달 회로(136)로부터 프로세싱 챔버(106)를 격리시키기 위해 사용될 수 있다. 히터들(122, 124)은 가스 발생 라인(138)과 바이패스 라인(140)을 각각 통해서 유동하는, 캐리어 가스와 같은 유체의 유동을 가열하도록 구성된 저항 가열 요소들 또는 다른 열원들이다.
앰플 조립체(100)는 일반적으로 앰플 또는 본체(170), 입구 라인(164), 출구 라인(165), 연결해제 피팅들(162b, 163b), 및 입구 라인(164, 165)에 각각 배치된 수동 차단 밸브들, 수동 밸브들(160, 161)을 포함한다. 불용 구간 도관 세그먼트(dead leg conduit segment; 171b)가 수동 밸브(160)와 연결해제 피팅(162) 사이의 입구 라인(164)에 배치되고, 불용 구간 도관 세그먼트(172b)가 수동 밸브(161)와 연결해제 피팅(163) 사이의 출구 라인(165)에 배치된다. 또한, 앰플 조립체(100)는, 화학 전구체들을 저장, 전송 및 분배하기 위해 설계되어 사용되는 용기들을 설명하기 위해, 버블러, 캐니스터 및 당업계에 공지된 다른 용어들로 호칭될 수 있다. 입구 라인(164)은 연결해제 피팅(162)에서 앰플 입구 구간(138a)에 커플링되며, 출구 라인(165)은 연결해제 피팅(163)에서 앰플 출구 구간(138b)에 커플링된다. 연결해제 피팅들(162, 163)은, 통상적으로, 가스 발생 라인(138)과 그 구성 부분들과 같은 가스 패널(104)의 모든 다른 구성 요소들을 제 위치에 유지하면서, 가스 패널(104)에서 앰플 조립체(100)의 제거 및 교체를 용이하게 하도록 구성된다. 이를 위해, 연결해제 피팅들(162, 163)은, 통상적으로, 메이팅(mating) 연결해제 피팅들(162a, 162b 및 163a, 163b)을 각각 포함하며, 연결해제 피팅들(162b, 163b)은 앰플 조립체(100)에 속하고, 대응하는 연결해제 피팅들(162a, 163a)은 유체 전달 회로(136)에 포함된다. 적용예에 따라, 연결해제 피팅들(162a, 162b 및 163a, 163b)은 신속 연결해제형 피팅들, VCR 피팅들과 같은 재밀봉가능한 진공 밀봉 피팅들, 또는 다른 적당한 연결해제 피팅들일 수 있다.
앰플 조립체(100)는 다양한 크기들과 형태들을 가질 수 있다. 앰플 조립체(100)는 약 0.5 L 내지 약 10 L 범위, 더 전형적으로는, 약 1.2 L 내지 약 4 L 범위 이내의 화학 전구체 용적 용량을 가질 수 있다. 일례로, 앰플 조립체(100)는 약 2.5 L의 화학 전구체 용적 용량을 갖는다. 앰플 조립체(100) 내에 있을 수 있는 화학 전구체들은, 바람직하게는, 미리 정해진 온도들 및/또는 압력들에서 액체 또는 유체와 같은 상태인, 액체, 고체 및 가스 전구체들을 포함한다. 예컨대, 화학 전구체는 실온에서는 고체 상태로 존재할 수 있지만, 앰플 내에서 미리 정해진 온도로 가열되는 경우 액체 상태로 용융된다. 다른 예에서, 대부분의 화학 전구체는 앰플 내에서 고체 상태로 남을 수 있지만, 프로세싱 동안에 승온된 온도로 가열됨으로써, 소량의 고체 전구체가 증기로 직접 승화하게 된다. 다른 예에서, 화학 전구체는 대기압에서 가스 상태로 존재할 수 있지만, 앰플 내에서 미리 정해진 압력으로 가압되는 경우 액체 상태로 응축된다.
프로세싱 동안에, 캐리어 가스는 캐리어 가스 소스(105)로부터 유체 전달 회로(136)를 통해 앰플 조립체(100)로 유동한다. 캐리어 가스가 히터(122)에 의해 가열될 수 있거나, 앰플 조립체(100)가 원하는 온도로 가열될 수 있거나, 일부 적용예에서, 캐리어 가스와 앰플 조립체(100)가 모두 가열될 수 있다. 프로세싱 동안에, 밸브들(114 및 116)이 폐쇄되어, 모든 캐리어 가스 유동을 가스 발생 라인(138)과 앰플 조립체(100)를 통해 프로세싱 챔버(106)로 지향시킬 수 있다.
앰플 조립체(100)를 제거 및 교체하기 전에 실시되는 초기 펌프-퍼지 절차 동안에, 수동 밸브들(160, 161) 또는 공압 밸브들(110, 112)이 폐쇄된다. 이는 본체(170)를 가스 발생 라인(138)으로부터 격리시킨다. 펌프-퍼지 절차의 펌프 다운 세그먼트 동안에, 또한 캐리어 가스 소스(105)는, 캐리어 가스 소스(105)와 유체 전달 회로(136) 사이에 배치된 차단 밸브(도시되지 않음)에 의해 유체 전달 회로(136)로부터 격리된다. 통상적으로, 앰플 조립체(100)의 불용 구간 도관 세그먼트들(171b, 172b)과 유체 전달 회로(136)를 펌프 다운하기 위해 프로세싱 챔버(106)의 진공 소스가 사용된다. 대안적으로, 유체 전달 회로(136)에 유체적으로 커플링된 진공 펌프와 같은 전용 진공 소스가 사용될 수 있다. 어느 경우에나, 진공 소스로부터 격리되지 않은 유체 전달 회로(136)의 모든 구성 요소들은, 가스 패널(104)에서 필요한 밸브들을 개방함으로써, 원하는 진공 레벨, 예컨대, 저(rough)진공, 중(medium)진공, 또는 고(high)진공으로 펌프 다운된다. 예컨대, 프로세싱 챔버(106)의 진공 소스가 유체 전달 회로(136)의 펌프 다운을 위해 사용되는 경우, 밸브(118)가 개방되어 프로세싱 챔버(106)를 유체 전달 회로(136)에 유체적으로 커플링하고, 밸브들(114 및 116)이 개방됨으로써 바이패스 라인(140)이 앰플 입구 구간(138a)을 진공에 유체적으로 커플링하며, 밸브들(110 및 112)이 개방되어 도관 세그먼트들(171, 172)과 불용 구간 도관 세그먼트들(171b, 172b)을 진공에 유체적으로 커플링한다. 펌프 다운 세그먼트 동안에 목표화된 원하는 진공 레벨은 각각의 특정 CVD 또는 ALD 적용예에 따라 좌우되며, 이 진공 레벨은 전구체들의 증기압, 제거되는 다른 잔여물들 및 유체 전달 라인의 길이와 같은 변수들의 함수이다. 일 실시예에서, 퍼징되지 않은 유체 전달 라인들이 존재함에도 불구하고, 앰플 조립체(100)의 수동 밸브들(160, 161)을 폐쇄하기 위해, 사람이 가스 패널(104)에 들어갈 수 있다.
펌프-퍼지 절차의 퍼지 세그먼트에서, 캐리어 가스 소스(105)와 같은 퍼지 유체 소스가 유체 전달 회로(136)에 유체적으로 커플링되며, 원하는 퍼지 유체가 그 내부로 도입된다. 원하는 퍼지 유체는 불활성 가스 또는 다른 캐리어 가스와 같은 가스, 또는 테트라히드로푸란(THF) 또는 트리글림 또는 옥탄과 같은 용매들을 포함한 액체일 수 있다. 퍼지 유체의 조성은 종종 하나 또는 둘 이상의 액체 용매 퍼지들을 필요로 하는 저증기압 액체들, 고체 입자들, 및 퍼징될 화학 잔여물들의 화학적 구성(chemical make-up) 및 물리적 상태에 따라 좌우된다. 게다가, 또한, 퍼지 유체는 히터들(122, 124)에 의해 또는 유체 전달 회로(136)로 도입되기 전에 원하지 않는 화학 잔여물의 제거를 돕기 위해 퍼지 세그먼트 동안에 가열될 수 있다. 일례로, 프로세싱 챔버(106)와 같은 진공 소스는 퍼지 세그먼트 동안에 유체 전달 회로(136)로부터 격리될 수 있으며, 또는 퍼지 세그먼트 전체에 걸쳐 퍼지 유체를 연속적으로 제거하기 위해 유체 전달 회로에 유체적으로 커플링될 수 있다. 퍼지 유체의 활성 유동은 퍼지 절차 동안에 바이패스 라인(140)을 따라 주로 발생할 수 있다. 앰플 입구 구간(138a)과 앰플 출구 구간(138b)으로의 퍼지 유체의 유일한 활성 유동은, 유체 전달 회로의 이 두 섹션들이 퍼지 세그먼트의 시작시에 퍼지 유체로 백-필될 때(back-filled) 발생한다. 따라서, 앰플 입구 구간(138a)과 앰플 출구 구간(138b)은 상당한 길이의 광범위한 불용 구간의 역할을 하며, 잠재적으로 다수의 유동 제한 엘보우들을 포함한다. 또한, 앰플 교체시 대기에 노출될 유체 전달 회로(136)의 영역들, 즉, 도관 세그먼트들(171, 172)과 불용 구간 도관 세그먼트들(171b, 172b)이 오염될 가능성이 있으며, 준비하는 과정에서 철저하게 퍼징될 수 있다. 그러나, 도관 세그먼트들(171, 172)과 불용 구간 도관 세그먼트들(171b, 172b)은 상술한 불용 구간의 원위 단부들에 배치되며, 효과적으로 퍼징하기 어려운 유체 전달 회로(136)의 영역들이다.
제거 동안에, 유체 전달 회로(136)로부터 도관 세그먼트들(171, 172)을 유체적으로 격리하기 위해 밸브들(110 및 112)이 폐쇄되고, 앰플 조립체(100)의 제거가 가능하도록 연결해제 피팅들(162, 163)이 분리되는데, 여기에서 앰플 조립체(100)에 속한 메이팅 연결해제 피팅들(162b, 163b)은 앰플 조립체(100)와 함께 제거된다. 전술한 바와 같이, 앰플 차단 밸브들, 즉, 수동 밸브들(160, 161)은, 앰플 조립체(100) 내에 수용된 전구체 화학 물질들에 장기간 노출된 후, 항상 완전하게 누설 밀봉될 수는 없다는 것이 당업계에 공지되어 있다. 입구 라인(164)과 출구 라인(165)에서 앰플 조립체(100)를 위해 단일의 격리 지점이 사용되기 때문에, 즉, 수동 밸브들(160, 161)이 각각 사용되기 때문에, 가스 패널(104)로부터 소진된 앰플을 제거하는 동안 앰플 조립체(100) 내외로 누설될 가능성이 있다. 갓-충전된(freshly-charged) 앰플은 연결해제 피팅들(162, 163)에서 유체 전달 회로(136)에 재연결된다.
새로운 앰플 조립체(100)를 설치한 후, 앰플 제거/교체 동안에 파손된 어떤 유체 전달 연결 지점들 또는 다른 시일들에 대해 누설 검사가 실시되며, 이 예에서는 연결해제 피팅들(162, 163)에 대해 누설 검사가 실시된다. 누설 검사는 오염 물질들이 유체 전달 회로(136)로 인출되지 않고, 독성 화학 전구체들이 프로세싱 동안에 앰플 조립체(100) 밖으로 누설되지 않도록 보장한다. 연결해제 피팅들(162, 163) 중 어느 하나가 진공 밀봉되지 않으면, 앰플 조립체(100)의 화학적 내용물들과 불용 구간 도관 세그먼트들(171b, 172b)로 누설되었을 수 있는 어떤 오염 물질들 간에 오직 하나의 격리 지점이 존재하게 된다.
도 3은 본 발명의 하나 또는 둘 이상의 실시예들에 따른 가스 전달 시스템(202)을 도시한다. 앰플(200)은 앰플(200)의 상부 또는 앰플(200)의 측부들에 일련의 밸브들(260, 261)을 갖는다. 밸브들(260, 261)은 앰플(200) 밖으로 그리고 프로세스 반응기 내로 전구체 증기의 이동을 용이하게 한다. 입구 밸브(260)는 불활성 캐리어/푸시 가스의 앰플(200)로의 유동을 제어하고, 출구 밸브(261)는 전구체 증기를 제어한다. 상술한 밸브들은 공압 밸브들 및 수동 밸브들을 포함하여 임의의 적당한 밸브 기구일 수 있지만, 이에 한정되는 것은 아니다. 예컨대, 공압 밸브로 상술한 밸브는 다른 유형들의 밸브들로 대체될 수 있으며, 특정 밸브 기구들에 대한 설명이 본 발명의 범위를 한정하는 것으로 간주되지 않아야 한다는 것을 당업자라면 이해할 것이다.
입구 밸브(260)의 상류에 바이패스 라인(240)이 배치된다. 바이패스 라인(240)은 입구 밸브(260)의 상류와 앰플(200)의 출구 밸브(261)의 하류를 연결한다. 캐리어 가스의 유동을 제어하고 캐리어 가스가 앰플(200)을 바이패싱할 수 있도록 하는 바이패스 밸브(262)가 바이패스 라인(240)을 따라 배치된다. 바이패스 밸브(262)는 사용자가 캐리어 가스를 앰플(200)로 유동시키지 않으면서 출구 밸브(261)를 퍼징할 수 있게 한다. 바이패스 밸브(262)는, 앰플(200)을 제거하기 전에, 앰플(200)의 하류에 배치된 출구 라인(265)이 비어 있는지 확인하는 데 도움이 된다. 입구 밸브(260)의 상류와 출구 밸브(261)의 하류에 바로 인접하여 수동 밸브들(도시되지 않음)이 배치된다. 이 수동 밸브들은 앰플(200)을 격리하는 이차 수단을 제공한다. 즉, 앰플(200)은 입구 도관(260a)과 출구 도관(261a)을 포함할 수 있으며, 바이패스 라인(240)은, 입구 도관(260a)과 출구 도관(261a)을 유체 연결하는, 원격으로 제어되는 바이패스 밸브(262)를 포함한다.
출구 밸브(261), 바이패스 라인(240) 및 수동 밸브(도시되지 않음)의 하류에는 1개의 입구와 2개의 출구들을 갖는 삼방향 밸브(218)가 배치된다. 삼방향 밸브(218)의 출구들 중 하나는 유동을 프로세싱 챔버(206)를 향하여 지향시키고, 다른 출구는 유동을 포어라인으로 지향시켜서 챔버(206)를 바이패싱한다.
도 3에 도시된 실시예는 삼방향 밸브(218)와 챔버(206) 사이에 제 2 삼방향 밸브(219)를 포함한다. 제 2 삼방향 밸브(219)는 퍼지 가스(예컨대, 질소)를 유동시키는 데 사용될 수 있는 퍼지 라인(280)에 연결된다. 퍼지 가스는 앰플(200) 밖으로 증기를 신속하게 인출하기 위한 희석 가스 및 벤츄리(Venturi)로서 사용될 수 있다. 퍼지 라인(280) 상의 삼방향 밸브(219)의 상류에는, 앰플(200)이 순수 증기 인출 모드로 사용될 수 있도록, 퍼지 라인(280)을 격리시키는 데 사용되는 밸브(281)가 배치된다. 입구 라인(238) 상의 밸브(260)의 상류에는 앰플(200) 주변의 라인들을 펌핑 및 퍼징하는 데 사용되는 밸브(264)가 배치된다. 퍼지 라인(280) 상의 밸브(281)와 입구 라인(238) 상의 밸브(264) 중 하나 또는 양쪽 모두의 상류에는 가스 히터들(222, 224)이 배치되며, 이 가스 히터들은 개별 라인을 통해 유동하는 가스의 온도를 승온하여 전구체가 라인들 내에서 응축되지 않도록 하는 데 사용된다.
입구 라인(238) 상의 제 1 히터(224)의 더 상류에는 배압 제어기(290)를 포함한 배기 라인(289)이 배치된다. 배압 제어기(290)의 목적은 입구 라인(238) 내의 가스가 앰플(200)로 유동되기 전에 압력이 안정화될 수 있도록 하는 것이다. 이는 손상을 유발하거나 예측불가한 전구체 농축을 초래할 수 있는 앰플(200)에 대한 급격한 압력 증가를 방지하는 데 도움이 될 수 있으며, 전구체의 혼입을 방지하는 데 도움이 될 수 있다. 임의의 특정 작동 이론에 얽매이지 않고, 유동에 대한 설정점이 있을 때, MFC의 하류에 배치된 격리 밸브(291) 및 질량 유량 제어기(도시되지 않음)가 개방되면, 약간의 압력 급등이 앰플(200)에 들어간다. 이러한 급등을 완화하기 위해, 가스는 배압 조절기(290)로 유동하고, 이어서 포어라인으로 유동한다. 배압 조절기(290)는 이 가스의 압력을 설정하여 특정 압력으로 유지되도록 하는 데 사용된다.
도 3의 실시예는 압력계들(227, 228)이 포함된 폐루프 구성에서 사용될 수 있다. 폐루프 구성은, 앰플(200)로 캐리어 가스가 도입될 때, 입구 라인(238) 내의 캐리어 가스의 압력이 앰플(200)의 압력과 일치할 수 있게 할 것이다. 프로세싱 후에, 앰플의 임의의 변동들이 포착될 것이며, 그에 따라 배압이 설정될 것이다. 또한 캐리어 가스를 전환시키는 것은, 유동을 안정화시키기 위해 전구체를 폐기할 필요를 없앤다.
앰플(200)을 감압하기 위해 앰플(200) 덮개 또는 측벽들 상의 격리 밸브(295)를 구비한 제 3 포트가 사용될 수 있다. 이 포트의 목적은 사용자가 앰플(200) 내의 압력을 작동 설정점으로 완화할 수 있도록 하는 것이다. 이러한 특징은 전달 라인 내의 전구체가 챔버로 혼입될 가능성을 완화하고 버핑(burping) 프로세스로부터 발생된 입자들을 제거하는 데 도움이 될 수 있다.
도 4는 본 발명의 다른 실시예를 도시한다. 이 실시예에서는, 밸브(264)가 제거되었고, 배압 제어기(290)가 수동으로 조정가능한 오리피스(293)로 대체되었다. 수동으로 조정가능한 오리피스(293)는 배압 제어기(290)와 유사한 효과를 가지며, 배압 제어기는 피드백 제어를 갖는다. 밸브(264)의 위치는 도 3에 도시된 바와 같이 가스 히터(224)의 하류이거나, 도 4에 밸브(294)로 도시된 바와 같이 가스 히터의 상류일 수 있다. 압력 제어기의 압력 설정점은 퍼지 라인(280) 상의 밸브(281)로부터 삼방향 밸브(219)를 통해 나오는 퍼지 가스에 의해 결정된다. 설정점 압력은 벤츄리 효과에 따라 퍼지 가스보다 낮거나 높을 수 있다.
도 5는 도 3 및 도 4와 유사한 메커니즘을 도시하지만, 액체 증기 전달 시스템에 유용하도록 변형되어 있다. 도 5의 실시예들에서, 제어기들(493, 494)은 도 4의 밸브들(293, 294)의 기능과 유사한 기능을 제공하고, 여기에서 캐리어 가스의 유동은 앰플(400)의 상류에서 전환될 수 있다. 격리 밸브(496)는 챔버(206)로 들어가기 전의 가스 유동들을 밸브(208)로부터 격리시키는 역할을 한다.
도 5의 액체 전달 시스템에 있어서, TiCl4, TMA 또는 SiCl4와 같은 일부 전구체들의 증기압이 더 높은 경우, 앰플(400) 하류에서 압력 안정화가 중요할 수 있다. 따라서, 수동으로 조정가능한 오리피스(460) 또는 압력 제어기가 펄싱 밸브(260)의 상류에 직접 배치되며, 앰플(400) 내의 압력을 유지하는 데 사용된다. 조정가능한 오리피스(460)는 펄싱 밸브(260)와 오리피스(460) 사이에 있는 임의의 불용 용적을 제거하기 위해 펄싱 밸브(260)에 근접하여 배치되어야 한다. 액체 하드웨어에 대한 설정은 고체의 경우와 동일하다. 고체 전달을 위하여, 전달 라인 내의 압력은 퍼지 가스의 압력에 의해 조절된다. 액체 전달을 위하여, 전달 시스템 내의 압력을 더 조절하기 위해 니들 밸브들이 사용된다. 니들 밸브(496)는 챔버(206)로의 유동을 제어하고, 니들 밸브(498)는 배기부(207)로의 유동을 제어한다.
일부 실시예들에서, 도 3을 다시 참조하면, 앰플(200)은 격리 밸브(251)를 구비한 추가적인 도관(250)을 더 포함한다. 이러한 추가적인 도관(250)과 격리 밸브(251)는 He와 같은 불활성 가스로 전구체 앰플의 일부 또는 모든 구성 요소들을 충전하거나 또는 백-필하기 위해 사용될 수 있다. 앰플(200)은 오염 물질들이 앰플(200)로 들어가는 것을 방지하기 위해 대기압을 초과하는 압력으로 불활성 가스로 충전될 수 있다. 또한 앰플(200)은 하나 또는 둘 이상의 열전도성 코팅 층들을 통해 그 내용물을 더 균일하게 가열할 수 있는 가열 기구(도시되지 않음) 내에 봉입될 수 있다.
화학적 호환성과 기계적 강도 때문에, 본체(170)는 통상적으로 316 스테인리스 스틸(316 SST)과 같은 스테인리스 스틸로 제조된다. 다양한 유형들의 화학 전구체들, 예컨대, 반응성이 높은 물질들이 본체(170) 내에 저장될 수 있기 때문에, 본체(170)의 물질은 화학적으로 상당히 불활성이어야 한다. 상당한 기계적 강도가 앰플 조립체(100)의 본체(170)를 위한 바람직한 특성이 된다. 일부 실시예들에서, 본체(170)는 프로세스들 동안에 대기압보다 낮은 압력에서 작동할 수 있으며, 운반과 저장을 위해 대기압을 초과하도록 가압될 수 있다. 따라서, 본체(170)는 진공 챔버나 압력 용기로서 사용될 때 독성 화학 전구체를 위한 신뢰할 수 있는 수용 용기로서의 역할을 하여야 한다.
316 SST는 열전도율이 불량한 매체이기 때문에, 사용 동안에 본체(100) 내부에서 바람직하지 않은 열 구배들이 나타날 수 있다. 예컨대, 액체 화학 전구체가 본체(100) 내부에 수용되는 경우, 액체 전구체가 소진됨에 따라 본체(100)의 더 많은 용적이 증기로 채워지며, 본체(100)의 불량한 열전도율은 앰플 수명의 후반부에서 액체 전구체 내부에 불균일한 가열(예컨대, 핫 스팟들)을 초래할 수 있다. 본체(100)가 고체 화학 전구체를 수용하는 경우와 같은 다른 예에서, 본체(100)의 불량한 열전도율은 앰플 수명 전체에 걸쳐 핫 스팟들을 생성할 수 있다. 어느 경우에나, CVD 프로세스 또는 ALD 프로세스는 이러한 온도 불균일들에 의해 영향을 받을 수 있다.
프로세스 가스들을 형성하기 위해 사용될 수 있는 고체 화학 전구체들은 펜타키스(디메틸아미도)탄탈륨(PDMAT; Ta(NMe2)5), 펜타키스(디에틸아미도)테르티아리아미리미도-트리스(디메틸아미도)탄탈륨(TAIMATA,(tAmylN)Ta(NMe2)3)과 같은 탄탈륨 전구체들을 포함하며, 여기서, tAmyl은 테르티아리아밀기(C5H11 또는 -CH3CH2C(CH3)2-) 또는 그 유도체들이다. 일 실시예에서, PDMAT는 낮은 할로겐 함량(예컨대, Cl, F, l 또는 Br)을 갖는다. PDMAT는 약 100 ppm 미만의 할로겐 농도를 가질 수 있다. 예컨대, PDMAT는 약 100 ppm 미만, 바람직하게는, 약 20 ppm 미만, 더 바람직하게는, 약 5 ppm 미만, 그리고, 더 바람직하게는, 약 1 ppm 미만, 예컨대, 약 100 ppb 또는 그 미만의 염소 농도를 가질 수 있다.
승화 프로세스를 통해 프로세스 가스들을 형성하기 위해 사용될 수 있는 다른 고체 화학 전구체들은 하프늄 테트라클로라이드(HfCl4), 제논 디플루오라이드, 니켈 카르보닐, 및 텅스텐 헥사카르보닐 또는 이들의 유도체들을 포함한다. 다른 실시예들에서, 본원에 개시된 앰플들 내에서 프로세스 가스들을 형성하기 위해 액체 화학 전구체들이 증발될 수 있다. 프로세스 가스들을 형성하기 위해 사용될 수 있는 다른 화학 전구체들은 텅스텐 헥사플루오라이드(WF6)와 같은 텡스텐 전구체들, 탄탈륨(PDEAT; Ta(NEt2)5), 펜타키스(메틸에틸아미도)탄탈륨(PMEAT; Ta(NMeEt)5), 테르트부틸이미노트리스(디메틸아미노)탄탈륨(TBTDMT, tBuNTa(NMe2)3), 테르트부틸이미노트리스(디에틸아미노)탄탈륨(TBTDET, tBuNTa(NEt2)3), 테르트부틸이미노트리스(메틸에틸아미노)탄탈륨(TBTMET, tBuNTa(NMeEt)3) 또는 이들의 유도체들과 같은 탄탈륨 전구체들, 티타늄 테트라클로라이드(TiCl4), 테트라키스(디메틸아미노)티타늄(TDMAT,(Me2N)4Ti)), 테트라키스(디에틸아미노)티타늄(TEMAT, (Et2N)4Ti)) 또는 이들의 유도체들과 같은 티타늄 전구체들, 비스(에틸시클로펜타디에닐)루테늄((EtCp)2Ru)과 같은 루테늄 전구체들, 테트라키스(디메틸아미노)하프늄(TDMAH, (Me2N)4Hf)), 테트라키스(디에틸아미노)하프늄(TDEAH, (Et2N)4Hf)), 테트라키스(메틸에틸아미노)하프늄(TMEAH, (MeEtN)4Hf)) 또는 이들의 유도체들과 같은 하프늄 전구체들, 및 1-메틸파이로리드라진:알란(MPA, MeC4H3N:AlH3), 피리딘:알란(C4H4N:AlH3), 알킬아민 알란 착체들(예컨대, 트리메틸아민:알란(Me3N:AlH3), 트리에틸아민:알란(Et3N: AlH3), 디메틸에틸아민:알란(Me2EtN:AlH3)), 트리메틸알루미늄(TMA, Me3Al), 트리에틸알루미늄(TEA, Et3l), 트리부틸알루미늄(Bu3Al), 디메틸알루미늄 클로라이드(Me2AlCl), 디에틸알루미늄 클로라이드(Et2AlCl), 디부틸알루미늄 하이드라이드(Bu2AlH), 디부틸알루미늄 클로라이드(Bu2AlCl) 또는 이들의 유도체들과 같은 알루미늄 전구체들을 포함한다. 하나 또는 둘 이상의 실시예들에서, 전구체는 하프늄 테트라클로라이드이다.
퍼지 가스는 당업계에 공지된 임의의 적당한 퍼지 기체일 수 있다. 적당한 퍼지 가스들은, 이에 한정되는 것은 아니지만, 헬륨, 질소, 네온, 아르곤, 크립톤 및 제논을 포함한다. 일부 실시예들에서, 퍼지 가스는 질소이다.
본원에서는 특정 실시예들을 참조하여 본 발명을 설명하였으나, 이 실시예들은 본 발명의 원리들과 적용예들을 단지 예시하고 있음을 이해하여야 한다. 본 발명의 사상과 범위를 벗어나지 않고 본 발명의 방법 및 장치에 대한 다양한 변형들 및 변경들이 이루어질 수 있음이 당업자들에게는 명백할 것이다. 따라서, 본 발명은 첨부된 특허청구범위 내에 속하는 변형들 및 변경들과 그들의 등가물들을 포함하도록 의도된다.

Claims (15)

  1. 화학 전구체를 발생시키기 위한 장치로서,
    캐리어 가스와 유체 소통하며, 앰플에 대한 캐리어 가스의 흐름을 제어하는 앰플 입구 밸브를 가진 입구 라인;
    앰플을 빠져나가는 전구체 증기와 캐리어 가스의 흐름을 제어하는 출구 밸브를 가진 출구 라인;
    상기 앰플 출구 밸브의 하류에 배치되고, 캐리어 가스를 앰플로 흐르게 하지 않고, 캐리어 가스가 입구 라인으로부터 흘러 출구 라인을 퍼징할 수 있도록 하는 바이패스 밸브;
    퍼지 가스가 프로세싱 챔버로 흐르도록 당해 퍼지 라인과 유체 소통하는 제 2 출구 밸브를 포함하는 퍼지 라인; 및
    화학 전구체가 앰플로부터 프로세싱 챔버를 우회하여 포어라인으로 흐르게 하는 제 3 출구 밸브를 포함하는,
    화학 전구체를 발생시키기 위한 장치.
  2. 제 1 항에 있어서,
    상기 바이패스 밸브는 앰플 입구 밸브의 상류에 배치되는,
    화학 전구체를 발생시키기 위한 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 제 2 출구 밸브는 퍼지 라인과 유체 소통하는 제 1 입력부와, 출구 라인과 유체 소통하는 제 2 입력부를 포함하는,
    화학 전구체를 발생시키기 위한 장치.
  4. 제 3 항에 있어서,
    상기 제 2 밸브는 출구 라인으로부터의 흐름만, 또는 퍼지 라인으로부터의 흐름만, 또는 퍼지 라인과 출구 라인으로부터의 흐름들의 혼합 흐름을 프로세싱 챔버로 전달할 수 있는 삼방향 밸브인,
    화학 전구체를 발생시키기 위한 장치.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    내부 체적을 형성하는 상부, 하부 및 본체를 가진 앰플을 더 포함하며, 상기 앰플은 입구 도관과 출구 도관을 포함하는,
    화학 전구체를 발생시키기 위한 장치.
  6. 제 5 항에 있어서,
    상기 앰플은 격리 밸브를 구비한 적어도 하나의 추가적인 도관을 더 포함하며, 상기 추가적인 도관은 앰플의 내부와 유체 소통하는,
    화학 전구체를 발생시키기 위한 장치.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 입구 라인과 상기 퍼지 라인 중 하나 이상은 히터를 포함하는,
    화학 전구체를 발생시키기 위한 장치.
  8. 제 7 항에 있어서,
    각각의 히터의 상류에 배치된 압력계를 더 포함하는,
    화학 전구체를 발생시키기 위한 장치.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 입구 라인은 앰플의 상류에 배치된 배기 라인을 포함하는,
    화학 전구체를 발생시키기 위한 장치.
  10. 화학 전구체를 발생시키기 위한 장치로서,
    제 1 히터 및 제 1 밸브를 포함하며, 앰플의 입구 도관에 연결되도록 구성된 입구 라인;
    제 1 삼방향 밸브 및 제 2 삼방향 밸브를 포함하는 출구 라인으로서, 상기 제 1 삼방향 밸브는 1개의 입구와 2개의 출구들을 가지며, 2개의 출구들 중 하나는 배기관에 연결되고, 다른 출구는 제 2 삼방향 밸브와 유체 소통하며, 상기 제 2 삼방향 밸브는 2개의 입구들과 1개의 출구를 갖고, 제 1 입구는 제 1 삼방향 밸브의 출구와 유체 소통하며, 제 2 입구는 퍼지 라인과 유체 소통하고, 상기 출구 라인은 제 1 삼방향 밸브의 상류에 배치된 앰플의 출구 도관에 연결되도록 구성된, 출구 라인;
    제 2 히터 및 제 2 밸브를 포함하며, 제 2 삼방향 밸브의 하나의 입구와 유체 소통하는 퍼지 라인; 및
    바이패스 밸브를 포함하는 바이패스 라인으로서, 상기 제 1 히터 및 제 1 밸브의 하류에 배치된 입구 라인 및 상기 제 1 삼방향 밸브의 상류에 배치된 출구 라인과 유체 소통하고, 가스가 앰플을 통과하지 않고 입구 라인으로부터 출구 라인으로 흐를 수 있도록 구성된, 바이패스 라인을 포함하는,
    화학 전구체를 발생시키기 위한 장치.
  11. 제 10 항에 있어서,
    상기 제 2 히터는 제 2 밸브의 상류에 배치되어 제 2 밸브와 유체 소통하는,
    화학 전구체를 발생시키기 위한 장치.
  12. 제 10 항에 있어서,
    상기 제 1 히터의 상류에 배치되어 입구 라인과 유체 소통하는 배기 라인을 더 포함하는,
    화학 전구체를 발생시키기 위한 장치.
  13. 제 9 항 또는 제 12 항에 있어서,
    상기 배기 라인은 격리 밸브의 상류에 배치되어 격리 밸브와 유체 소통하는 배압 제어기를 포함하는,
    화학 전구체를 발생시키기 위한 장치.
  14. 제 9 항 또는 제 12 항에 있어서,
    상기 배기 라인은 격리 밸브의 상류에 배치되어 격리 밸브와 유체 소통하는 수동 오리피스를 포함하는,
    화학 전구체를 발생시키기 위한 장치.
  15. 화학 전구체를 발생시키기 위한 장치로서,
    제 1 밸브의 상류에 배치되어 제 1 밸브와 유체 소통하는 제 1 히터를 포함하며, 앰플의 입구 도관에 연결되도록 구성된 입구 라인;
    제 1 삼방향 밸브 및 제 2 삼방향 밸브를 포함하는 출구 라인으로서, 상기 제 1 삼방향 밸브는 1개의 입구와 2개의 출구들을 가지며, 2개의 출구들 중 하나는 배기관에 연결되고, 다른 출구는 제 2 삼방향 밸브와 유체 소통하고, 상기 제 2 삼방향 밸브는 2개의 입구들과 1개의 출구를 가지며, 제 1 입구는 제 1 삼방향 밸브의 출구와 유체 소통하고, 제 2 입구는 퍼지 라인과 유체 소통하며, 상기 출구 라인은 제 1 삼방향 밸브의 상류에 배치된 앰플의 출구 도관에 연결되도록 구성된, 출구 라인;
    제 2 히터 및 제 2 밸브를 포함하며, 제 2 삼방향 밸브의 하나의 입구와 유체 소통하는 퍼지 라인; 및
    바이패스 밸브를 포함하는 바이패스 라인으로서, 상기 제 1 히터 및 제 1 밸브의 하류에 배치된 입구 라인 및 상기 제 1 삼방향 밸브의 상류에 배치된 출구 라인과 유체 소통하고, 가스가 앰플을 통과하지 않고 입구 라인으로부터 출구 라인으로 흐를 수 있도록 구성된, 바이패스 라인을 포함하는,
    화학 전구체를 발생시키기 위한 장치.
KR1020197010790A 2011-07-22 2012-07-20 Ald/cvd 프로세스들을 위한 반응물 전달 시스템 KR102245759B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201161510677P 2011-07-22 2011-07-22
US61/510,677 2011-07-22
US201161526920P 2011-08-24 2011-08-24
US61/526,920 2011-08-24
US13/554,487 2012-07-20
US13/554,487 US20130019960A1 (en) 2011-07-22 2012-07-20 Reactant Delivery System For ALD/CVD Processes
PCT/US2012/047641 WO2013016208A2 (en) 2011-07-22 2012-07-20 Reactant delivery system for ald/cvd processes

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR20147004563A Division KR20140050681A (ko) 2011-07-22 2012-07-20 Ald/cvd 프로세스들을 위한 반응물 전달 시스템

Publications (2)

Publication Number Publication Date
KR20190042755A true KR20190042755A (ko) 2019-04-24
KR102245759B1 KR102245759B1 (ko) 2021-04-27

Family

ID=47554930

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197010790A KR102245759B1 (ko) 2011-07-22 2012-07-20 Ald/cvd 프로세스들을 위한 반응물 전달 시스템
KR20147004563A KR20140050681A (ko) 2011-07-22 2012-07-20 Ald/cvd 프로세스들을 위한 반응물 전달 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR20147004563A KR20140050681A (ko) 2011-07-22 2012-07-20 Ald/cvd 프로세스들을 위한 반응물 전달 시스템

Country Status (4)

Country Link
US (1) US20130019960A1 (ko)
KR (2) KR102245759B1 (ko)
CN (1) CN103688339B (ko)
WO (1) WO2013016208A2 (ko)

Families Citing this family (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5236755B2 (ja) * 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI615497B (zh) 2013-02-28 2018-02-21 應用材料股份有限公司 金屬胺化物沉積前驅物及具有惰性安瓿襯裡之該前驅物的穩定化
US9447497B2 (en) * 2013-03-13 2016-09-20 Applied Materials, Inc. Processing chamber gas delivery system with hot-swappable ampoule
KR101463105B1 (ko) * 2014-01-02 2014-12-04 연세대학교 산학협력단 황화 텅스텐층 형성 방법 및 황화 텅스텐층 형성 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102387359B1 (ko) * 2014-04-18 2022-04-14 어플라이드 머티어리얼스, 인코포레이티드 자동-리필 앰풀 및 사용 방법들
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
TWI723024B (zh) 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6565645B2 (ja) * 2015-12-02 2019-08-28 東京エレクトロン株式会社 原料ガス供給装置、原料ガス供給方法及び記憶媒体
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102219697B1 (ko) 2016-03-28 2021-02-23 어플라이드 머티어리얼스, 인코포레이티드 증착-후 가스 라인들 내측의 잔류 전구체를 제거하기 위한 장치 및 방법들
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US9869018B2 (en) * 2016-04-26 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Solid precursor delivery method using liquid solvent for thin film deposition
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN110462096A (zh) * 2017-04-21 2019-11-15 应用材料公司 材料沉积布置、用于沉积材料的方法和材料沉积腔室
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10947621B2 (en) * 2017-10-23 2021-03-16 Applied Materials, Inc. Low vapor pressure chemical delivery
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111727499A (zh) * 2018-01-15 2020-09-29 应用材料公司 先进温度监测系统和用于半导体制造生产力的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP6774972B2 (ja) * 2018-02-08 2020-10-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
PL239633B1 (pl) * 2018-02-14 2021-12-20 Politechnika Lodzka Układ do zasilania w pary prekursora reaktorów do nakładania powłok metodami próżniowymi
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP2021536528A (ja) 2018-09-03 2021-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 薄膜堆積のための直接液体注入システム
US11107704B2 (en) 2018-09-05 2021-08-31 Applied Materials, Inc. Gas input system for a substrate processing chamber
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
EP4013904A4 (en) 2019-08-12 2023-10-25 Meo Engineering Company, Inc. METHOD AND APPARATUS FOR INJECTING PRECURSOR GAS
KR20230024424A (ko) 2019-08-12 2023-02-20 커트 제이. 레스커 컴파니 원자 스케일 처리를 위한 초고순도 조건
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220145456A1 (en) * 2020-11-09 2022-05-12 Applied Materials, Inc. Refillable large volume solid precursor sublimation vessel
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117004923A (zh) * 2022-04-29 2023-11-07 拓荆科技股份有限公司 半导体处理装置
WO2023215199A1 (en) * 2022-05-02 2023-11-09 Lam Research Corporation Gas supply line arrangements

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100572305B1 (ko) * 1998-11-23 2006-09-27 삼성전자주식회사 반도체제조설비
KR20090006150A (ko) * 2006-03-30 2009-01-14 어플라이드 머티어리얼스, 인코포레이티드 화학적 증착 또는 원자 층 증착용 화학물 전달 장치
KR20100126423A (ko) * 2008-02-22 2010-12-01 프랙스에어 테크놀로지, 인코포레이티드 다중 앰풀 전달 시스템

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100273474B1 (ko) * 1998-09-14 2000-12-15 이경수 화학기상 증착장치의 가스 공급장치와 그 제어방법
JP4845385B2 (ja) * 2004-08-13 2011-12-28 東京エレクトロン株式会社 成膜装置
JP5074073B2 (ja) * 2007-03-30 2012-11-14 東京エレクトロン株式会社 粉体状ソース供給系の洗浄方法、記憶媒体、基板処理システム及び基板処理方法
US8137468B2 (en) * 2008-03-17 2012-03-20 Applied Materials, Inc. Heated valve manifold for ampoule
US8017527B1 (en) * 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US20100305884A1 (en) * 2009-05-22 2010-12-02 Applied Materials, Inc. Methods for determining the quantity of precursor in an ampoule

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100572305B1 (ko) * 1998-11-23 2006-09-27 삼성전자주식회사 반도체제조설비
KR20090006150A (ko) * 2006-03-30 2009-01-14 어플라이드 머티어리얼스, 인코포레이티드 화학적 증착 또는 원자 층 증착용 화학물 전달 장치
KR20100126423A (ko) * 2008-02-22 2010-12-01 프랙스에어 테크놀로지, 인코포레이티드 다중 앰풀 전달 시스템

Also Published As

Publication number Publication date
CN103688339A (zh) 2014-03-26
KR20140050681A (ko) 2014-04-29
CN103688339B (zh) 2016-09-28
KR102245759B1 (ko) 2021-04-27
WO2013016208A3 (en) 2013-03-28
US20130019960A1 (en) 2013-01-24
WO2013016208A2 (en) 2013-01-31

Similar Documents

Publication Publication Date Title
KR102245759B1 (ko) Ald/cvd 프로세스들을 위한 반응물 전달 시스템
US8951478B2 (en) Ampoule with a thermally conductive coating
US7562672B2 (en) Chemical delivery apparatus for CVD or ALD
US8137468B2 (en) Heated valve manifold for ampoule
TWI615497B (zh) 金屬胺化物沉積前驅物及具有惰性安瓿襯裡之該前驅物的穩定化
US7775508B2 (en) Ampoule for liquid draw and vapor draw with a continuous level sensor
JP6228257B2 (ja) 前駆体材料の送達のための容器及び方法
CN111066134B (zh) 低蒸汽压化学物质的输送
JP4418056B2 (ja) 化学気相堆積装置、および化学気相堆積方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
AMND Amendment
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant