CN111727499A - 先进温度监测系统和用于半导体制造生产力的方法 - Google Patents

先进温度监测系统和用于半导体制造生产力的方法 Download PDF

Info

Publication number
CN111727499A
CN111727499A CN201980013792.7A CN201980013792A CN111727499A CN 111727499 A CN111727499 A CN 111727499A CN 201980013792 A CN201980013792 A CN 201980013792A CN 111727499 A CN111727499 A CN 111727499A
Authority
CN
China
Prior art keywords
temperature
processing system
processing
chamber
information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980013792.7A
Other languages
English (en)
Inventor
路雪松
琳·张
约瑟夫·C·沃纳
吴昌锡
巴拉基·帕苏帕希
迈克尔·W·约翰逊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111727499A publication Critical patent/CN111727499A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K3/00Thermometers giving results other than momentary value of temperature
    • G01K3/005Circuits arrangements for indicating a predetermined temperature
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K3/00Thermometers giving results other than momentary value of temperature
    • G01K3/02Thermometers giving results other than momentary value of temperature giving means values; giving integrated values
    • G01K3/04Thermometers giving results other than momentary value of temperature giving means values; giving integrated values in respect of time
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K3/00Thermometers giving results other than momentary value of temperature
    • G01K3/08Thermometers giving results other than momentary value of temperature giving differences of values; giving differentiated values
    • G01K3/10Thermometers giving results other than momentary value of temperature giving differences of values; giving differentiated values in respect of time, e.g. reacting only to a quick change of temperature
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B11/00Automatic controllers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B15/00Systems controlled by a computer
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B15/00Systems controlled by a computer
    • G05B15/02Systems controlled by a computer electric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24585Other variables, e.g. energy, mass, velocity, time, temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30455Correction during exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文中的实施方式提供监测流体输送管道和其他部件的温度的方法和与所述方法相关的监测系统,所述流体输送管道用于将流体输送到在电子装置制造中使用的处理腔室的处理空间,所述其他部件在处理腔室的处理空间外部。在一个实施方式中,一种监测处理系统的方法包括以下步骤:经由数据采集装置接收来自一个或多个温度传感器的温度信息,和接收来自系统控制器的背景信息,所述系统控制器耦接至包括处理腔传达室的处理系统。在此,一个或多个温度传感器设置在处理腔室的处理空间外部的一个或多个位置中。背景信息与由系统控制器执行以控制处理系统的一个或多个操作的指令相关。

Description

先进温度监测系统和用于半导体制造生产力的方法
背景
领域
本文中所述的实施方式一般涉及半导体装置制造领域,并且更具体地,涉及监测在电子装置制造中使用的处理腔室的处理空间外部的部件的温度的方法和与所述方法相关的监测系统。
相关技术的说明
随着下一代装置的电路密度增加和晶体管尺寸持续缩小,清洁、无污染的基板处理环境益发重要。这是因为在基板处理之前、期间和/或之后,基板表面上不希望的颗粒污染物会不利地影响装置性能,并且/或者抑制装置合格率(所制造的装置总数量中符合性能规格的装置的百分比)。一种已知的颗粒污染源是由在气相前驱物源与处理腔室的处理空间之间的流体输送管道中的气相前驱物的凝结(condensation)造成的。
气相输送系统(也称为汽化的(vaporized)液体输送系统)通常用于沉积工艺中,诸如化学气相沉积(CVD)工艺、原子层沉积(ALD)工艺或蚀刻工艺,其中气相的并且有时是气体前驱物与基板表面反应并且/或者在基板表面上反应,以在基板表面上沉积材料层或从基板表面去除材料层的一部分。气相输送系统提供气体流量控制和前驱物的输送,这些前驱物在环境温度与低于和处于和高于大气压下处于液相或固相。
气相输送系统通常使用蒸发源(例如加热容器),以将前驱物从液相或固相转变为气相。通常,在处理基板期间,气相前驱物经由输送管道流入处理腔室(诸如CVD处理腔室、ALD处理腔室或蚀刻处理腔室)的处理空间中,并且使基板表面暴露于气相前驱物。通常,例如使用(包括电阻加热元件的)柔性聚合物加热器护套(jacket)或使用绕输送管道和设置在输送管道上的绝缘(insulating)覆盖物缠绕的加热器带(heater tape)来加热输送管道。沿输送管道的长度加热输送管道防止输送管道中的气相前驱物凝结。尽管如此,沿着输送管道壁的不均匀温度(如冷点)可能导致不希望的凝结和/或在输送管道的内表面上的前驱物沉积。
不幸的是,在输送管道中前驱物不希望的凝结或沉积导致处理空间中和设置在处理空间中的基板表面上的不希望的颗粒污染。此外,因为气相前驱物流入处理空间中的流率通常取决于蒸发源和输送管道两者的温度,所以不均匀的温度会对气相前驱物的流率有不希望的影响。气相前驱物的流率的变化可能影响沉积速率和沉积在基板表面上的材料层的材料性质。
沿输送管道的不均匀温度可能是由于加热器护套安装不当、加热护套中加热元件故障或加热元件的部分故障。不均匀的温度也可能是因为在处理系统维护期间、由此在系统维护期间、在设施维护期间或由于与加热器护套的无意接触而不希望的加热护套改变位置。通常,直到在输送管线中产生的凝结导致工艺偏差(excursion)(如缺陷偏差)之后才发现沿输送管道的不均匀温度,其中在基板处理之后,或者当经由处理腔室所处理的(具有抑制的装置合格率的)基板的共同特征(commonality)而将抑制的装置合格率追溯回处理腔室时,才在基板表面上发现颗粒污染。
此外,常规的基板处理系统通常经配置而监测与基板处理系统的处理空间中的基板处理环境相关的温度测量结果。一般来说,这些处理系统缺乏监测与基板处理环境外部的处理部件相关的温度信息的能力和灵活性。处理空间中的高基板处理温度(如大于约650℃)可能不利地影响在处理空间外部(但与处理空间热连通)的处理系统部件的性能和可靠性。
因此,本领域需要监测连接到电子装置制造中所使用的处理腔室的处理空间的输送管道和在电子装置制造中所使用的处理腔室的处理空间外部的其他部件的温度的方法和与所述方法相关的监测系统。
发明内容
本公开内容的实施方式一般涉及在电子装置制造工艺中使用的基板处理系统。更具体言之,本文中的实施方式涉及监测连接到在电子装置制造中使用的处理腔室的处理空间的输送管道和在电子装置制造中使用的处理腔室的处理空间外部的其他部件的温度的方法和与所述方法相关的监测系统。
在可与本文公开的一个或多个其他实施方式组合的一个实施方式中,监测处理系统的方法包括以下步骤:经由数据采集装置接收来自一个或多个温度传感器的温度信息,和接收来自系统控制器的背景信息,所述系统控制器耦接至处理系统,所述处理系统包括处理腔室。在此,一个或多个温度传感器设置在处理腔室的处理空间外部的一个或多个位置中。背景信息与由系统控制器执行以控制处理系统的一个或多个操作的指令相关。
在可与本文公开的一个或多个其他实施方式组合的另一实施方式中,监测气相前驱物输送管道的方法包括以下步骤:经由数据采集装置接收来自复数个温度传感器的温度信息,和接收来自系统控制器的背景信息,所述系统控制器耦接至处理系统。所述处理系统包括处理腔室和气相前驱物源。气相前驱物源通过气相输送管道流体地耦接到处理腔室的处理空间。复数个温度传感器定位在沿着气相前驱物输送管道的长度的至少一部分的相应复数个位置处。背景信息与由系统控制器执行以控制处理系统的操作的指令相关。
在可与本文公开的一个或多个其他实施方式组合的另一实施方式中,温度监测系统包括数据采集装置和远程监测器,所述数据采集装置经配置以经由一个或多个相应通信链路接收来自一个或多个温度传感器的信息,所述远程监测器通信地耦接至所述数据采集装置并且通信地耦接至处理系统的系统控制器。处理系统包括处理腔室,并且一个或多个温度传感器分别定位于处理腔室的处理空间外部的一个或多个位置处。
附图简要说明
为了详细理解本公开内容的上文记载的特征所用方式,可通过参考实施方式获得上文简要概述的本公开内容的更具体描述,一些实施方式在附图中图示。然而,值得注意的是,附图仅图示本公开内容的典型实施方式,因此不视为对本公开内容的范围的限制,因为本公开内容可允许其他等效的实施方式。
图1是根据一个实施方式的单腔室处理系统的示意性横截面图和与单腔室处理系统一起使用的远程监测系统的示意图。
图2A是经适配以受益于本文所述实施方式的多腔室处理系统的示意性平面图。
图2B是根据一个实施方式的经适配以与复数个多腔室处理系统一起使用的远程监测系统的示意图。
图3是阐述根据一个实施方式的监测气相前驱物输送管道的方法的流程图。
图4是阐述根据一个实施方式的监测处理系统的方法的流程图。
为便于理解,已尽可能使用相同的参考数字来代表图中共有的相同元件。可以预期的是一个实施方式中的元件与特征可有利地结合在其他实施方式中而无需赘述。
具体实施方式
本公开内容的实施方式一般涉及在电子装置制造工艺中使用的基板处理系统。更具体言之,本文的实施方式涉及监测连接到在电子装置制造中使用的处理腔室的处理空间的流体输送管道和在电子装置制造中使用的处理腔室的处理空间外部的其他部件的温度的方法和与所述方法相关的监测系统。
图1是根据一个实施方式的处理系统的示意性横截面图和与所述处理系统一起使用的远程监测系统的示意图。处理系统100包括处理腔室102和气源140,气源140包括气相前驱物源141。在此,处理腔室102是化学气相沉积(CVD)腔室,具体地是等离子体增强CVD腔室。在其他实施方式中,处理腔室是经配置以通过将基板表面暴露于气相前驱物而使用气相前驱物处理基板的任何处理腔室,或者需要对在处理腔室的处理空间外部的部件进行远程监测的任何处理腔室。例如,在一些实施方式中,本文描述的系统和方法经适配以与热CVD腔室、包括等离子体增强原子层沉积(ALD)腔室的ALD腔室、蚀刻腔室(如等离子体增强蚀刻腔室)、热处理腔室、注入腔室(implant chamber)或上述腔室的组合一起使用。
在此,处理腔室102具有盖组件103、一个或多个侧壁104和腔室底部105,盖组件103、一个或多个侧壁104和腔室底部105共同限定处理空间106。通常被称为喷头的气体分配器107(具有穿过气体分配器107设置的复数个开口108)设置在盖组件103中并且用于将处理气体(包括气相前驱物)从气体入口109均匀地分配到处理空间106中。气体分配器107耦接至电源110(如RF或VHF电源),电源110供应电力以经由与电源110耦接的电容能量来点燃和维持处理等离子体,处理等离子体(在此是等离子体111)由处理气体组成。处理空间106经由真空出口112流体地耦接到腔室排放装置117,如耦接到一个或多个专用真空泵,例如涡轮泵、粗泵(rough pump)或上述泵的组合。腔室排放装置117将处理空间106维持在次大气压(sub-atmospheric)的条件下并且从处理空间106中排出处理气体和其他气体。
在一些实施方式中,加热将处理空间106流体地耦接到腔室排放装置117的排放管道161,以防止未反应的气相前驱物凝结或防止在贴近处理空间106的位置处排放管道161中的前驱物沉积。(设置在处理空间106中的)基板支撑组件113设置在密封地延伸穿过腔室底部105的支撑轴114上。第一波纹管115外接(circumscribe)支撑轴114并且耦接到腔室底部105和第一致动器116,以在腔室底部105与第一致动器116之间提供柔性密封并且维持处理空间106的真空完整性。支撑轴114耦接到第一致动器116,第一致动器116经配置以升高和降低支撑轴114,并且因此升高和降低设置在支撑轴114上的基板支撑组件113,以便于处理基板119并且将基板119传递到处理腔室102和从处理腔室102传递。
基板119通过在一个或多个侧壁104的一个侧壁中的开口120装载到处理空间106中和从处理空间106移除,在基板处理期间,通常用门或阀(未示出)来密封开口120。设置在升降杆环122(lift pin hoop)上方但可与升降杆环122接合的复数个升降杆121穿过基板支撑组件113而可移动地设置,以便于将基板119传递到基板支撑组件113的基板接收表面和从基板支撑组件113的基板接收表面传递。升降杆环122耦接到延伸穿过腔室底部105的升降环轴123,这使用耦接到升降环轴123的第二致动器124来升高和降低升降杆环122。第二波纹管125外接升降环轴123并且耦接到腔室底部105和第二致动器124,以在腔室底部105与第二致动器124之间提供柔性密封并且维持处理空间106的真空完整性。当升降杆环122处于升高位置时,复数个升降杆121被从下方接触并且被移动以在基板支撑组件113的基板接收表面上方延伸,从而自基板支撑组件113举起基板119并且使得能够通过机械手传送器(handler)(未示出)来存取基板119。当升降杆环122处于降低位置时,复数个升降杆121的顶部与基板支撑组件的接收表面齐平或在基板支撑组件的接收表面下方,并且基板119放置在复数个升降杆121上。
通常,基板支撑组件113包括支撑基部126和基板支撑件127,基板支撑件127热耦接至支撑基部126并且设置在支撑基部126上。在一些实施方式中,支撑基部126用于在处理期间调节基板支撑件127和设置在基板支撑件127的基板接收表面上的基板119的温度。这里的支撑基部126包括设置在支撑基部126中的一个或多个冷却通道128,冷却通道128经由一个或多个冷却剂管线129流体地耦接到冷却剂源130并且与冷却剂源130流体连通。通常,冷却剂源130是具有相对高的电阻的制冷剂源或水源。
在本文中,支撑基部126由耐腐蚀的导热材料形成,例如耐腐蚀的金属,如铝、铝合金或不锈钢,并且用粘合剂或通过机械手段将支撑基部126热耦接至基板支撑件127。基板支撑件127通常由介电材料形成,例如金属氧化物或金属氮化物,如氧化铝,并且在一些实施方式中,基板支撑件127包括一个或多个加热器131,如嵌入在基板支撑件127中的一个或多个电阻加热元件。在一些实施方式中,基板支撑组件113包括一个或多个加热器131和冷却通道128两者,以实现基板支撑件127和设置在基板支撑件127上的基板119的温度的精细温度控制。通常,基板119通过静电吸附(ESC)力的方式固定到基板支撑件127。吸附力是提供给嵌入在基板支撑件127的介电材料中的吸附电极(未示出)的电压与设置在基板支撑件127上的基板119之间的电势的函数。在一些实施方式中,基板支撑组件113进一步包括嵌入基板支撑件127的介电材料中的一个或多个偏压电极(未示出),其中一个或多个偏压电极耦接至一个或多个偏压电源(未示出)。
处理系统100进一步包括气源140,气源140包括设置在气体供应柜142中或耦接至气体供应柜142的一个或多个气相前驱物源141。气相前驱物源141使用热和/或真空增强汽化工艺使液体前驱物汽化,所述液体前驱物是由与气相前驱物源141流体连通的液相前驱物安瓿143提供。在其他实施方式中,气相前驱物源141是液体注射汽化器,经配置以将气相前驱物和载气的混合物提供到处理腔室102的处理空间106。在一些实施方式中,气相前驱物源141经配置以使固体前驱物汽化或升华。
在基板处理操作期间,一种或多种气相前驱物经由与处理空间106流体连通的输送管道144流入处理空间106中。通常,输送管道144设置在加热器护套146中,加热器护套146经配置以提供热能来沿着输送管道144的长度将输送管道144维持在希望的温度。在一些实施方式中,加热器护套146由柔性聚合物材料形成,并且包括一个或多个电阻加热元件(未示出),其中一个或多个电阻加热元件贴近(proximate to)输送管道144设置、设置在输送管道144上和/或紧密接触输送管道144。在其他实施方式中,使用加热器带(未示出)将输送管道144维持在希望的温度,所述加热器带沿着管道的长度或沿着导管的长度的一部分缠绕所述管道。
本文中的气源140可远离处理腔室102定位,如在子制造区(sub-fab)(通常是处理腔室102所在的台面(floor)下方的构建台面(building floor),即处理腔室102所在的清洁室区域下方),或是可贴近处理腔室和/或邻近(adjacent to)处理腔室定位。
处理系统100进一步包括系统控制器132。这里的系统控制器132包括中央处理单元(在此是CPU 133)、存储器134和支持电路135。系统控制器132用于控制工艺序列、调节从气源140进入处理空间106的气流(包括气相前驱物)、加热/冷却和/或维持基板支撑件127和/或设置在基板支撑件127的基板接收表面上的基板119、通过控制由电源110提供给盖组件103的电力来点燃和维持等离子体111,和控制基板传送操作(包括分别通过第一致动器116和第二致动器124来升高和降低支撑轴114和/或升降环轴123)。CPU 133是通用计算机处理器,经配置以用于工业环境,以控制处理腔室和与通用计算机处理器相关的子处理器。这里的存储器134包括随机存取存储器、只读存储器、软盘或硬盘驱动,或其他适合形式的数字存储装置(本地或远程的)。支持电路135通常耦接至CPU 133并且包括缓存、时钟电路、输入/输出子系统、电源和类似物和上述项的组合。在一些实施方式中,系统控制器132进一步包括一个或多个计算机可读介质(未示出)。
本文中的计算机可读介质包括位于系统控制器132本地或远程两者之一的任何装置,能够储存可由计算装置检索的信息。可与本公开内容的实施方式一起使用的计算机可读介质的实例包括固态存储器、软盘、内部或外部硬盘驱动和光学存储器(CD、DVD、BR-D等)。在一个实施方式中,计算机可读介质包括存储器134。此外,任何连接都适当地称为计算机可读介质。例如,当使用同轴电缆、光纤电缆、双绞线、数字用户线路(DSL)或无线技术(如红外线(IR)、无线电和微波)自网站、服务器或其他远程源传输指令时,则同轴电缆、光纤电缆、双绞线、DSL或无线技术(如红外线、无线电和微波)包含于介质的定义中。当CPU133执行软件例程时,软件例程将CPU转换为控制处理系统100的操作的专用计算机(这里为系统控制器132),使得工艺根据本公开内容的实施方式执行。在一些实施方式中,软件例程由远离处理系统100定位的第二控制器(未示出)储存和/或执行。在其他实施方式中,本文中所述的工艺或工艺的部分由一个或多个专用集成电路(ASIC)或其他类型的硬件实施方式来执行。在一些其他实施方式中,本文中描述的工艺由软件例程、ASIC和/或其他类型的硬件实施方式的组合来执行。
远程监测系统150包括复数个第一传感器145、数据采集(DAQ)装置152,将复数个第一传感器145通信地耦接至DAQ装置152的复数个通信链路151,和经由通信链路156通信地耦接至DAQ装置152的远程监测器155。复数个第一传感器145(这里是复数个温度传感器,例如复数个热电偶)设置在加热器护套146中,加热器护套146在沿输送管道144长度的相应复数个位置处贴近输送管道144、邻近输送管道144和/或与输送管道144紧密接触。复数个第一传感器145中的每一个测量在沿着输送导管144的长度的相应位置处输送管道144的表面的温度和/或贴近输送管道144的表面的温度。
在一些实施方式中,复数个第一传感器145中的一个或多个传感器定位在被认为可能更容易发生非均匀温度偏差的位置处,例如在输送管道穿过在片状金属壁中形成的开口(如在气体供应柜中形成的开口、或在子制造区与清洁室之间的台面(floor)中形成的开口)的位置、或在输送管道144中的弯曲位置处、或者在输送管道144和/或加热器护套146可能被无意中接触的位置处(如在高人流量区域(high foot traffic area))、或者在加热器护套146的一个或多个部分连接的位置处。在一些实施方式中,复数个第一传感器145沿着输送管道144的长度或沿着输送管道144的长度的部分以固定的(regular)间隔隔开。
在进一步或其他实施方式中,远程监测系统150包括一个或多个第二传感器185,这里是一个或多个温度传感器,如一个或多个热电偶。在此,一个或多个第二传感器185设置在处理系统100的部件的表面上、贴近处理系统100的部件的表面定位、邻近处理系统100的部件的表面定位或与处理系统100的部件的表面紧密接触。例如,在一些实施方式中,一个或多个第二传感器185设置在排放管道161上,排放管道161将处理空间106流体地耦接到腔室排放装置117。在一些实施方式中,一个或多个第二传感器185设置在气体供应柜142上、设置在气体供应柜142中和/或贴近气体供应柜142设置,和/或设置在液相前驱物安瓿143上,使得能够分别监测气体供应柜环境和/或前驱物安瓿。在一些实施方式中,一个或多个第二传感器185设置在处理空间106外部的处理腔室102的表面上,例如在用于将开口120密封于处理空间106的门或阀(未示出)上和/或贴近所述门或阀。在一些实施方式中,一个或多个第二传感器185设置在处理空间106外部的位置中的基板支撑组件113的支撑轴114上、或者在处理空间106外部的位置中的升降环轴123上、并且/或者在与支撑轴114、升降环轴123耦接的相应致动器116、124上。
在其他实施方式中,远程监测系统150提供灵活配置,其中一个或多个第二传感器185可以定位在处理系统100上和/或贴近处理系统100的一个或多个期望位置处,以用于故障排除处理和/或与处理腔室100相关的设备性能问题。远程监测系统150包括设置在处理腔室的处理空间外部的位置中的一个或多个第二传感器185的实施方式适用于与需要远程监测处理系统温度的任何基板处理系统一起使用,包括与CVD腔室、ALD腔室、蚀刻腔室、物理气相沉积(PVD)腔室、注入腔室和/或热处理腔室一起使用。
传感器145、185中的每一个将相应的所测量的温度转换为电信号(这里是电压),电信号经由相应的通信链路151传达到DAQ装置152。这里,通信链路151是有线连接。在其他实施方式中,通信链路151包括无线通信协议,在无线通信协议中,传感器145、185中的每一个通信地耦接至远程通信装置(如射频(RF)装置),远程通信装置将从传感器145、185接收的信息发送到与DAQ装置152通信的一个或多个询问器(interrogator)。这里,DAQ装置152包括合适的电路和多通道模拟到数字(A/D)转换器,用于将从传感器145、185接收的复数个模拟电压信号转换成数字化的信息,接着经由通信链路156将数字化的信息发送到远程监测器155。在一些实施方式中,DAQ装置152使用通信链路158进一步通信地耦接至系统控制器132,通信链路158允许系统控制器132从DAQ装置152接收数字化信息或向DAQ装置152传送信息。通常,通信链路156、158包括有线连接(如以太网)。在其他实施方式中,通信链路156、158中的一者或两者包括无线通信协议。
远程监测器155包括中央处理单元(CPU)173、存储器174和支持电路175。远程监测器155用于将从DAQ装置152接收的数字化信息转换为传感器145、185的每个传感器的温度数据,针对不希望的温度偏差实时监测温度数据,产生用于储存的历史数据,执行历史数据的统计分析,和/或标记温度偏差(即温度变化超出对使用者可接受的范围)。CPU 173是通用计算机处理器,经配置以用于工业环境,用于经由DAQ装置152收集和监测从一个或多个传感器接收的数据。这里的存储器174包括随机存取存储器、只读存储器、软盘或硬盘驱动,或其他合适形式的的数字储存(本地或远程的)。支持电路175通常耦接至CPU 133并且包括缓存、时钟电路、输入/输出子系统、电源和类似物和上述项的组合。在一些实施方式中,远程监测器155进一步包括一个或多个计算机可读介质(未示出),诸如关于系统控制器132描述的计算机可读介质。当由CPU 173执行软件例程时,软件例程将CPU转换为专用计算机,这里是远程监测器155。通常,远程监测器155也经由有线通信链路157(如以太网缆线)通信地耦接至系统控制器132。在其他实施方式中,有线通信链路157包括无线通信协议。
这里,系统控制器132经由通信链路157向远程监测器155提供背景信息,如基板处理信息和/或维护操作信息。这里的背景信息与由系统控制器132执行以控制处理系统100的操作的指令相关。在一些实施方式中,背景信息进一步包括处理系统100的状态(如基板处理状态),处理系统100的状态通过设置在处理系统中、处理系统上、贴近处理系统或邻近处理系统的处理状态传感器(如压力传感器、温度传感器、和/或流率传感器(包括流量计))传达到系统控制器132。通常,经配置与半导体装置制造中的基板处理系统一起使用的系统控制器(如系统控制器132)具有至少两个用于控制处理系统100的操作的模式。第一模式(处理模式)控制基板处理和与基板处理相关的处理系统操作。第二模式(维护模式)允许使用者(通常是维护技术人员或工程师)在处理系统上执行维护过程,例如将处理腔室的处理空间通风到大气以使使用者能够打开处理腔室并且能够进入处理腔室中。
当处理系统100处于处理模式时,与基板处理有关的背景信息包括基板处理序列的开始、基板处理序列的结束、和/或在所述开始与所述结束之间的基板处理序列活动的开始和/或结束。处理序列启动的实例包括将处理空间106抽到所期望的处理压力、使处理气体流入处理空间106中、点燃等离子体111和/或将基板119吸附到基板支撑件127的开始和结束。在一些实施方式中,背景信息进一步包括在处理腔室中处理基板之前、期间和/或之后的处理腔室状态,例如,处理空间106中的压力、基板支撑件127的温度并且有时为基板119的温度。
在一些实施方式中,背景信息进一步包括对应于工艺序列的处理配方(由系统控制器132提供的关于特定基板或基板类型的处理状态的指令)。与设备维护相关的背景信息通常包括维护模式的开始(允许使用者访问由系统控制器132执行的维护功能的系统控制器132的指令)、维护模式的结束,和/或使用系统控制器132执行的在维护模式的开始与维护模式的结束之间的维护活动的开始和/或结束,例如将处理空间106通风到大气以使得能够进入处理空间中,或在处理空间闭合之后将处理空间106抽到所期望的真空状态。在一些实施方式中,背景信息进一步包括处理腔室状态,例如在处理腔室上的维护操作期间的压力和温度。这里,与背景事件(如基板处理序列的开始)同时地、并且与从DAQ装置152接收的数字化传感器信息并行地,通过远程监测器155接收背景信息。
在一个实施方式中,远程监测器155使用背景信息和数字化传感器信息(并行接收)来产生用于储存和检索和/或同时的统计数据分析的历史数据。这里,历史数据表示从DAQ装置152提供给远程监测器155的数字化信息的子集,所述子集适合于储存和检索和/或与常规的统计工艺控制方法一起使用。例如,在一个实施方式中,远程监测器所产生的历史数据包括由沿着输送管道144的位置处的复数个第一传感器145中的每一个第一传感器测量的个别温度(这里是Tn)、对应的背景信息和对应于个别温度的相应日期和时间。在另一个实施方式中,历史数据包括由沿着输送管道144的位置处的复数个第一传感器145测量的温度的平均值(这里是Tavg)、对应的背景信息和对应于温度的平均值的相应日期和时间。
在另一个实施方式中,历史数据包括由在沿着输送管道144的位置处设置的复数个第一传感器145测量的温度差(如最大测量温度与最小测量温度之间的差(在此为ΔT)和/或所测量温度的标准差(在此为Tstdev))、对应的背景信息和对应于温度差和/或标准差的日期和时间。在其他实施方式中,历史数据包括由第二传感器185中的一个或多个传感器测量的个别温度、对应的背景信息和对应于所述个别温度的相应日期和时间。
在一些实施方式中,远程监测器155经配置以使用常规的统计工艺控制方法来监测历史数据。例如,在一些实施方式中,在具有预定控制界限的图表上绘制历史数据,并且/或者以其他方式将历史数据与一个或多个预定控制界限作比较,并且如果针对特定背景事件(如基板处理序列的开始)的数据点(如一个或多个温度测量结果,或使用复数个温度测量结果计算的一个或多个值)低于和/或高于一个或多个预定控制界限,标记历史数据。在一些实施方式中,远程监测器经配置以向使用者发出失控(out-of-control)事件的警报(当一个或多个数据点落在一个或多个预定控制界限之外时)。通常,一旦收到警报,使用者将发起预定的行动计划以对失控事件进行故障排除(也称为失控行动计划(OCAP)),通常是引导使用者响应失控事件的流程图。
这里,向使用者发出失控事件的警报包括经设计将失控事件传达给所希望的使用者的任何形式的警报,包括视觉和听觉警报和/或电子消息(如自动产生的电子邮件和/或自动产生的文字消息)。在一些实施方式中,远程监测器155经配置以将失控事件传达到系统控制器132,并且系统控制器132经配置以发出警报和/或暂停基板处理操作。在一些实施方式中,远程监测器155经配置以将历史数据和/或失控事件传达到制造级的(fab-level)控制系统160,制造级的控制系统160通信地耦接至远程监测器155。
在一些实施方式中,远程监测器155经配置以同时地监测从DAQ装置152接收的数字化信息,和在数字化信息落在预定控制界限之外的时候触发警报事件。在这些实施方式的一些实施方式中,远程监测器155经配置以产生和储存与警报事件有关的历史数据。
图2A是根据一个实施方式的经适配而受益于本文描述的实施方式的多腔室处理系统的示意性俯视图。这里,多腔室处理系统200A包括复数个处理系统(例如图1中描述的单腔室处理系统100),每个处理系统包括通过相应的输送管道144a-144e流体地耦接至相应的气源140a-140e的处理腔室102A-102E、一个或多个装载锁定腔室204和传递腔室205(在顶部被移除的情况下示出),传递腔室205具有设置于传递腔室205中的机械手传送器203。这里,气源140a-140e包括一个或多个气相前驱物源,例如图1中描述的气相前驱物源141。多腔室处理系统200A耦接至系统控制器232,系统控制器232可以是与上文图1中描述的系统控制器132相同的系统控制器,或是包括与上文在图1中描述的系统控制器132的部件相同或相似的部件。系统控制器232用于控制装载锁定腔室204、传递腔室205和设置在传递腔室205中的机械手传送器203的操作和复数个处理系统中的每个处理系统的个别操作,复数个处理系统分别包括处理腔室102A-102E和与处理腔室102A-102E流体耦接的相应气源140a-140e。在一些实施方式中,多腔室处理系统200A包括复数个子控制器(未示出),其中复数个子控制器中的每个子控制器通信地耦接至系统控制器232,并且复数个子控制器中的每个子控制器经配置以控制处理系统100a-100e中的一个或多个处理系统的操作,例如图1中描述的系统控制器132。在一些实施方式中,系统控制器232使用通信链路158通信地耦接至DAQ装置152。
这里,复数个输送管道144a-144e中的每个输送管道设置在相应的加热器护套146a-146e中。输送管道144a-144e中的每个输送管道具有相应的复数个第一传感器145a-145e,复数个第一传感器145a-145e在沿着输送管道长度的相应复数个位置处设置在输送管道144a-144e上、贴近输送管道144a-144e设置和/或与输送管道144a-144e紧密接触。复数个第一传感器145a-145e中的每个传感器经由复数个相应的通信链路151通信地耦接至DAQ装置152。DAQ装置152经由通信链路156通信地耦接至远程监测器155。在一些实施方式中,远程监测器155通信地耦接至复数个DAQ装置152,其中复数个DAQ装置中的每个DAQ装置经配置以接收和数字化传感器信息,所述传感器信息来自对应于输送管道144a-144e中的一个或多个输送管道的相应复数个第一传感器145a-145e。
在可与本文所述的其他实施方式组合的一些实施方式中,用于多腔室处理系统200A的远程监测系统150包括一个或多个第二传感器185a-185e,例如图1中描述的第二传感器185。在此,一个或多个第二传感器185a-185e设置在处理系统200A的表面上、贴近处理系统200A的表面定位、邻近处理系统200A的表面定位和/或与处理系统200A的表面紧密接触,处理系统200A的表面在复数个腔室102A-102E的处理空间的外部,例如图1中描述的位置。在一些实施方式中,一个或多个第二传感器185设置在以下项上、贴近以下项定位、邻近以下项定位和/或与以下项紧密接触:装载锁定腔室204、传递腔室205和设置在传递腔室205中的机械手传送器203、和/或以上项的部件或与以上项相关的部件(诸如耦接至机械手传送器203的马达)。例如,在一些实施方式中,一个或多个第二传感器(如图1中描述的第二传感器185)设置在以下项上、贴近以下项、邻近以下项和/或与以下项紧密接触:设置在装载锁定腔室204与传递腔室205之间的门或阀,或者设置在传递腔室205与处理腔室102A-102E之间的门或阀。在那些实施方式中,一个或多个第二传感器185经由一个或多个相应的通信链路(例如通信链路151)通信地耦接至DAQ装置152。在一些其他实施方式中,远程监测系统150包括复数个DAQ装置,其中每个DAQ装置152从对应于复数个处理系统100a-100e中的一个或多个处理系统的第一传感器145和第二传感器接收信息。
图2B图示根据一个实施方式的经适配以与复数个多腔室处理系统200A-200E一起使用的图1中所述的远程监测系统。通常,远程监测器155从一个或多个DAQ装置152A-152B接收数字化信息,每个DAQ装置152A-152B对应于多腔室处理系统,其中一个或多个DAQ装置A-B中的每个DAQ装置经由相应的通信链路151通信地耦接至一个或多个复数个第一传感器145a-145e和一个或多个第二传感器(未示出)。
图3是阐述根据一个实施方式的监测气相前驱物输送管道的方法的流程图。在活动(activity)305处,方法300包括以下步骤:经由数据采集装置接收来自复数个温度传感器的温度信息,所述复数个温度传感器定位于沿气相前驱物输送管道的长度的至少一部分的相应复数个位置处。在此,气相前驱物输送管道将气相前驱物源流体地耦接到处理腔室的处理空间。在活动310处,方法300包括以下步骤:接收来自系统控制器的背景信息,所述系统控制器耦接至处理系统,其中处理系统包含气相前驱物源和处理腔室。这里,背景信息与由系统控制器执行以控制处理系统的操作的指令相关。
在方法300的一些实施方式中,复数个温度传感器中的一个或多个温度传感器设置在气相前驱物输送管道上、邻近气相前驱物输送管道设置、贴近气相前驱物输送管道设置、或者与气相前驱物输送管道紧密接触。在方法300的一些实施方式中,复数个温度传感器包括一个或多个热电偶。在方法300的一些实施方式中,气相前驱物输送管道设置在沿气相前驱物输送管道长度的至少部分的加热器护套中。在方法300的一些实施方式中,(使用设置在加热器护套中的一个或多个加热元件的)加热器护套经配置以将气相前驱物输送管道维持在期望的温度。
在一些实施方式中,方法300进一步包括以下步骤:使用远程监测器监测气相前驱物输送管道的温度,其中远程监测器通信地耦接至数据采集装置,并且其中远程监测器通信地耦接至系统控制器。在一些实施方式中,方法300进一步包括以下步骤:产生历史数据,所述历史数据包括温度信息、背景信息和日期与时间信息。在一些实施方式中,方法300进一步包括以下步骤:将历史数据储存在远程监测器的存储器中。在一些实施方式中,方法300进一步包括以下步骤:将历史数据与一个或多个预定控制界限作比较。在一些实施方式中,方法300进一步包括以下步骤:将失控事件传达给使用者,其中失控事件包括高于或低于一个或多个预定控制界限的一个或多个历史数据点。在方法300的一些实施方式中,处理腔室是CVD腔室、ALD腔室或蚀刻腔室。在方法300的一些实施方式中,背景信息包括基板处理信息、维护操作信息或以上项的组合。尽管上文关于单腔室处理系统(例如图1的单腔室处理系统100)来描述方法300,但是可以预期方法300可应用于图2A-图2B中描述的多腔室处理系统200A-200B中的一个或多个多腔室处理系统或多腔室处理系统200A-200B的组合。
方法300使得远程监测系统(例如图1和图2A-图2B中描述的远程监测系统150)的使用者能够同时观察在沿气相前驱物输送管道的长度或所述长度的一部分的复数个位置处的气相前驱物输送管道的温度测量结果或贴近气相前驱物输送管道的温度测量结果。此外,在一些实施方式中,远程监测器使用传感器识别信息来配置,以显示每个温度测量结果的近似相应位置,这有利于故障排除和/或标准维护过程目的。例如,在对温度偏差进行故障排除时,使用者可以使用由远程监测器显示的对应的传感器识别信息来确定和估计偏差的位置。在另一个实例中,使用远程监测系统,维护过程可要求使用者确保在使用者将系统控制器返回到基板处理模式之前,气相输送管道的温度沿着气相传输管道的长度处于均匀的期望温度。通过在将气相流入气相输送管道中之前确保气相输送管道的温度处于均匀的期望温度,可以避免气相输送管道中的不期望的凝结连同与凝结相关的颗粒污染问题。
方法300进一步基于对一个或多个背景事件的历史信息的统计分析,实现工艺开发与改进和改善的统计工艺控制。例如,历史信息的统计分析可揭示与特定工艺序列或序列活动相关的一些温度偏差,并且序列或序列活动的变化可能显著减少,并且/或者消除温度偏差,并且因此减少或消除与温度偏差关联的颗粒污染问题。
图4是阐述根据一个实施方式的监测处理系统的方法的流程图。在活动405处,方法400包括以下步骤:经由数据采集装置接收来自一个或多个温度传感器的温度信息,其中一个或多个温度传感器设置在处理腔室的处理空间外部的位置中。在活动410处,方法400包括以下步骤:接收来自系统控制器的背景信息,所述系统控制器耦接至处理腔室,其中背景信息与由系统控制器执行以控制处理系统的操作的指令相关。在一些实施方式中,方法400进一步包括以下步骤:产生历史数据,所述历史数据包括温度信息、背景信息和日期与时间信息。在一些实施方式中,方法400进一步包括以下步骤:将历史数据储存在远程监测器的存储器中。尽管上文关于单腔室处理系统(例如图1的单腔室处理系统100)来描述方法400,但是可以预期方法400可应用于图2A-图2B中描述的多腔室处理系统200A-200B中的一个或多个多腔室处理系统或多腔室处理系统200A-200B的组合。
方法400使得远程监测系统(例如图1和图2A-图2B中描述的远程监测系统150)的使用者能够同时观察处理系统的所需部件的温度测量结果或处理系统附近的温度测量结果。此外,在一些实施方式中,远程监测系统使用传感器识别信息来配置,以显示每个温度测量结果的近似相应位置,这有利于故障排除和/或标准维护程序目的。
方法400进一步基于对一个或多个背景事件的历史信息的统计分析,实现工艺开发与改进和改进的统计工艺控制。此外,方法400通过提供可与高容量制造设施中的设备性能相关的历史信息而实现有情报根据的(informed)设备设计改进。例如,在经配置在相对高的温度(例如大于650℃)下处理基板的处理系统中,历史信息可包括与设置在处理腔室的处理空间中的部件热连通的处理部件(例如基板支撑件)和设置在所述处理部件附近的部件的温度测量结果和/或附近的温度测量结果。历史信息的统计分析可用于改进部件的设计,包括部件之间的热相互作用,并且因此提高处理系统可靠性。例如,历史信息的统计分析可揭示处理系统的部件的先前未知的不希望的热状态(过热),并且对处理系统的的改进可包括新的和/或改进的冷却系统设计、处理系统配置和/或用于处理部件的材料选择。
本文中描述的实施方式提供对处理腔室的处理空间外部的各种位置处的处理系统温度的远程监测。远程监测和与远程监测相关的历史数据的收集与分析有利地使得能够改进工艺控制方法以及提供可以用于确保稳定和可重复的处理系统性能的数据。
尽管前面的内容针对本公开内容的实施方式,但在不背离本公开内容的基本范围的情况下,可设计本公开内容的其他和进一步的实施方式,并且本公开内容的范围由所附的权利要求书确定。

Claims (15)

1.一种监测处理系统的方法,包括以下步骤:
经由数据采集装置接收来自一个或多个温度传感器的温度信息,其中所述一个或多个温度传感器设置在处理腔室的处理空间外部的一个或多个位置中;和
接收来自系统控制器的背景信息,所述系统控制器耦接至包括所述处理腔室的处理系统,其中所述背景信息与由所述系统控制器执行以控制所述处理系统的一个或多个操作的指令相关。
2.如权利要求1所述的方法,其中所述一个或多个温度传感器设置在以下项上、贴近以下项定位、邻近以下项定位或与以下项紧密接触:包含一个或多个装载锁定腔室的表面的所述处理系统的一个或多个表面、一个或多个传递腔室、一个或多个机械手传送器、分别耦接至所述一个或多个机械手传送器的一个或多个马达、设置在所述一个或多个传递腔室与一个或多个处理腔室之间的一个或多个门或阀、设置在所述一个或多个传递腔室与所述一个或多个装载锁定腔室之间的一个或多个门或阀、一个或多个气体供应柜、一个或多个液相前驱物安瓿、所述一个或多个处理腔室的相应基板支撑轴、所述一个或多个处理腔室的相应升降环轴、将这些基板支撑轴分别耦接至所述一个或多个处理腔室的一个或多个第一致动器、分别耦接至所述升降环轴一个所述或多个处理腔室的一个或多个第二致动器、流体地耦接至所述一个或多个处理腔室的相应排放管道、相应的专用腔室排放泵、所述一个或多个处理腔室的相应腔室壁、所述一个或多个处理腔室的相应腔室盖组件、所述一个或多个处理腔室的相应腔室基部、沿着一个或多个气相输送管道的长度的至少部分的复数个位置或者以上项的组合。
3.如权利要求1所述的方法,进一步包括以下步骤:将所述温度信息与一个或多个预定控制界限作比较。
4.如权利要求3所述的方法,进一步包括以下步骤:将失控事件传达给使用者,其中所述失控事件包括高于或低于所述一个或多个预定控制界限的温度测量结果。
5.如权利要求1所述的方法,进一步包括以下步骤:
使用远程监测器监测自所述一个或多个温度传感器接收的所述温度信息,其中所述远程监测器通信地耦接至所述数据采集装置,并且其中所述远程监测器通信地耦接至所述系统控制器。
6.如权利要求5所述的方法,进一步包括以下步骤:
产生历史数据,所述历史数据包含温度信息、背景信息和对应于所述温度信息与所述背景信息的日期与时间信息;和
将所述历史数据储存在所述远程监测器的存储器中。
7.如权利要求6所述的方法,进一步包括以下步骤:将所述历史数据与一个或多个预定控制界限作比较。
8.如权利要求7所述的方法,进一步包括以下步骤:将失控事件传达给使用者,其中所述失控事件包括高于或低于一个或多个预定控制界限的一个或多个历史数据点,所述历史数据点包含温度测量结果或者从一个或多个温度测量结果所计算的值。
9.如权利要求1所述的方法,其中:
所述处理系统进一步包括气相前驱物源和气相前驱物输送管道,所述气相前驱物输送管道将所述气相前驱物源流体地耦接到所述处理空间;并且
一个或多个所述温度传感器设置在所述气相前驱物输送管道上、邻近所述气相前驱物输送管道设置、贴近所述气相前驱物输送管道设置、或者与所述气相前驱物输送管道紧密接触。
10.如权利要求9所述的方法,进一步包括以下步骤:
使用远程监测器监测自所述一个或多个温度传感器接收的所述温度信息,其中所述远程监测器通信地耦接至所述数据采集装置,并且其中所述远程监测器通信地耦接至所述系统控制器。
11.如权利要求10所述的方法,进一步包括以下步骤:
将所述气相输送管道的所述一个或多个温度测量结果与一个或多个预定控制界限作比较;和
将失控事件传达给使用者,其中所述失控事件包括高于或低于所述一个或多个预定控制界限的温度测量结果。
12.一种温度监测系统,包括:
数据采集装置,所述数据采集装置经配置以经由一个或多个相应通信链路接收来自一个或多个温度传感器的信息,其中所述一个或多个温度传感器分别定位在处理腔室的处理空间外部的一个或多个位置处;和
远程监测器,所述远程监测器通信地耦接至所述数据采集装置并且通信地耦接至处理系统的系统控制器,其中所述处理系统包含所述处理腔室。
13.如权利要求12所述的温度监测系统,进一步包括:
计算机可读介质,所述计算机可读介质具有储存在所述计算机可读介质上的指令,这些指令用于在由处理器执行这些指令时执行方法,所述方法包括以下步骤:
经由所述数据采集装置接收来自所述一个或多个温度传感器的温度信息;
接收来自系统控制器的背景信息,所述系统控制器耦接至所述处理系统,其中所述背景信息与由所述系统控制器执行以控制所述处理系统的一个或多个操作的指令相关
使用所述远程监测器监测在所述处理腔室的所述处理空间外部的位置处测量的一个或多个温度;
将所述温度测量结果与一个或多个预定控制界限作比较;和
将失控事件传达给使用者,其中所述失控事件包括高于或低于所述一个或多个预定控制界限的温度测量结果。
14.如权利要求12所述的温度监测,其中一个或多个温度传感器分别定位在沿着气相前驱物输送管道的长度的至少一部分的一个或多个位置处,其中所述气相前驱物输送管道将气相前驱物源流体地耦接至所述处理空间,并且其中沿着所述气相前驱物输送管道的所述长度的至少所述部分加热所述气相输送管道。
15.如权利要求14所述的温度监测系统,进一步包括:
计算机可读介质,所述计算机可读介质具有储存在所述计算机可读介质上的指令,这些指令用于在由处理器执行这些指令时执行方法,所述方法包括以下步骤:
经由所述数据采集装置接收来自一个或多个温度传感器的温度信息,所述一个或多个温度传感器分别定位在沿着所述气相前驱物输送管道的长度的至少一部分的一个或多个位置处;
接收来自系统控制器的背景信息,所述系统控制器耦接至所述处理系统,其中所述处理系统进一步包含所述气相前驱物,并且其中所述背景信息与由所述系统控制器执行以控制所述处理系统的一个或多个操作的指令相关;
监测沿所述气相输送管道的位置处测量的一个或多个温度;
将所述温度测量结果与一个或多个预定控制界限作比较;和
将失控事件传达给使用者,其中所述失控事件包括高于或低于所述一个或多个预定控制界限的温度测量结果。
CN201980013792.7A 2018-01-15 2019-01-11 先进温度监测系统和用于半导体制造生产力的方法 Pending CN111727499A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
IN201841001599 2018-01-15
IN201841001599 2018-01-15
PCT/US2019/013209 WO2019140200A1 (en) 2018-01-15 2019-01-11 Advanced temperature monitoring system and methods for semiconductor manufacture productivity

Publications (1)

Publication Number Publication Date
CN111727499A true CN111727499A (zh) 2020-09-29

Family

ID=67213034

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980013792.7A Pending CN111727499A (zh) 2018-01-15 2019-01-11 先进温度监测系统和用于半导体制造生产力的方法

Country Status (5)

Country Link
US (1) US20190221458A1 (zh)
KR (1) KR102474052B1 (zh)
CN (1) CN111727499A (zh)
TW (2) TWI714947B (zh)
WO (1) WO2019140200A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113549903A (zh) * 2021-07-20 2021-10-26 昆明理工大学 一种原子层沉积设备的远程控制系统及方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices
US20220113198A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Advanced temperature monitoring system with expandable modular layout design

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004070802A1 (ja) * 2003-02-04 2004-08-19 Tokyo Electron Limited 処理システム及び処理システムの稼働方法
JP2005085935A (ja) * 2003-09-08 2005-03-31 Hitachi Kokusai Electric Inc 基板処理装置
KR101285866B1 (ko) * 2011-05-20 2013-07-12 엠아이지 (주) 제조장비의 온도 모니터링 장치
CN103245848A (zh) * 2012-02-14 2013-08-14 精工爱普生株式会社 处理器以及部件检查装置
WO2017056244A1 (ja) * 2015-09-30 2017-04-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
JP2005079141A (ja) * 2003-08-28 2005-03-24 Asm Japan Kk プラズマcvd装置
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
EP1913506A4 (en) * 2005-07-11 2008-08-13 Brooks Automation Inc INTELLIGENT STATUS MONITORING AND TROUBLESHOOTING SYSTEM FOR PREDICTIVE MAINTENANCE
WO2009114112A2 (en) * 2008-03-08 2009-09-17 Omniprobe, Inc. Method and apparatus for precursor delivery system for irradiation beam instruments
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8555809B2 (en) * 2010-01-14 2013-10-15 Rohm And Haas Electronic Materials, Llc Method for constant concentration evaporation and a device using the same
JP5734081B2 (ja) * 2010-10-18 2015-06-10 株式会社日立国際電気 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法
WO2013016208A2 (en) * 2011-07-22 2013-01-31 Applied Materials, Inc. Reactant delivery system for ald/cvd processes
US20130025786A1 (en) * 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
WO2013025852A1 (en) * 2011-08-17 2013-02-21 Lam Research Corporation A system and method for monitoring temperatures of and controlling multiplexed heater array
KR101283571B1 (ko) * 2012-03-12 2013-07-08 피에스케이 주식회사 공정 처리부 및 기판 처리 장치, 그리고 이를 이용한 기판 처리 방법
TWI623960B (zh) * 2013-03-27 2018-05-11 蘭姆研究公司 半導體製造設備及其處理方法
JP6407694B2 (ja) * 2014-12-16 2018-10-17 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR20180031799A (ko) * 2015-08-18 2018-03-28 비코 인스트루먼츠 인코포레이티드 화학 기상 증착 시스템 및 공정에서 열적 균일성을 향상시키기 위한 공정별 웨이퍼 캐리어 보정
JP6339057B2 (ja) * 2015-09-29 2018-06-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
JP6775533B2 (ja) * 2016-02-05 2020-10-28 株式会社国際電気セミコンダクターサービス 基板処理装置、半導体装置の製造方法、基板保持具、および小型保持具
WO2017168676A1 (ja) * 2016-03-31 2017-10-05 株式会社日立国際電気 基板処理装置、装置管理コントローラ及び記録媒体

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004070802A1 (ja) * 2003-02-04 2004-08-19 Tokyo Electron Limited 処理システム及び処理システムの稼働方法
JP2005085935A (ja) * 2003-09-08 2005-03-31 Hitachi Kokusai Electric Inc 基板処理装置
KR101285866B1 (ko) * 2011-05-20 2013-07-12 엠아이지 (주) 제조장비의 온도 모니터링 장치
CN103245848A (zh) * 2012-02-14 2013-08-14 精工爱普生株式会社 处理器以及部件检查装置
WO2017056244A1 (ja) * 2015-09-30 2017-04-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113549903A (zh) * 2021-07-20 2021-10-26 昆明理工大学 一种原子层沉积设备的远程控制系统及方法

Also Published As

Publication number Publication date
US20190221458A1 (en) 2019-07-18
TWI756962B (zh) 2022-03-01
KR102474052B1 (ko) 2022-12-02
TWI714947B (zh) 2021-01-01
TW202127276A (zh) 2021-07-16
WO2019140200A1 (en) 2019-07-18
TW201937383A (zh) 2019-09-16
KR20200099213A (ko) 2020-08-21

Similar Documents

Publication Publication Date Title
US10879092B2 (en) Fault detection using showerhead voltage variation
CN111727499A (zh) 先进温度监测系统和用于半导体制造生产力的方法
US8121799B2 (en) Life estimating method for heater wire, heating apparatus, storage medium, and life estimating system for heater wire
JP5774331B2 (ja) 基板処理システム、管理装置、データ解析方法、及びデータ解析プログラム
WO2010038674A1 (ja) 基板の異常載置状態の検知方法、基板処理方法、コンピュータ読み取り可能な記憶媒体および基板処理装置
JP2020518727A (ja) 高温セラミックヒータ上の集積化基板温度測定
US10971384B2 (en) Auto-calibrated process independent feedforward control for processing substrates
US20210313205A1 (en) Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Heater
US20220037170A1 (en) Progressive heating of components of substrate processing systems using tcr element-based heaters
JP7420754B2 (ja) 金属酸化物膜を除去するための温度制御システムおよびその方法
US20230083737A1 (en) System, method, and user interface for edge ring wear compensation
US20210272828A1 (en) Dynamic temperature control of substrate support in substrate processing system
KR20180106931A (ko) 기판 프로세싱 시스템의 전구체 증기 공급 시스템에서 플로우 모니터링을 위한 시스템들 및 방법들
TWI823977B (zh) 預防半導體基板處理中基座上的沉積
JP6864705B2 (ja) 基板処理装置、制御システム及び半導体装置の製造方法
US11060190B2 (en) Substrate processing apparatus and control system
US20220113198A1 (en) Advanced temperature monitoring system with expandable modular layout design
US20210265144A1 (en) Temperature-tuned substrate support for substrate processing systems
CN110323154B (zh) 基板处理装置、控制系统及半导体器件的制造方法
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
TW202101658A (zh) 用以估計溫度的靜電卡盤加熱器電阻量測
JP2006114638A (ja) 熱処理装置、熱処理方法及び昇温レートの算出方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination