TW200949123A - Multiple ampoule delivery systems - Google Patents

Multiple ampoule delivery systems Download PDF

Info

Publication number
TW200949123A
TW200949123A TW98104837A TW98104837A TW200949123A TW 200949123 A TW200949123 A TW 200949123A TW 98104837 A TW98104837 A TW 98104837A TW 98104837 A TW98104837 A TW 98104837A TW 200949123 A TW200949123 A TW 200949123A
Authority
TW
Taiwan
Prior art keywords
vapor phase
phase reagent
carrier gas
gas feed
reagent
Prior art date
Application number
TW98104837A
Other languages
English (en)
Inventor
Demetrius Sarigiannis
Cynthia A Hoover
Michael Joseph Krause
Edward Pryor
Stephen Chesters
Ronald F Spohn
Original Assignee
Praxair Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Praxair Technology Inc filed Critical Praxair Technology Inc
Publication of TW200949123A publication Critical patent/TW200949123A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Description

200949123 六、發明說明 【發明所屬之技術領域】 本發明有關一具有複數容器及複數載送或惰性氣體餵 入/汽相或液相試劑輸送歧管之整合式汽相或液相試劑配 給設備,其可被用於連續地配給汽相或液相試劑、諸如用 於半導體材料及裝置的製造中之材料的沈積之先質。 ® 【先前技術】 使用於該半導體及製藥工業中之高純度化學物需要特 別之包裝,以於儲存中維持其純度。這特別適用於與空氣 及/或該空氣中之濕氣反應的化學物。此高純度化學物典 型被供給在容器中,諸如起泡器或安瓿。 現代之化學蒸氣沈積及原子層沈積工具利用起泡器或 安瓿,以輸送先質化學物至一沈積室。這些起泡器或安瓿 藉由傳送一載送氣體經過高純度先質化學物之容器及隨著 ® 該氣體載送該先質蒸氣至該沈積室而工作。 因整合式電路之尺寸已減少,故具有該等內部零組件 或部件之尺寸。因該等尺寸減少,對於更純淨之化學物的 需要已對應地增加,以使薄膜品質及裝置性能上之雜質的 效應減至最小。因此,供應商必需能夠不只製造高純度化 學物,但亦必需能夠於一將維持該高純度之容器中輸送它 們。 隨著該等安瓿及閥門之結構的材料,該等先質化學物 之物理性質指示能被使用之最大可容許的輸送溫度。該等 -5- 200949123 先質化學物性質之使得它們挑戰處理及輸送的一些性質譬 如包括其與空氣中之濕氣及氧氣的放熱反應性。於一大溢 流之案例中,這可導致易燃副產物之散出及著火,且於輸 送管線中之剩餘空氣的案例中,於製程期間可污染該等輸 送管線與接著被傳送至該晶圓表面之微粒摧毀該等電子裝 置。於被加熱之安瓿中,先質化學物之有限的熱穩定性導 致該安瓿(尾部)中之雜質的逐漸積累,其可減少蒸氣動力 及/或污染該製程、及該先質化學物輸送歧管的氣體管線 © 與閥門中之分解,導致微粒污染該製程。 其亦同樣重要的是得知該安瓿內側之先質化學物何時 接近被用完,以致其可於該下一化學蒸氣沈積或原子層沈 積運轉之前被改變。萬一該安瓿應在一循環之中間乾涸, 該整批晶圓將被破壞,導致數百萬美元之潛在損失。因 此,其想要的是在該安瓿之內側留下盡可能少的先質化學 物,以避免浪費該寶貴之液體先質化學物。因化學先質之 成本增加,盡可能少的化學物之浪費變得更重要。 © 該沈積製程之消耗比率與該安瓿的尺寸係用於替換安 瓿之頻率的決定因數。該替換步驟可爲很費時的,且包 括:(0關閉該安瓿及在一足以移除剩餘之先質化學物的溫 度循環沖洗該等管線;(ii)將該安瓿冷卻至室溫,移除所 用過之安瓿及以一新的安瓿替換之;(iii)在室溫循環沖洗 該系統,以移除該等連接支管中之剩餘空氣;(iv)慢慢地 加熱該安瓿(及其閥門)直至一想要之溫度(緩慢加熱係重 要的’以避免分解該材料);安瓿被加熱剛好在該先質化 -6 - 200949123 學物的熔點之上;安瓿被由熔化溫度慢慢地斜升至操作溫 度;及該新材料之鑒定。 於具有低熱穩定性及/或在室溫爲固體之特性的先質 化學物之案例中,大量輸送系統之執行過程可爲具挑戰性 及不合實際的。譬如,該等挑戰包括必需加熱及熔化該儲 槽中之大量材料,且加熱先質化學物分佈管線之追溯廣大 的長度,以確保該先質化學物保持一液體;當作該等雜 Ο 質,該安瓿中之雜質積累由每次塡料集中在該容器中;及 該先質化學物於閒置、已加熱的分佈管線中之熱分解。 於該技藝中將想要的是提供一汽相或液相試劑配給設 備,其係能夠以與替換安瓿有關之最小停工時間操作。於 該技藝中將想要的是提供一汽相或液相試劑配給設備,其 係能夠維持該先質化學物之高純度及亦增加該設備中之先 質化學物的利用率,且對應地減少其浪費。 於該技藝中亦將想要的是提供一汽相或液相試劑配給 ® 設備’其對於該設備被接線之製程工具將爲透明的。換句 話說’該工具操作員將不需對該汽相或液相試劑配給設備 用之工具作成修改,以適當地運轉。 【發明內容】 本發明局部有關一整合式汽相試劑配給設備,包括: 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至一裝滿位準,及在該裝滿位準上 200949123 方額外地界定一內部氣體容積;該頂部壁面構件的一部份 具有一載送氣體進料孔開口,載送氣體能經過該開口被餵 入該裝滿位準上方之內部氣體容積,以造成該來源化學物 之蒸氣變得被夾帶於該載送氣體中,以產生汽相試劑;且 該頂部壁面構件的一部份具有一汽相試劑出口開孔,該汽 相試劑可經過該出口開孔由該容器被配給; 複數載送氣體餵入/汽相試劑輸送歧管,該等載送氣 體餵入/汽相試劑輸送歧管之每一個彼此互連;每一容器 © 連接到至少一載送氣體餵入/汽相試劑輸送歧管;每一載 送氣體餵入/汽相試劑輸送歧管包括一載送氣體餵入管線 及一汽相試劑排放管線;該載送氣體餵入管線由該載送氣 體進料孔開口向上地延伸,且由用於載送氣體之輸送進入 該裝滿位準上方的內部氣體容積之頂部壁面構件外部地延 伸,該載送氣體餵入管線在其中包含一或多個載送氣體流 量控制閥,用於控制經過該處之載送氣體的流量;及該汽 相試劑排放管線由該汽相試劑出口開孔向上地延伸,且由 © 用於自該裝滿位準上方的內部氣體容積移除汽相試劑之頂 部壁面構件外部地延伸,該汽相試劑排放管線在其中選擇 性地包含一或多個汽相試劑流量控制閥,用於控制該汽相 試劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等載送氣體餵 入/汽相試劑輸送歧管之每一個及該等容器之每一個導引 相通,該方式係使得該等載送氣體餵入/汽相試劑輸送歧 管之每一個可彼此獨立地操作,且該等容器之每一個可彼 -8 - 200949123 此獨立地操作。 本發明亦局部有關一整合式汽相試劑配給設備,包 括· 複數容器’每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至一裝滿位準,及在該裝滿位準上 方額外地界定一內部氣體容積;該頂部壁面構件的一部份 © 具有—載送氣體進料孔開口,載送氣體能經過該開口被餵 入該裝滿位準上方之內部氣體容積,以造成該來源化學物 之蒸氣變得被夾帶於該載送氣體中,以產生汽相試劑;且 該頂部壁面構件的一部份具有一汽相試劑出口開孔,該汽 相試劑可經過該出口開孔由該容器被配給; 複數載送氣體餵入/汽相試劑輸送歧管,該等載送氣 體餵入/汽相試劑輸送歧管之每一個彼此互連;每一容器 連接到至少一載送氣體餵入/汽相試劑輸送歧管;每一載 ® 送氣體餵入/汽相試劑輸送歧管包括一載送氣體餵入管線 及一汽相試劑排放管線;該載送氣體餵入管線由該載送氣 體進料孔開口向上地延伸,且由用於載送氣體之輸送進入 該裝滿位準上方的內部氣體容積之頂部壁面構件外部地延 伸’該載送氣體餵入管線在其中包含一或多個載送氣體流 量控制閥’用於控制經過該處之載送氣體的流量;及該汽 相試劑排放管線由該汽相試劑出口開孔向上地延伸,且由 用於自該裝滿位準上方的內部氣體容積移除汽相試劑之頂 部壁面構件外部地延伸’該汽相試劑排放管線在其中選擇 200949123 性地包含一或多個汽相試劑流量控制閥,用於控制該汽相 試劑經過該處之流量;及 複數來源氣體歧管’該等來源氣體歧管之每一個彼此 互連:每一來源氣體歧管連接到至少一載送氣體餵入/汽 相試劑輸送歧管;每一來源氣體歧管包括一與該載送氣體 餵入/汽相試劑輸送歧管之載送氣體餵入管線連續的載送 氣體餵入管線;該載送氣體餵入管線在其中包含一或多個 載送氣體流量控制閥’用於控制該載送氣體經過該處之流 量,及一壓力傳感器,用於監視及控制該來源氣體歧管之 壓力;及 一或多個控制器,用於以下列方式與該等來源氣體歧 管之每一個、該等載送氣體餵入/汽相試劑輸送歧管之每 一個及該等容器之每一個導引相通,該方式係使得該等來 源氣體歧管之每一個可彼此獨立地操作,該等載送氣體餵 入/汽相試劑輸送歧管之每一個可彼此獨立地操作,且該 等容器之每一個可彼此獨立地操作。 本發明另有關一用於輸送汽相試劑至沈積室之方法, 包括: (a)提供一整合式汽相試劑配給設備,包括: 複數容器’每一容器包括頂部壁面構件、側壁構件及 底部壁面構件’該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至一裝滿位準,及在該裝滿位準上 方額外地界定一內部氣體容積;該頂部壁面構件的一部份 具有一載送氣體進料孔開口’載送氣體能經過該開口被餵 -10- 200949123 入該裝滿位準上方之內部氣體容積,以造成該來源化學物 之蒸氣變得被夾帶於該載送氣體中,以產生汽相試劑:且 該頂部壁面構件的一部份具有一汽相試劑出口開孔,該汽 相試劑可經過該出口開孔由該容器被配給; 複數載送氣體餵入/汽相試劑輸送歧管,該等載送氣 體餵入/汽相試劑輸送歧管之每一個彼此互連;每一容器 連接到至少一載送氣體餵入/汽相試劑輸送歧管;每一載 ® 送氣體餵入/汽相試劑輸送歧管包括一載送氣體餵入管線 及一汽相試劑排放管線;該載送氣體餵入管線由該載送氣 體進料孔開口向上地延伸,且由用於載送氣體之輸送進入 該裝滿位準上方的內部氣體容積之頂部壁面構件外部地延 伸,該載送氣體餵入管線在其中包含一或多個載送氣體流 量控制閥,用於控制經過該處之載送氣體的流量;及該汽 相試劑排放管線由該汽相試劑出口開孔向上地延伸,且由 用於自該裝滿位準上方的內部氣體容積移除汽相試劑之頂 ® 部壁面構件外部地延伸,該汽相試劑排放管線在其中選擇 性地包含一或多個汽相試劑流量控制閥,用於控制該汽相 試劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等載送氣體餵 入/汽相試劑輸送歧管之每一個及該等容器之每一個導引 相通,該方式係使得該等載送氣體餵入/汽相試劑輸送歧 管之每一個可彼此獨立地操作,且該等容器之每一個可彼 此獨立地操作; 將來源化學物加至該等容器的一或多個; -11 - 200949123 將該等容器的一或多個中之來源化學物加熱至一足以 蒸發該來源化學物的溫度,以提供汽相試劑; 經過該載送氣體餵入管線將載送氣體餵入該等容器的 一或多個; 經過該汽相試劑排放管線由該等容器之一容器抽回該 汽相試劑及載送氣體,該容器與任何其他之容器無關;及 將該汽相試劑及載送氣體餵入該沈積室。 本發明另又局部有關一整合式汽相試劑配給設備,包 © 括: 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至一裝滿位準,及在該裝滿位準上 方額外地界定一內部氣體容積;具有一載送氣體進料孔開 口之頂部壁面構件的一部份包括一起泡管,該起泡管延伸 經過該內部氣體容積進入該來源化學物,且該載送氣體可 經過該起泡管被起泡進入該來源化學物,以造成該來源化 © 學物蒸氣的至少一部份變得被夾帶於該載送氣體中,以產 生汽相試劑至該裝滿位準上方之內部氣體容積的流動,該 起泡管具有一毗連該頂部壁面構件之入口端部及一毗連該 底部壁面構件之出口端部;及該頂部壁面構件的一部份具 有一汽相試劑出口開孔’該汽相試劑可經過該出口開孔由 該容器被配給;及 複數載送氣體餵入/汽相試劑輸送歧管,該等載送氣 體餵入/汽相試劑輸送歧管之每一個彼此互連;每一容器 -12- 200949123 連接到至少一載送氣體餵入/汽相試劑輸送歧管;每一載 送氣體餵入/汽相試劑輸送歧管包括一載送氣體餵入管線 及一汽相試劑排放管線;該載送氣體餵入管線由該載送氣 體進料孔開口向上地延伸,且由用於載送氣體之輸送進入 該裝滿位準上方的內部氣體容積之頂部壁面構件外部地延 伸’該載送氣體餵入管線在其中包含一或多個載送氣體流 量控制閥,用於控制經過該處之載送氣體的流量;及該汽 β 相試劑排放管線由該汽相試劑出口開孔向上地延伸,且由 用於自該裝滿位準上方的內部氣體容積移除汽相試劑之頂 部壁面構件外部地延伸,該汽相試劑排放管線在其中選擇 性地包含一或多個汽相試劑流量控制閥,用於控制該汽相 試劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等載送氣體餵 入/汽相試劑輸送歧管之每一個及該等容器之每一個導引 相通,該方式係使得該等載送氣體餵入/汽相試劑輸送歧 ® 管之每一個可彼此獨立地操作,且該等容器之每一個可彼 此獨立地操作。 本發明亦局部有關一整合式汽相試劑配給設備,包 括: 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件’該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至~裝滿位準,及在該裝滿位準上 方額外地界定一內部氣體容積;具有一載送氣體進料孔開 口之頂部壁面構件的一部份包括一起泡管,該起泡管延伸 -13- 200949123 經過該內部氣體容積進入該來源化學物,且該載送氣體可 經過該起泡管被起泡進入該來源化學物,以造成該來源化 學物蒸氣的至少一部份變得被夾帶於該載送氣體中,以產 生汽相試劑至該裝滿位準上方之內部氣體容積的流動,該 起泡管具有一毗連該頂部壁面構件之入口端部及一毗連該 底部壁面構件之出口端部;及該頂部壁面構件的一部份具 有一汽相試劑出口開孔,該汽相試劑可經過該出口開孔由 該容器被配給; 複數載送氣體餵入/汽相試劑輸送歧管,該等載送氣 體餵入/汽相試劑輸送歧管之每一個彼此互連;每一容器 連接到至少一載送氣體餵入/汽相試劑輸送歧管;每一載 送氣體餵入/汽相試劑輸送歧管包括一載送氣體餵入管線 及一汽相試劑排放管線;該載送氣體餵入管線由該載送氣 體進料孔開口向上地延伸,且由用於載送氣體之輸送進入 該裝滿位準上方的內部氣體容積之頂部壁面構件外部地延 伸,該載送氣體餵入管線在其中包含一或多個載送氣體流 量控制閥,用於控制經過該處之載送氣體的流量;及該汽 相試劑排放管線由該汽相試劑出口開孔向上地延伸,且由 用於自該裝滿位準上方的內部氣體容積移除汽相試劑之頂 部壁面構件外部地延伸,該汽相試劑排放管線在其中選擇 性地包含一或多個汽相試劑流量控制閥,用於控制該汽相 試劑經過該處之流量; 複數來源氣體歧管,該等來源氣體歧管之每一個彼此 互連;每一來源氣體歧管連接到至少一載送氣體餵入/汽 200949123 相試劑輸送歧管;每一來源氣體歧管包括一與該載送氣體 餵入/汽相試劑輸送歧管之載送氣體餵入管線連續的載送 氣體餵入管線;該載送氣體餵入管線在其中包含一或多個 載送氣體流量控制閥,用於控制該載送氣體經過該處之流 量,及一壓力傳感器,用於監視及控制該來源氣體歧管之 壓力;及 一或多個控制器,用於以下列方式與該等來源氣體歧 ® 管之每一個、該等載送氣體餵入/汽相試劑輸送歧管之每 一個及該等容器之每一個導引相通,該方式係使得該等來 源氣體歧管之每一個可彼此獨立地操作,該等載送氣體餵 入/汽相試劑輸送歧管之每一個可彼此獨立地操作,且該 等容器之每一個可彼此獨立地操作。 本發明另局部地有關一用於輸送汽相試劑至沈積室之 方法,包括: (a)提供一整合式汽相試劑配給設備,包括: ® 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至一裝滿位準,及在該裝滿位準上 方額外地界定一內部氣體容積;具有一載送氣體進料孔開 口之頂部壁面構件的一部份包括一起泡管,該起泡管延伸 經過該內部氣體容積進入該來源化學物,且該載送氣體可 經過該起泡管被起泡進入該來源化學物,以造成該來源化 學物蒸氣的至少一部份變得被夾帶於該載送氣體中,以產 生汽相試劑至該裝滿位準上方之內部氣體容積的流動,該 -15- 200949123 起泡管具有一毗連該頂部壁面構件之入口端部及一毗連該 底部壁面構件之出口端部:及該頂部壁面構件的一部份具 有一汽相試劑出口開孔,該汽相試劑可經過該出口開孔由 該容器被配給;及 複數載送氣體餵入/汽相試劑輸送歧管,該等載送氣 體餵入/汽相試劑輸送歧管之每一個彼此互連;每一容器 連接到至少一載送氣體餵入/汽相試劑輸送歧管;每一載 送氣體餵入/汽相試劑輸送歧管包括一載送氣體餵入管線 © 及一汽相試劑排放管線;該載送氣體餵入管線由該載送氣 體進料孔開口向上地延伸,且由用於載送氣體之輸送進入 該裝滿位準上方的內部氣體容積之頂部壁面構件外部地延 伸,該載送氣體餵入管線在其中包含一或多個載送氣體流 量控制閥,用於控制經過該處之載送氣體的流量;及該汽 相試劑排放管線由該汽相試劑出口開孔向上地延伸,且由 用於自該裝滿位準上方的內部氣體容積移除汽相試劑之頂 部壁面構件外部地延伸,該汽相試劑排放管線在其中選擇 © 性地包含一或多個汽相試劑流量控制閥,用於控制該汽相 試劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等載送氣體餵 入/汽相試劑輸送歧管之每一個及該等容器之每一個導引 相通’該方式係使得該等載送氣體餵入/汽相試劑輸送歧 管之每一個可彼此獨立地操作,且該等容器之每一個可彼 此獨立地操作; 將來源化學物加至該等容器的一或多個; -16- 200949123 將該等容器的一或多個中之來源化學物加熱至一足以 蒸發該來源化學物的溫度,以提供汽相試劑; 經過該載送氣體餵入管線及該起泡管將載送氣體餵入 該等容器的一或多個; 經過該汽相試劑排放管線由該等容器之一容器抽回該 汽相試劑及載送氣體,該容器與任何其他之容器無關;及 將該汽相試劑及載送氣體餵入該沈積室。 〇 本發明另又局部有關一整合式汽相試劑配給設備’包 括: 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至一裝滿位準,及在該裝滿位準上 方額外地界定一內部氣體容積;該頂部壁面構件的一部份 具有一惰性氣體進料孔開口,該惰性氣體能經過該開口被 餵入該裝滿位準上方之內部氣體容積,以加壓該該裝滿位 ❿ 準上方之內部氣體容積;及該頂部壁面構件的一部份具有 一液相試劑出口開孔,包括一延伸經過該內部氣體容積進 入該來源化學物之浸入管,且液相試劑可經過該浸入管由 該設備配給,該浸入管具有一毗連該頂部壁面構件之出口 端部及一毗連該底部壁面構件之入口端部; 複數惰性氣體餵入/液相試劑輸送歧管,該等惰性氣 體餵入/液相試劑輸送歧管之每一個彼此互連;每一容器 連接到至少一惰性氣體餵入/液相試劑輸送歧管;每一惰 性氣體餵入/液相試劑輸送歧管包括一惰性氣體餵入管線 -17- 200949123 及一液相試劑排放管線;該惰性氣體餵入管線由該惰性氣 體進料孔開口向上地延伸,且由用於惰性氣體之輸送進入 該裝滿位準上方的內部氣體容積之頂部壁面構件外部地延 伸,該惰性氣體餵入管線在其中包含一或多個惰性氣體流 量控制閥,用於控制經過該處之惰性氣體的流量;及該液 相試劑排放管線由該液相試劑出口開孔向上地延伸,且由 用於自該容器移除液相試劑之頂部壁面構件外部地延伸, 該液相試劑排放管線在其中選擇性地包含一或多個液相試 @ 劑流量控制閥,用於控制該液相試劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等惰性氣體館 入/液相試劑輸送歧管之每一個及該等容器之每一個導引 相通,該方式係使得該等惰性氣體餵入/液相試劑輸送歧 管之每一個可彼此獨立地操作,且該等容器之每一個可彼 此獨立地操作。 本發明亦局部有關一整合式液相試劑配給設備,包 括: Ο 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至一裝滿位準,及在該裝滿位準上 方額外地界定一內部氣體容積;該頂部壁面構件的一部份 具有一惰性氣體進料孔開口,該惰性氣體能經過該開口被 餵入該裝滿位準上方之內部氣體容積,以加壓該該裝滿位 準上方之內部氣體容積;及該頂部壁面構件的一部份具有 一液相試劑出口開孔,包括一延伸經過該內部氣體容積進 -18- 200949123 入該來源化學物之浸入管,且液相試劑可經過該浸入管由 該設備配給,該浸入管具有一毗連該頂部壁面構件之出口 端部及一毗連該底部壁面構件之入口端部; 複數惰性氣體餵入/液相試劑輸送歧管,該等惰性氣 體餵入/液相試劑輸送歧管之每一個彼此互連;每一容器 連接到至少一惰性氣體餵入/液相試劑輸送歧管;每一惰 性氣體餵入/液相試劑輸送歧管包括一惰性氣體餵入管線 ® 及一液相試劑排放管線;該惰性氣體餵入管線由該惰性氣 體進料孔開口向上地延伸,且由用於惰性氣體之輸送進入 該裝滿位準上方的內部氣體容積之頂部壁面構件外部地延 伸,該惰性氣體餵入管線在其中包含一或多個惰性氣體流 量控制閥,用於控制經過該處之惰性氣體的流量:及該液 相試劑排放管線由該液相試劑出口開孔向上地延伸,且由 用於自該容器移除液相試劑之頂部壁面構件外部地延伸, 該液相試劑排放管線在其中選擇性地包含一或多個液相試 _ 劑流量控制閥,用於控制該液相試劑經過該處之流量; 複數來源氣體歧管,該等來源氣體歧管之每一個彼此 互連;每一來源氣體歧管連接到至少一惰性氣體餵入/液 相試劑輸送歧管;每一來源氣體歧管包括一與該惰性氣體 餵入/液相試劑輸送歧管之惰性氣體餵入管線連續的惰性 氣體餵入管線;該惰性氣體餵入管線在其中包含一或多個 惰性氣體流量控制閥,用於控制該惰性氣體經過該處之流 量’及一壓力傳感器,用於監視及控制該來源氣體歧管之 壓力;及 -19- 200949123 一或多個控制器,用於以下列方式與該等惰性氣體餵 入/液相試劑輸送歧管之每一個及該等容器之每一個導引 相通,該方式係使得該等惰性氣體餵入/液相試劑輸送歧 管之每一個可彼此獨立地操作,且該等容器之每一個可彼 此獨立地操作。 本發明另局部地有關一用於輸送汽相試劑至沈積室之 方法,包括: (a)提供一整合式液相試劑配給設備,包括: © 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至一裝滿位準,及在該裝滿位準上 方額外地界定一內部氣體容積;該頂部壁面構件的一部份 具有一惰性氣體進料孔開口,該惰性氣體能經過該開口被 餵入該裝滿位準上方之內部氣體容積,以加壓該該裝滿位 準上方之內部氣體容積;及該頂部壁面構件的一部份具有 一液相試劑出口開孔,包括一延伸經過該內部氣體容積進 ® 入該來源化學物之浸入管,且液相試劑可經過該浸入管由 該設備配給,該浸入管具有一毗連該頂部壁面構件之出口 端部及一毗連該底部壁面構件之入口端部; 複數惰性氣體餵入/液相試劑輸送歧管,該等惰性氣 體餵入/液相試劑輸送歧管之每一個彼此互連;每一容器 連接到至少一惰性氣體餵入/液相試劑輸送歧管;每一惰 性氣體餵入/液相試劑輸送歧管包括一惰性氣體餵入管線 及一液相試劑排放管線;該惰性氣體餵入管線由該惰性氣 -20- 200949123 體進料孔開口向上地延伸,且由用於惰性氣體之輸送進入 該裝滿位準上方的內部氣體容積之頂部壁面構件外部地延 伸,該惰性氣體餵入管線在其中包含一或多個惰性氣體流 量控制閥,用於控制經過該處之惰性氣體的流量;及該液 相試劑排放管線由該液相試劑出口開孔向上地延伸,且由 用於自該容器移除液相試劑之頂部壁面構件外部地延伸, 該液相試劑排放管線在其中選擇性地包含一或多個液相試 © 劑流量控制閥,用於控制該液相試劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等惰性氣體餵 入/液相試劑輸送歧管之每一個及該等容器之每一個導引 相通,該方式係使得該等惰性氣體餵入/液相試劑輸送歧 管之每一個可彼此獨立地操作,且該等容器之每一個可彼 此獨立地操作; 將來源化學物加至該等容器的一或多個; 將該等容器的一或多個中之固體來源化學物選擇性地 © 胃加熱至一足以熔化該固體來源化學物的溫度,以提供液相 試劑; 經過該惰性氣體餵入管線將惰性氣體餵入該等容器的 一或多個; 經過該浸入管及該液相試劑排放管線由該等容器之一 容器抽回液相試劑,該容器與任何其他之容器無關; 提供一蒸發設備,包括: ~容器,其包括頂部壁面構件、側壁構件及底部壁面 構件’該等構件被組構至形成一內部容器隔間,以蒸發該 -21 - 200949123 液相試劑; 該液相試劑排放管線將該整合式液相試劑配給設備連 接至該蒸發設備; 該蒸發設備的一部份具有一載送氣體進料孔開口’載 送氣體能經過該開口被餵入該蒸發設備,以造成該液相試 劑之蒸氣變得被夾帶於該載送氣體中,以產生汽相試劑; 該蒸發設備的一部份具有一汽相試劑出口開孔,該汽 相試劑可經過該出口開孔由該蒸發設備被配給; © 一載送氣體餵入管線由該載送氣體進料孔開口向上地 延伸,且由用於載送氣體之輸送進入該蒸發設備之蒸發設 備外部地延伸,該載送氣體餵入管線在其中包含一或多個 載送氣體流量控制閥,用於控制經過該處之載送氣體的流 量; 一汽相試劑排放管線由該汽相試劑出口開孔向上地延 伸,且由用於自該蒸發設備移除汽相試劑之蒸發設備外部 地延伸至該沈積室,該汽相試劑排放管線在其中包含一或 ® 多個汽相試劑流量控制閥,用於控制該汽相試劑經過該處 之流量, 將該液相試劑餵入該蒸發設備; 將該蒸發設備中之液相試劑加熱至一足以蒸發該液相 試劑的溫度,以提供該汽相試劑; 經過該載送氣體餵入管線將一載送氣體餵入該蒸發設 備; 經過該汽相試劑排放管線由該蒸發設備抽回該汽相試 -22- 200949123 劑及載送氣體;及 將該汽相試劑及載送氣體餓入該沈積室。 本發明另又局部有關一整合式汽相試劑配給設備,包 括: 複數容器’每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物;且該頂部壁面構件的一部份具有一 汽相試劑出口開孔,汽相試劑可經過該出口開孔由該容器 被配給; 複數汽相試劑輸送歧管,該等汽相試劑輸送歧管之每 一個彼此互連;每一容器連接到至少一汽相試劑輸送歧 管;每一汽相試劑輸送歧管包括一汽相試劑排放管線;且 該汽相試劑排放管線由該汽相試劑出口開孔向上地延伸, 並由用於自該容器移除汽相試劑之頂部壁面構件外部地延 伸’該汽相試劑排放管線在其中選擇性地包含一或多個汽 相試劑流量控制閥,用於控制該汽相試劑經過該處之流 量;及 一或多個控制器,用於以下列方式與該等汽相試劑輸 送歧管之每一個及該等容器之每一個導引相通,該方式係 使得該等汽相試劑輸送歧管之每一個可彼此獨立地操作, 且該等容器之每一個可彼此獨立地操作。 本發明亦局部有關一整合式汽相試劑配給設備,包 括: 複數容器,每一容器包括頂部壁面構件、側壁構件及 -23- 200949123 底部壁面構件’該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物;且該頂部壁面構件的一部份具有一 汽相試劑出口開孔,汽相試劑可經過該出口開孔由該容器 被配給; 複數汽相試劑輸送歧管,該等汽相試劑輸送歧管之每 一個彼此互連;每一容器連接到至少一汽相試劑輸送歧 管;每一汽相試劑輸送歧管包括一汽相試劑排放管線;且 該汽相試劑排放管線由該汽相試劑出口開孔向上地延伸, © 並由用於自該容器移除汽相試劑之頂部壁面構件外部地延 伸,該汽相試劑排放管線在其中選擇性地包含一或多個汽 相試劑流量控制閥,用於控制該汽相試劑經過該處之流 里 , 複數載送氣體餵入歧管,每一載送氣體餵入歧管連接 到至少一汽相試劑輸送歧管;每一載送氣體餵入歧管包括 一載送氣體餵入管線;該載送氣體餵入管線在其中包含一 或多個載送氣體流量控制閥,用於控制載送氣體經過該處 〇 之流量,及一壓力傳感器,用於監視及控制該載送氣體餵 入歧管之壓力;及 一或多個控制器,用於以下列方式與該等載送氣體餵 入歧管之每一個、該等汽相試劑輸送歧管之每一個及該等 容器之每一個導引相通,該方式係使得該等載送氣體餵入 歧管之每一個可彼此獨立地操作,該等汽相試劑輸送歧管 之每一個可彼此獨立地操作,且該等容器之每一個可彼此 獨立地操作。 -24- 4 200949123 本發明另有關一用於輸送汽相試劑至沈積室之方法, 包括: (a)提供一整合式汽相試劑配給設備,包括: 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物;且該頂部壁面構件的一部份具有一 汽相試劑出口開孔,汽相試劑可經過該出口開孔由該容器 Φ 被配給; 複數汽相試劑輸送歧管,該等汽相試劑輸送歧管之每 一個彼此互連;每一容器連接到至少一汽相試劑輸送歧 管;每一汽相試劑輸送歧管包括一汽相試劑排放管線;且 該汽相試劑排放管線由該汽相試劑出口開孔向上地延伸, 並由用於自該容器移除汽相試劑之頂部壁面構件外部地延 伸,該汽相試劑排放管線在其中選擇性地包含一或多個汽 相試劑流量控制閥,用於控制該汽相試劑經過該處之流 •量 複數載送氣體餵入歧管,每一載送氣體餵入歧管連接 到至少一汽相試劑輸送歧管;每一載送氣體餵入歧管包括 一載送氣體餵入管線;該載送氣體餵入管線在其中包含一 或多個載送氣體流量控制閥,用於控制載送氣體經過該處 之流量,及一壓力傳感器,用於監視及控制該載送氣體餵 入歧管之壓力;及 一或多個控制器,用於以下列方式與該等載送氣體餵 入歧管之每一個、該等汽相試劑輸送歧管之每一個及該等 -25- 200949123 容器之每一個導引相通’該方式係使得該等載送氣體餵入 歧管之每一個可彼此獨立地操作’該等汽相試劑輸送歧管 之每一個可彼此獨立地操作,且該等容器之每一個可彼此 獨立地操作; 將來源化學物加至該等容器的—或多個; 將該等容器的一或多個中之來源化學物選擇性地加熱 至一足以蒸發該來源化學物的溫度’以提供汽相試劑; 經過該汽相試劑排放管線由該等容器之—容器抽回該 © 汽相試劑,該容器與任何其他之容器無關; 經過該載送氣體餵入管線將載送氣體餵入該等汽相試 劑輸送歧管的一或多個,以與該汽相試劑混合:及 將該汽相試劑與載送氣體餵入該沈積室。 本發明之整合式汽相或液相試劑配給設備或總成可被 採用在寬廣變化性之製程系統中,包括譬如化學蒸氣沈積 系統,其中來自該供給容器之汽相試劑係通過至一化學蒸 氣沈積室,用於將來自該來源蒸氣之材料層沈積在一於其 © 中之基板上。 本發明之整合式汽相或液相試劑配給設備係能夠以與 替換安瓿有關之最小停工時間連續地操作,且係能夠維持 該先質化學物之高純度及亦增加該設備中之先質化學物的 利用率,且對應地減少其浪費。該整合式汽相或液相試劑 配給設備對於該設備被接線之製程工具將爲透明的。該工 具操作員不需對該整合式汽相或液相試劑配給設備用之工 具作成修改,以適當地運轉。本發明之整合式汽相或液相 -26- 200949123 試劑配給設備或總成維持該液體先質化學物之純度’增加 該液體或固體先質化學物之利用比率’且藉此減少浪費’ 及增加工具利用率。 本發明之其他態樣、特色及具體實施例將爲由該隨著 而來之揭示內容及所附申請專利範圍更充分明顯。 【實施方式】 © 小量之有機金屬先質典型已被儲存於待使用在化學蒸 氣沈積或原子層沈積工具上之工作日-容器、安瓿或起泡 器中。因晶圓已變得較大,且有機金屬先質之利用比率已 增加,維持給定數量先質之持續時間已減少。這需要更經 常之安瓿更換,導致較低之工具利用率。至目前爲止之標 準方式已有1)轉向較大之安瓿;及2)轉向大量之再裝滿 系統,在此該先質係當作一液體由儲存於該副廠房中之大 儲槽抽出及送至該工具上之較小安瓿。 ® 本發明係獨特的,其中雖然該散裝充塡解決方法對於 某些先質、諸如已在廣泛使用之TM A或TMG起作用,很 多更新的先質可爲固體或具有低熱穩定性,造成一散裝充 塡系統難以或不可能施行在它們上。於一具體實施例中, 本發明能在一系統上放置相同或不同型式(例如兩起泡器 安瓿或一起泡器安瓿與一浸入管安瓿)之二安瓿、與相同 或不同有機金屬先質之二安瓿。一安瓿係正在使用著的, 而另一安韶:係離線的,當該活動的安瓿係接近流空時製備 好帶入連線作業的。 -27- 200949123 此外’本發明之多安瓿輸送系統被設計成藉由一造成 該半導體工具“看見”單一安瓿系統之可程式化邏輯控制 器控制。這使得該目前之系統成爲用於該工具賣方的一偶 入替換件。 於一具體實施例中,本發明包括複數、例如相同或不 同型式(例如兩起泡器安瓿或一起泡器安瓿與一浸入管安 瓿)之二安瓿,且含有相同或不同先質,並具有鉛垂平行 及共享一共通製程及傾卸管線之被加熱歧管。該等歧管係 © 使得一安瓿可爲正在使用著的(在一溫度與輸送先質至一 工具),而另一歧管可爲於備用、或離線狀態中。可程式 化邏輯控制器控制該等歧管閥門及加熱保溫,且藉由正確 地設定該活動歧管上之額外的閥門、及由該工具重新定向 該等氣動閥門信號至該活動安瓿歧管上之適當閥門,造成 該工具僅只“看見”該系統上之一安瓿。該可程式化邏輯 控制器能在該不活動安瓿上控制該循環沖洗及安瓿調換步 驟,而該另一安瓿係於運轉中。既然該工具僅只看見一安 〇 瓿,這是用於現存工具的一隨插即用之解決方法。 本發明之多安瓿輸送系統的優點係半導體工具平臺已 經被設計用於單一安瓿先質輸送系統。於該先質需要改變 (液體至固體或熱不穩定液體)之案例中,該工具賣方不需 重新設計該平臺,以允許其工具控制多安瓿。 該等安瓿所留駐之機櫃選擇性地保持藉由一壁面所分 開之安瓿。視安全需求而定,具有單一門件及無分隔壁面 之機櫃可爲適合用於本發明。每一個安瓿能藉由其本身之 -28- 1 200949123 門件所存取,該門件能以該可程式化邏輯控制器連鎖,以 防止被該連線作業的安瓿影響。該等安瓿被安裝在擱架 上,該等擱架允許該安瓿被操控進出該機櫃及梢微地上下 操控,且繞著其本身之軸心用於與該歧管裝置對齊。 在一散裝充塡系統上方之多安瓿系統的優點包括譬如 關於單一安瓿,該多安瓿系統於安瓿替換期間具有零工具 停工時間;關於散裝充塡,該多安瓿系統允許一使用者避 β 免潛在危險之有機金屬先質液體裝滿管線延伸經過該製造 室;及散裝系統在用過的先質之頂部裝滿新的先質,集中 該安瓿中之雜質,而該雙安瓿系統移除該用過的安瓿,以 用新鮮的安瓿替換之。 對於被加熱至高操作溫度之先質,一散裝充塡系統仍 然需要冷卻該安瓿,以開始進行最後一道工序,而當該另 一安瓿持續供給先質至該工具時,雙安瓿系統允許該新的 安瓿被安裝及帶入至適當溫度。於兩案例中,該工具可需 胃要一重新鑒定運轉,其將視該製程所有人及如何可重複它 們已經決定該系統及先質供給而定。當該活動之安瓿係接 近流空時’在鑒定第二安瓿之前不需等候再裝滿或溫度穩 定作用。於一散裝充塡容器中之規格外的有機金屬先質將 影響多數工具上之多安瓿。以該多安瓿系統,該影響將被 限制於一工具上之一安瓿。 其他優點係亦明顯的。很多散.裝充塡系統採用一溶 劑’以清潔該等液態管線。先質及溶劑之隨後不想要的混 合物增加在該顧客場地的化學處理之成本。該雙安瓿系統 -29- 200949123 能被輕易地使用於高熔點固體先質、諸如金屬氯化物,該 固體先質無助於當作液體或固體被傳送通過管線。如果有 一微粒或污染問題及僅只影響一工具,該雙安瓿系統具有 一易於替換之小歧管。在一散裝充塡槽上之類似問題可能 需要替換多數段管線長度,影響多數工具。既然該雙安瓿 系統使用與單一安瓿系統相同之單一安瓿,這有助於節約 (單件流程)化學庫存管理。 再者,對於具有多晶圓之大批工具,本發明之多安瓿 n 系統能將典型由大約24小時或較大之使用者調換安瓿的 時間砍掉至大約4小時或更少,或大約鑒定該新的材料的 時間。這可總計達大於大約百分之80的停工時間減少。 圖1描述用於本發明之雙安瓿輸送系統的閥門槪要 圖。參考圖1,該雙安瓿輸送系統包括接線至其本身之平 行氣體歧管(22及23)的二安瓿(20及21),該等歧管可輸 送有機金屬先質蒸氣至一共通之製程工具。使用沖洗/製 程歧管24及25選擇餵入至每一歧管的氣體,且當一給定 © 歧管係閒置時,其能被沖洗至該共通之傾卸管線。該等安 瓿及歧管被裝盛在一通風的機箱26內,並具有分開之門 件及用於每一安瓿之區段。對於流動或沒有流動狀態使用 坐落在該等沖洗/製程歧管中之壓力傳感器(PTA與PTB)監 視該氣體管線。該等安瓿及歧管可同樣被溫度控制的。 此雙安瓿輸送系統之操作係經過一可程式化邏輯控制 器施行。控制此雙安瓿系統之至該可程式化邏輯控制器的 輸入及來自該可程式化邏輯控制器之輸出典型被顯示在圖 -30- 200949123 2中。該可程式化邏輯控制器採取來自該歧管之各種數位 及類比輸入,並使用它們,以控制溫度及執行操作。此 外,該可程式化邏輯控制器取得來自該製程工具之輸入及 將那些輸入引導至該活動之歧管。該可程式化邏輯控制器 亦可如藉由該製程工具及該使用客戶所請求地送出警報。 諸如觸控螢幕之人機介面允許使用者組構該系統及手動地 執行操作。 β 用於實踐本發明的一較佳模式係藉由可程式化邏輯控 制器所控制之雙安瓿輸送系統。圖3敘述在此中所使用之 閥門標記法。用於典型之原子層沈積或化學蒸氣沈積製程 工具的標準之單一安瓿接線被顯示在圖4中。於此安裝 中’該安瓿及在該安瓿上方之歧管被加熱。實際上,在該 安瓿上方之歧管(Ζ-4與Ζ-5)被保持在比該安瓿(Ζ_ι、ζ_2 及Ζ-3)之溫度設定點高出攝氏5度,以防止該等管線中之 先質凝結。閥門V-3及V-4係與該安瓿駐在一起之手動
圖4槪要圖中之所有閥門係常閉閥門。閥門ν-5及 V-6係三通氣壓作動閥,其允許該製程工具由該歧管隔離 該安瓿。於先質輸送期間’ V-2保持關閉,而其他閥門被 打開’允許諸如氬或氦之乾燥、惰性載送氣體通過進入該 安瓿’及輔助例如TDMAH的有機金屬先質之輸送離開該 安瓿至該製程室。典型用於原子層沈積應用,在如一最後 之隔離點坐落盡可能接近該室的V-6下游有一最後之閥門 (未示出)。此最後之閥門被整合進入該工具。 -31 - 200949123 用於該雙安瓿輸送系統之較佳管道及檢測儀錶被顯示 在圖5中。圖5顯示閥門、壓力傳感器及熱區。該氣體輸 送工業中之慣例係在上游及下游兩位置中使用壓力傳感 器。如在圖5所視,此系統在該等安瓿之上游僅只具有該 等壓力傳感器。該有機金屬先質下游的壓力傳感器將用作 肓管段、散熱器、及用於分支的另一連接點。這些能全部 導致該歧管中之微粒形成。此外,能以每歧管之壓力傳感 器獲得決定一閥門是否未正打開或該管線中有一漏出所需 © 要之所有資訊。 於圖5中,類似於那些藉由該標準安瓿接線中之 CVD工具所控制者的閥門,用於安瓿A係V-1、V-2、V-8及V-9,且用於安瓿B係V-5、V-10、V-11及V-12。 該可程式化邏輯控制器所負責之輸入及輸出係槪要地 顯示於圖2中。該可程式化邏輯控制器被設計成隨著來自 該工具或操作員經由該操作員機器介面(HMI)之命令,由 該歧管承接各種類比及數位信號。參考圖5,該可程式化 © 邏輯控制器控制所有1 6個溫度區及該1 4個歧管閥門,且 爲了反饋而監視該等個別之熱電偶及閥門位置指示器。該 可程式化邏輯控制器由該工具至該活動之歧管轉發氣動或 電閥門打開命令,且如果該工具係在緊急情況中關掉 (EMO_緊急情況關掉),將關掉至一安全狀態。 該可程式化邏輯控制器具有用於以下列方式與該等來 源氣體歧管之每一個、該等載送氣體餵入/汽相試劑輸送 歧管之每一個、該等容器之每一個、及該沈積室導引相通 -32- 200949123 的演算法,該方式使得該等來源氣體歧管之每一個可彼此 獨立地操作,該等載送氣體餵入/汽相試劑輸送歧管之每 一個可彼此獨立地操作,及該等容器之每一個可彼此獨立 地操作。 該可程式化邏輯控制器能由該等來源氣體歧管之每一 個、該等載送氣體餵入/汽相試劑輸送歧管之每一個、及 該等容器之每一個接收數位及類比輸入,且使用該數位及 © 類比輸入,以執行操作。該控制器亦可由該沈積室接收命 令輸入,及使用該命令輸入,以執行操作。 來自該等載送氣體餵入/汽相試劑輸送歧管之每一 個、該等容器之每一個、及該等來源氣體歧管之每一個的 數位及類比輸入包括類比輸入,涉及來自恆溫區之熱電偶 及該等載送氣體餵入/汽相試劑輸送歧管之每一個及該等 來源氣體歧管的每一個上之壓力讀數;及數位輸入’涉及 閥門位置指示器、傾卸泵浦開/關、及該等容器的每一個 β 上之位準感測器。來自該沈積室之命令輸入包括氣動及電 閥門作動信號、來自該沈積室之緊急關閉(ΕΜΟ)、及警報 狀態。 關於上面所接收之數位及類比輸入,所執行之操作能 包括控制該等載送氣體餵入/汽相試劑輸送歧管之每一 個、該等容器之每一個、及該等來源氣體歧管的每一個中 之分開溫度區中的溫度;控制該等載送氣體餵入/汽相試 劑輸送歧管之每一個及該等來源氣體歧管的每一個中之閥 門;監視熱電偶及閥門位置指示器,用於該等載送氣體韻 -33- 200949123 入/汽相試劑輸送歧管之每一個、該等容器之每一個、及 該等來源氣體歧管的每一個中之反饋;由該沈積室轉發電 及氣動閥門作動信號至該主動載送氣體餵入/汽相試劑輸 送歧管之每一個及該主動來源氣體歧管之每一個;且與該 沈積室相通,涉及機箱之緊急氣體排除(EGO)、溫度警 告、溫度警報、閥門位置資訊、位準感測器資訊、及其他 警報。 關於上面所接收之命令輸入,所執行之操作能包括控 © 制該等載送氣體餵入/汽相試劑輸送歧管之每一個、該等 來源氣體歧管之每一個、及該等容器的每一個中之分開溫 度區中的溫度;控制該等載送氣體餵入/汽相試劑輸送歧 管之每一個及該等來源氣體歧管的每一個中之閥門;監視 熱電偶及閥門位置指示器,用於該等載送氣體餵入/汽相 試劑輸送歧管之每一個、該等容器之每一個、及該等來源 氣體歧管的每一個中之反饋;由該沈積室轉發電及氣動閥 門作動信號至該主動載送氣體餵入/汽相試劑輸送歧管之 ® 每一個及該主動來源氣體歧管之每一個;且與該沈積室相 通,涉及機箱之緊急氣體排除(EGO)、溫度警告、溫度警 報、閥門位置資訊、位準感測器資訊、及其他警報。 由接收上面的數位及類比輸入所執行之操作能包括在 該等載送氣體餵入/汽相試劑輸送歧管之每一個、該等來 源氣體歧管之每一個、及該等容器的每一個中分開地控制 溫度狀態及閥門狀態。該等溫度狀態及閥門狀態包括離 線、手動的、安瓿改變、及製程。該製程包括備用、按 -34- 200949123 鈕、或要求氣體、及連線作業。 由接收上面的命令輸入所執行之操作能包括在該等載 送氣體餵入/汽相試劑輸送歧管之每一個、該等來源氣體 歧管之每一個、及該等容器的每一個中分開地控制溫度狀 態及閥門狀態。該等溫度狀態及閥門狀態包括離線、手動 的、安瓿改變、及製程。該製程包括備用、按鈕、或要求 氣體、及連線作業。 ❹ 於一具體實施例中,該控制器將該數位及類比輸入轉 發至一電腦,允許一使用者監視該等操作,及將該命令輸 入轉發至一電腦,允許一使用者監視該等操作。 該等容器之每一個能包括至少一來源化學物位準感測 器及至少一溫度感測器。該可程式化邏輯控制器能與該等 來源化學物位準感測器之每一個及之該等溫度感測器之每 一個導引相通,以彼此獨立地操作該等來源氣體歧管之每 一個、彼此獨立地操作該等載送氣體餵入/汽相試劑輸送 ® 歧管之每一個、及與該等容器之其他任何一個獨立地操作 該等容器之每一個。 在該工具端部上無流動或加熱器故障之案例中,該可 程式化邏輯控制器亦可採取一想要之作用。該可程式化邏 輯控制器可監視一來自該傾卸泵浦之信號,以確定其係在 打開一歧管之前傾卸,且能監視每一個安瓿上之位準感測 器,以對一低先質狀態之工具發出警報。此外,該可程式 化邏輯控制器能在該等區域之一中超出溫度的案例中或緊 急關機對該工具發出警報。其亦將在上方由該活動之閥門 -35- 200949123 轉發該等適當之閥門位置指示器至該工具,如果其係需要 的。該可程式化邏輯控制器所接收之所有資料可爲經由乙 太網路連接轉播,允許該使用客戶監視溫度、壓力、與類 似者等,用於SPC或開發之目的。 該整合式汽相之或液相試劑配給設備之另一獨特的態 樣係該可程式化邏輯控制器(P L C)控制餵入一共通製程工 具之二分開歧管的溫度及閥門狀態。顯示該PLC所需之 一般流動及決定的流程圖被顯TfC在圖6中。遍及所有步 ❿ 驟’該P L C係監視諸如管線壓力、溫度、閥門狀態、與 類似者等之輸入,以確保該系統係在其指定之操作限制 內。此外,該PLC被程式設計,使得某些閥門未能同時 打開,防止該等歧管間之“串擾”。譬如,至製程閥門之 出口或至傾卸閥門之出口兩者不能被同時打開。於一具體 實施例中,該等載送氣體餵入/汽相試劑輸送歧管之每一 個及該等來源氣體歧管之每一個的溫度係比該等容器之每 一個的溫度至少大攝氏5度或更大。 ® 在圖6槪要圖之頂部左側開始,一安瓿可爲於該”安 瓿活動”狀態中。於此狀態中,其係在適當溫度,且該 PLC正監視該活動安瓿及其個別歧管的溫度。其係亦將來 自該工具之信號轉向至該適當之活動歧管。於此活動狀態 中,該工具可由該安瓿運轉製程。 由該“安瓿活動”狀態,該安瓿及其個別歧管可被置 於一“備用”狀態中。於此狀態中,該安瓿係在適當溫度 及準備好離線地取得或置於一活動狀態中。於此“備用” -36- 200949123 狀態中,該工具不具有該個別歧管上之任何閥門的控制。 由“在適當溫度之安瓿備用”,一操作員能回至活動、進 入一手動模式、或開始安瓿調換回去。 由備用回至進入“活動”,該控制器沖洗該歧管達一 使用者指定之時間數量,且接著將該歧管上之適當閥門的 控制權傳送至該工具。 於去“開始一安瓿改變”中,該PLC作檢查,以確 定另一歧管未正使用該沖洗氣體或傾卸管線,接著將提示 該操作員關閉該安瓿手動閥門,以致一歧管沖洗能被施 行。此沖洗被完成,以由該歧管及該安瓿閥門與該等歧管 閥門間之管系的支管消除殘留之有機金屬,以致當該安瓿 被移除時,於那些支管中沒有剩餘之先質將與該空氣或該 空氣中之濕氣反應。 在循環沖洗該歧管之後,該PLC作檢查,以確定該 等安瓿閥門被關上。這是經由一測漏(leak-up)所完成,在 此該歧管被泵吸至基壓、被隔離與接著觀察該壓力上昇。 如果該安瓿被關閉,且殘留之化學物已被由該管線沖洗, 該歧管將不會呈現一顯著之壓力上昇。如果該漏出檢查係 失敗,該操作員被提示作調查。 在成功之漏出檢查之後,該控制器將關掉該等加熱 器,且當其抵達一安全之溫度時,提示一操作員替換該安 瓿。 一旦該新的安瓿被安裝,且該操作員確認之,該PLC 將執行另一漏出檢查,以確保該安瓿已被正確地接線,且 -37- 200949123 接著開始沖洗該歧管,以消除已於安瓿接線期間吸附之殘 留的空氣及濕氣。該PLC將經過打開該等安瓿閥門趨使 該操作員走動,且接著可於加熱之前抽空、沖洗或加壓該 安瓿前端空間。這是使用者相依的。於一更整合式系統之 案例中,該安瓿將接著等候一信號,以由操作員經過該人 機介面(HMI)或由該工具其中之一加熱。 一旦該安瓿、其閥門及該歧管已經穩定在該設定點溫 度,該安瓿將進入該“在適當溫度之安瓿備用”狀態,當 © 需要時準備好去“活動”。 該PLC亦可包括一密碼保護手動模式,其將允許一 熟練之技士或工程師手動地致動閥門,用於氦漏出檢查、 漏檢查,歧管替換、系統檢査、與類似者等之目的。當作 一增加之安全測量,閥門排除被程式設計進入該可程式化 邏輯控制器,以防止該活動歧管及該不活動歧管間之串 擾。該等安瓿可被專門地設計成具有自動之閥門,然而, 其不是標準之實務,因爲手動閥門允許操作員確保一緊閉 © 之密封。 該PLC決定哪一歧管係活動的。這能藉由以下所引 發:1) 一手動按鈕,在此該工具操作員已知一安瓿之運轉 限制已被抵達,且命令該轉換;或2)—自動轉換功能, 其使用來自該等位準感測器之資料或來自該工具之計數 器,以決定一安瓿何時爲低的,且該另一安瓿應被帶至連 線作業的。另一案例係在此該PLC對該操作員發出警 報,即該轉換將爲需要,但等候操作員輸入以執行。 -38- 200949123 整合式汽相或液相試劑配給設備中所使用之PLC螢 幕的說明性蜜幕單幅被顯示在圖17中。 該整合式汽相或液相試齊I S3,袷設_ @ ® @樣之一係 用於該可程式化邏輯控制器之安全方式的設計’以重定向 由該製程工具至該適當之活動歧管之閥門打開氣動信號’ 而當該歧管係於一不活動狀態中時’仍然允許該可程式化 邏輯控制器控制那些閥門°此外’用於安全性目的’其想 β 要的是當該工具上之氣壓被關閉時’該整合式汽相或液相 試劑配給設備閥門亦將被關閉。此解決方法的一範例被槪 要地顯示於圖7中。 爲控制共用之氣動閥門,該可程式化邏輯控制器供給 24伏特直流信號至一排接線至共用主要氣動餵入之電磁 閥。於此案例中,供給該機櫃之主要氣動管線係自該工具 抽出。這意指如果該工具氣壓被關閉,該整合式汽相或液 相試劑配給設備之氣壓亦被關閉。另外,用於該等共用閥 φ
門之雙重控制,來自該工具之每一氣動信號被導引至一特 別之電磁閥(或同等件),其可被通電,以將該氣動信號送 至該整合式汽相或液相試劑配給設備的任一歧管上之適當 閥門。該“或(OR)”止回閥(例如三通梭閥)允許氣動信號 至那些共享閥門,以來自該主要電磁面板或該獨立之A 或B電磁閥,例如4位置三通閥,而沒有其他廢氣之放 氣。 該安瓿能坐落在一小通氣機櫃之內側。該安瓿典型停 靠在一擱架上’且其設計本來就在該安瓿上方之歧管係一 -39- 200949123 相當堅硬之結構。一典型之安瓿安裝被顯示在圖8中。該 安飯能安坐在一固定式或滑動式(進出該頁面)擱架的頂部 上之半撓性加熱外罩的內側。高真空VCR連接之使用亦 導致該等安瓿閥門及該歧管間之零間隙裝配。一具體實施 例係使用該該加熱罩殻中之遊隙’以負責該安瓿高度中之 變化。這造成該機櫃難以建立及達到容差。如果該擱架係 太高,該安瓿將不裝在該歧管下方。如果該擱架係太低’ 該等連接未能被正確地擰緊’或該安瓿之整個重量(35_40 磅)可上緊被該歧管所支撐,使該等焊接部分及配件受應 力。用以易於載入該安瓿,一具有整合式彈簧加載板件之 滑動式擱架能被使用,如圖9所示。該擱架能倂入中心定 位栓銷及一轉動平台,如圖10所示。所有這些部件能夠 使一操作員中心定位該安瓿,對齊該等連接部及在該堅硬 的歧管之下輕易地滑動之。 該等安瓿之佈置能影響上面歧管中之彎頭的數目及管 線長度。實際上,其最佳地是使該先質輸送管線上之“盲 管段”及不需要之彎頭減至最少。這被完成,以讓用於微 粒凝結之機會減至最小,且能夠使殘留之先質於沖洗期間 完全移除。譬如,一具有面朝向前的完全相同之安瓿的具 體實施例係顯示在圖11中,而圖12中之另一具體實施例 顯示如何順時針方向轉動該等安瓿,繞著其中心軸轉動達 45度,能於該入口氬支管中消除二彎頭,且減少該等歧 管間之共用出口管線的長度。吾人亦可使側面特定安瓿之 案例形象化’在此一安瓿(A)在左側上具有該入口,且另 200949123 一安瓿(B)在該右側上具有該入口。於此案例中,安瓿(A) 可爲繞著其直立軸心順時針方向旋轉,且安瓿(B)繞著其 直立軸心逆時針方向旋轉,導致用於該共用歧管T形接頭 之很短的出口至出口距離,如圖13所示。顯示該側面特 定安瓿案例之佈置的槪要圖被顯示在圖14中。如圖14所 不’該安飯入口 V-6及V-18係在相向兩側上,且該等出 口閥門(V-7與V-17)係朝向該中心。此方位允許將該二安 © 瓿連接至該共用歧管之管線長度被減至最小,這對於減少 肓管段容量係重要的。 偶而,該等容器接近無該產品液態先質。該接近流空 之狀態可被一液態位準感測器所偵測。傳統之位準感測器 可爲有用的,其與在此中之教導係一致的。該等感測器可 指示譬如一容器可需要被替換或再裝滿,但其不需要被馬 上做成。如果需要,該工具之製程可被完成,使一小先質 供給停留於該容器中。該等感測器亦可指示該工具之製程 W 必需被停止,因爲該容器未包含一適當之先質供給。該等 感測器亦可指示該容器係滿的。 當其係再裝滿及/或替換一容器之時間時,發生一替 換程序,其中該容器係由該整合式汽相或液相試劑配給設 備移除。打開該系統至周遭條件暴露該系統中之反應先質 殘留物至大氣成份,大部分特別爲氧及濕氣。因此,該等 殘留物必需在打開該系統之前被由該等管線沖洗。大部分 沖洗可使用氣體及/或真空被完成。用於那些未藉由這些 方法所移除之先質殘留物,一溶劑可被使用,以充分地沖 -41 - 200949123 洗該等管線。暴露至該反應先質的整合式汽相或液相試劑 配給設備之某些零件能以適當之溶劑沖洗,該溶劑係沖洗 經過一通至傾卸器之出口管線。該溶劑沖洗可被該溶劑槽 及歧管所支援。另一選擇係,沖洗氣體係經過一閥門插入 該整合式汽相或液相試劑配給設備,且該廢物經過一排放 管線行進至該傾卸器。於這些抽空製程期間,殘留物壓力 能被壓力感測器所監視。 該整合式汽相或液相試劑配給設備之各種零件及操作 © 被一控制器所控制。該控制器被組構成與其他容器歧管組 合獨立地控制每一容器歧管組合。如此,與其他容器中之 先質獨立地管理及分佈一容器中之先質,且提供該先質至 一製造工具之整個製程係彈性的。譬如,一先質可被同時 供給,或多數先質可被同時供給。再者,一或多個容器可 被替換,而其他容器正供給先質材料。 設計該整合式汽相或液相試劑配給設備的容器、歧管 及各種其他零件中與之間的連接管線,以保留在此中所敘 © 述之化學物。譬如,該等管線可爲由高純度不銹鋼管系所 製成。在此中所敘述之關閉閥可爲無彈簧之高純度膜片 閥。 在操作中,該整合式汽相或液相試劑配給設備被具有 一演算法之控制器所控制,該控制器導引該數個單元間之 相通及完成該整合式系統。該系統之數個單元經過各種共 享零組件相通。於任何組合中,該控制器及具有其共享零 組件之不同單元允許該整合式系統施行作爲一模組化工 -42- .200949123 具。該控制器可爲與在此中之教導一致的各種控制器之任 何一種,並可坐落在各種位置中。該控制器被設計成適於 以此一方式與該整合式汽相或液相試劑配給設備之各種系 統相通,該方式使得該等容器可彼此獨立地操作。另一選 擇係,如果分開之控制器被使用於該工具及該整合式汽相 或液相試劑配給設備中,該等控制器彼此相通,以致該工 具得知化學物槽何時被替換,且該整合式汽相或液相試劑 配給設備得知該工具何時需要先質。 亦藉由該控制器演算法監視該等容器中之先質殘留數 量。該等容器可被不斷地或不連續地監視。該等容器可包 括譬如外部感測器,諸如臺秤及超音波感測器。該等容器 亦可包括譬如內部感測器,諸如那些先前所論及者。當一 容器感測器發出一低位準信號時,該槽替換程序如在此中 所敘述地被.啓動。 iEItb中所敘述之整合式汽相或液相試劑配給設備的具 體實施例提供一模組化、整合式處理器,用於連續地供給 先質至一目標製程工具。該整合式汽相或液相試劑配給設 備亦可與其他模組結合,以提供一用於儲存及輸送該等先 質至工具之系統,使得該製造工具可順利及連續地承接先 質供沈積。 上面之討論係意指本發明之原理及各種具體實施例的 說明性者°雖然本發明之具體實施例已被顯示,可藉由熟 g音此技藝者作成其修改,而未由本發明之教導脫離。在此 中所欽述之具體實施例係僅只示範用,且未限制之。在此 -43- 200949123 中所揭示之本發明及設備與方法之很多變化及修改係可能 的,且在本發明之範圍內。據此,保護之範圍係不被上面 所提出之敘述所限制,但係僅只被隨後之申請專利範圍所 限制,該範圍包括該等申請專利範圍之主題的所有同等 項。 當然該等容器、歧管、壓力調整器、閥門及孔口之各 種組合可與本發明之具體實施例一起使用。本發明應不被 限制於在此中所敘述之此等裝置的組合,且普通熟諳此技 © 藝者將了解本發明包括其他與在此中之教導一致的組合。 參考圖1、5、14及16’製程氣體係該載送氣體。其 係將進入該安瓿或與該先質混合之氣體,以於輸送至該 “製程”期間稀釋之。該沖洗氣體係僅只用於在該安瓿被 用盡之後或於新的安瓿接線期間沖洗出該歧管。譬如,一 顧客可想要使用電子等級氬氣當作該載送氣體,但忠於用 於該沖洗氣體之電子等級氮氣,因爲其係比較便宜。 參考圖1、5及14,該等容器(例如20及21)能包括 〇 該頂部壁面構件的一部份,其具有一載送氣體進料孔開 口 ’載送氣體能經過該開口被餵入該裝滿位準上方之內部 氣體容積’以造成該來源化學物之蒸氣變得被夾帶於該載 送氣體中’以產生汽相試劑;且該頂部壁面構件的一部份 具有一汽相試劑出口開孔’該汽相試劑可經過該出口開孔 由該設備被配給。 該等容器(例如20及2 1)能包括一載送氣體餵入管線 (例如圖14中之32及42),其由該載送氣體進料孔開口向 -44- 200949123 上地延伸,且由用於載送氣體之輸送進入該裝滿位準上方 的內部氣體容積之頂部壁面構件外部地延伸,該載送氣體 餵入管線(例如圖14中之32及42)在其中包含載送氣體流 量控制閥(例如用於安瓿20之V-1、V-3、V-6及V-8 ;及 用於安瓿21之V-4、V-5、V-11及V-18)及壓力傳感器 (例如PTA及PTB),用於監視與控制該來源氣體歧管之壓 力;及一汽相試劑排放管線(例如圖14中之34及44)由該 ® 汽相試劑出口開孔向上地延伸,且由用於自該裝滿位準上 方的內部氣體容積移除汽相試劑之頂部壁面構件外部地延 伸,該汽相試劑排放管線(例如圖14中之34及44)在其中 包含汽相試劑流量控制閥(例如用於安瓿20之V-7、V_ 9、V-15 及 V-16;及用於安瓿 21 之 V-12、V-13、V-14 及V-1 7),用於控制該汽相試劑經過該處之流量。 於一具體實施例中,該等容器(例如20及21)能包括 具有一載送氣體進料孔開口之頂部壁面構件的一部份,其 W 包括一起泡管,該起泡管延伸經過該內部氣體容積進入該 來源化學物,且該載送氣體可經過該起泡管被起泡進入該 來源化學物,以造成來源化學物蒸氣的至少一部份變得被 夾帶於該載送氣體中,以產生汽相試劑至該裝滿位準上方 之內部氣體容積的流動,該起泡管具有一毗連該頂部壁面 構件之入口端部及一毗連該底部壁面構件之出口端部;及 該頂部壁面構件的一部份具有一汽相試劑出口開孔,該汽 相試劑可經過該出口開孔由該設備被配給。 具有一起泡管之容器能包括一載送氣體餵入管線(例 -45- 200949123 如圖14中之32及42),其由該載送氣體進料孔開口向上 地延伸,且由用於載送氣體之輸送進入該來源化學物之頂 部壁面構件外部地延伸,該載送氣體餵入管線(例如圖14 中之32及42)在其中包含載送氣體流量控制閥(例如用於 安瓿20之V-l、V-3、V-6及V-8;及用於安瓿21之乂-4、V-5、V-11及V-18)及壓力傳感器(例如PTA及PTB), 用於監視與控制該來源氣體歧管之壓力;及一汽相試劑排 放管線(例如圖14中之34及44)由該汽相試劑出口開孔向 上地延伸,且由用於自該裝滿位準上方的內部氣體容積移 除汽相試劑之頂部壁面構件外部地延伸,該汽相試劑排放 管線(例如圖14中之34及44)在其中包含汽相試劑流量控 制閥(例如用於安瓿20之V-7、V-9、V-15及V-16;及用 於安瓿21之V-12、V-13、V-14及V-17),用於控制該汽 相試劑經過該處之流量。 於另一具體實施例中,該等容器(例如20及21)能包 括具有一惰性氣體進料孔開口之頂部壁面構件的一部份, 該惰性氣體能經過該開口被餵入該裝滿位準上方之內部氣 體容積,以加壓該該裝滿位準上方之內部氣體容積;及該 頂部壁面構件的一部份具有一液相試劑出口開孔,包括一 延伸經過該內部氣體容積進入該來源化學物之浸入管,且 液相試劑可經過該浸入管由該設備配給,該浸入管具有一 毗連該頂部壁面構件之出口端部及一毗連該底部壁面構件 之入口端部。 具有浸入管之容器(例如20及2 1)能包括一惰性氣體 .200949123 餵入管線(例如圖14中之32及42) ’其由該惰性氣體進料 孔開口向上地延伸,且由用於惰性氣體之輸送進入該裝滿 位準上方的內部氣體容積之頂部壁面構件外部地延伸’該 惰性氣體餵入管線(例如圖14中之32及42)在其中包含惰 性氣體流量控制閥(例如用於安瓿20之V-1、V-3、V-6及 V-8;及用於安瓿21之V-4、V-5、V-11及V-18)及壓力 傳感器(例如PTA及PTB),用於監視與控制該來源氣體歧 ® 管之壓力;及一液相試劑排放管線(例如圖14中之34及 4 4),其由該液相試劑出口開孔向上地延伸,且由用於自 該容器移除液相試劑之頂部壁面構件外部地延伸,該液相 試劑排放管線(例如圖14中之34及44)在其中包含液相試 劑流量控制閥(例如用於安瓿20之V-7、V-9、V-15及V-16;及用於安瓿21之V-12、V-13、V-14及V-17),用於 控制該液相試劑經過該處之流量。 該等容器或安瓿典型係由例如316L之不銹鋼所機械 胃加工’且被電拋光,以防止該先質液體或固體來源化學物 之污染。該蓋子或頂部壁面構件可爲不可移除或可移除 的’以有利於清洗及重新使用。該容器能包括一圓柱形側 壁構件或界定一非圓柱形的形狀之諸側壁構件。具有可移 除之頂部壁面構件的容器能包括緊固機構,用於將該頂部 壁面構件鎖固至該側壁構件。說明之緊固機構包括譬如焊 接構件、螺栓或密封件。 該等安瓿能包括入口及出口閥門,例如開/關閥門及 質量控制閥,以允許該化學物被輸送至該最終使用設備。 -47- 200949123 選擇性之安瓿設備包括一裝滿通口及一來源化學物位準感 測器,以決定該安瓿何時係幾乎流空的。用於低蒸氣壓化 學物,該容器中之材料係在真空之下輸送,或使用一惰性 氣體,以將該等蒸氣打掃乾淨。另一選擇地係,該材料可 當作一液體被輸送經過一浸泡管至該最終使用設備,在此 其能如需要地被蒸發或配給》 一溫度感測器較佳地是被包括在該等安瓿中,以確保 均句之熱傳導。.一來源化學物位準感測器較佳地是被包括 © 在該等安瓿中,以確保該來源化學物之有效率使用。該等 閥門及來源化學物位準感測器係經由面密封連接部附接, 以確保一清潔、防漏之密封。一旦被組裝在無塵室中,該 安瓿被調節,以移除所吸附之水,及以氮漏出偵測器作漏 出檢查。該等安瓿被設計爲在由數陶爾至稍微在周圍壓力 上方之壓力使用。 於本發明之具體實施例中,該溫度感測器由該容器外 部的一上端延伸經過該頂部壁面構件的一部份,且大致上 ® 直立地往下進入該容器之內部容積,使該溫度感測器之下 端係非干涉接近地坐落至該底部壁面之表面。該來源化學 物位準感測器由該容器外部的一上端延伸經過該頂部壁面 構件的一部份,且大致上直立地往下進入該容器之內部容 積,使該來源化學物位準感測器之下端係非干涉接近地坐 落至該底部壁面之表面。該溫度感測器係有效運作地配置 在該容器中,以決定該容器中之來源化學物的溫度,該來 源化學物位準感測器係有效運作地配置在該容器中,以決 -48- 200949123 定該容器中之來源化學物的位準’該溫度感測器及來源化 學物位準感測器係彼此非干涉接近地坐落於該容器中’使 該溫度感測器之下端係關於該來源化學物位準感測器之下 端坐落在該容器之相同表面或較接近至該容器之表面’且 該溫度感測器及來源化學物位準感測器係在該容器中之來 源化學流動相通中。該來源化學物位準感測器係選自超音 波感測器、光學感測器、電容感測器、及浮動型感測器’ © 且該溫度感測器包括一熱井及熱電偶。 於本發明之具體實施例中,該底部壁面構件選擇性地 提供一收集器孔腔,一溫度感測器之下端、來源化學物位 準感測器、浸泡管及/或起泡管可被設置在其中。此一組 構能允許該原始供給液體或固體的來源化學物之體積的一 高百分比、例如9 5 %或較大,較佳地是9 8 %或較大被利用 於選擇性地配給該來源化學物之應用中。此組構亦可改善 該來源化學物供給及配給系統及製程之經濟效果,在該製 ® 程中採用該配給之來源化學物。 當該來源化學物位準感測器已經發出該內容物之末端 的信號時,本發明允許最小數量之半導體先質化學物停留 於該等安瓿或起泡器中。這是很重要的,因半導體先質之 複雜性及成本上昇。爲了使成本減至最少,半導體製造商 將想要盡可能少地浪費先質。此外,本發明將該溫度感測 器放置於與該來源化學物位準感測器相同之凹入收集器孔 腔中。如果該來源化學物位準感測器指示有先質存在,這 確保該來源化學物半導體先質之真實溫度將被讀取。這由 -49- 200949123 一安全性觀點係重要的。如果該溫度感測器係在該半導體 先質之外側,其將一錯誤之低溫信號送至該加熱設備。這 能導致過度之熱施加至該安瓿,其能造成一不安全的狀態 及該半導體先質之分解。 又參考該等容器或安瓿,該等容器能被配備有一來源 化學物位準感測器,其由該容器外部的一上部往下地經過 該容器之頂部壁面構件的一非中心定位部份延伸至一下 端,非中心地坐落在該底部地板構件上,選擇性地極接近 © 該容器之收集器孔腔的表面,以當來源化學物試劑被裝盛 在該容器中時,允許來源化學物試劑之至少9 5 %的利用 率。該來源化學物位準感測器之上部可被一來源化學物位 準感測信號傳輸線連接至一中央處理單元,用於在該系統 之操作期間由該來源化學物位準感測器傳輸所感測之來源 化學物位準信號至該中央處理單元。 以一類似方式,該等容器能被配備有一溫度感測器, 亦即一熱井及熱電偶,該溫度感測器由該容器外部的一上 〇 部往下地經過該容器之頂部壁面構件的一中心定位部份延 伸至一下端,中心地坐落在該底部壁面構件上,極接近該 容器之底部壁面的表面。該溫度感測器之上部可被一溫度 感測信號傳輸線連接至一中央處理單元,用於在該系統之 操作期間由該溫度感測器傳輸所感測之溫度信號至該控制 器或中央處理單元。 該控制器或中央處理單元可包括一合適之微處理器、 電腦、或其他適當之控制機構,亦可藉由一控制信號傳輸 -50- 200949123 線接合至流量控制閥(例如經由一合適之閥門致動器元 件),以選擇性地調整流量控制閥(例如用於安瓿20之乂-1、V-3、V-6 及 V-8;及用於安瓿 21 之 V-4、V-5、V-11 及V-18),及控制載送氣動流動至該容器。該中央處理單 元亦可藉由一控制信號傳輸線接合至其他流量控制閥(例 如經由一合適之閥門致動器元件),以選擇性地調整該等 流量控制閥(例如用於安瓿20之V-7、V-9、V-15及V-16;及用於安瓿21之V-12、V-13、V-14及V-17),且控 制來自該容器之汽相或液相試劑的排放。用於本發明之目 的,流量控制閥將包括隔離閥、計量閥與類似者等。 本發明允許該半導體製造商使用最大量之先質,而在 替換該安瓿之前極少浪費。這在該半導體先質及特定之應 用中使浪費減至最少,且最大化該投資上之回收。 一典型之安瓿包括大約五至六吋直徑及五至七吋高度 之容器或圓筒,且係由316不銹鋼(316SS)所製成。該頂 部壁面構件係0.5吋厚,且係藉由八至十二支螺栓附接至 該側壁構件,或可被焊接至該側壁構件上。該安瓿可或不 具有一被安裝之引入器(或浸入)管件。一裝滿通口亦可被 包括。一閥門可被用作一用於惰性氣體之入口,以將該產 物掃出該出口閥門。該安瓿亦可具有一起泡管。該起泡管 能被用於使一惰性氣體經過該產物起泡,以輔助輸送該材 料當作一蒸氣。 於本發明中有用之說明性來源化學物能遍及一寬廣之 範圍變化,且包括例如液體或固體之先質,用於第2族 -51 - 200949123 (例如鈣、緦、及鋇)、第3族(例如釔及鑭)、第4族(例如 鈦、锆及給)、第5族(例如釩、鈮及鉅)、第6族(例如 鉻、鉬及鎢)、第7族(例如錳)、第8、9及10族(例如 鈷、鎳、釕、铑、鈀、及鉑)、第1 1族(例如銅、銀及 金)、第12族(例如鋅及鎘)、第13族(例如鋁、鎵、銦、 及鉈)、第14族(例如矽、鍺及鉛)、第1 5族(例如銻及 鉍)、第16族(例如碲及釙)之金屬、該週期表之鑭系元素 及該锕系元素。於本發明中有用之較佳來源化學物包括用 於選自釕、給、钽、鉬、鉛、金、鈦、鉛、鈀、锆、鉍、 緦、鋇、鈣、銻、鉈、鋁、及铑之金屬的液體或固體之先 質,或用於選自矽及鍺之似金屬的先質。較佳之有機金屬 先質化合物包括含釕、含鈴、含钽、及/或含鉬之有機金 屬先質化合物。 該等來源化學物能被加至一容器,而該容器係由該系 統移除及以一新鮮的容器替換。加至該容器的來源化學物 之溫度係不重要的,且能遍及一寬廣之範圍變化。該來源 化學物能被加熱至一足以蒸發該來源化學物的溫度,以在 適當之流動比率提供一汽相試劑至該製程。每一材料在室 溫具有一輕微之蒸氣壓,並將在真空之下蒸發。熱之加入 增加該蒸發比率,使得其係足以在一合理之時間中提供所 需要之化學物數量。 於加熱時昇華之固體來源化學物與熔化之固體來源化 學物能被使用於本發明中。譬如,昇華之固體來源化學物 可被用在圖1、5、14及16所示之汽相試劑配給設備中。 -52- 200949123 於加熱時熔化之固體來源化學物可被用在圖1、5、14及 16所示之汽相或液相試劑配給設備中。同樣地,液態來 源化學物可被用在圖1、5及1 4所示之汽相試劑配給設備 中。當使用昇華之固體來源化學物時,其可爲需要採用灰 塵截留設備。 於本發明中有用之說明性汽相或液相試劑能遍及一寬 廣之範圍變化,且包括例如汽相或液相先質,用於第2族 (例如鈣、緦、及鋇)、第3族(例如釔及鑭)、第4族(例如 鈦、锆及給)、第5族(例如釩、鈮及鉅)、第6族(例如 鉻、鉬及鎢)、第7族(例如錳)、第8、9及10族(例如 鈷、鎳、釕、鍺、鈀、及鈾)、第1 1族(例如銅、銀及 金)、第1 2族(例如鋅及鎘)、第1 3族(例如鋁、鎵、銦、 及鉈)、第1 4族(例如矽、鍺及鉛)、第1 5族(例如銻及 鉍)、第16族(例如碲及釙)之金屬、該週期表之鑭系元素 及該锕系元素。於本發明中有用之較佳汽相或液相試劑包 括用於選自釕、給、钽、鉬、鈾、金、鈦、鉛、鈀、锆、 鉍、緦、鋇、鈣、銻、鉈、鋁、及铑之金屬的汽相或液相 先質,或用於選自矽及鍺之似金屬的先質。較佳之有機金 屬先質化合物包括含釕、含給、含鉬、及/或含鉬之有機 金屬先質化合物。 該沈積室可爲一化學蒸氣沈積室或一原子層沈積室。 該汽相試劑排放管線(例如圖14中之34及44)連接該容器 至該沈積室。一可加熱的接受器或基板(例如晶圓可被直 立地固持在一直立爐管中之石英燒舟上,並在外面具有輻 -53- 200949123 射性地加熱該等晶圓之加熱器)被包含在該沈積室內,且 係以一相對該汽相試劑排放管線(例如圖1 4中之3 4及44) 爲承接關係坐落。一流出物排放管線係連接至該沈積室。 該汽相試劑通過該汽相試劑排放管線(例如圖14中之34 及44)及進入該沈積室,用於與一選擇性地在該可加熱的 接受器上之基板接觸,且任何殘留之流出物被經過該流出 物排放管線排放。該流出物可爲通過至再循環、回收、廢 物處理、處置、或其他清除機構。 參考圖16,本發明局部有關一整合式汽相試劑配給 設備*包括: 複數容器(例如20及21),每一容器包括一頂部壁面 構件,一側壁構件及一底部壁面構件,該等構件被組構至 形成一內部容器隔間,以裝盛一來源化學物;及該頂部壁 面構件的一部份具有一汽相試劑出口開孔,該汽相試劑可 經過該出口開孔由該容器被配給; 複數汽相試劑輸送歧管(例如歧管22及23 ),該等汽 相試劑輸送歧管之每一個彼此互連;每一容器連接到至少 一汽相試劑輸送歧管;每一汽相試劑輸送歧管包括一汽相 試劑排放管線(例如34及44),其由該汽相試劑出口開孔 向上地延伸,且由用於自該容器移除汽相試劑之頂部壁面 構件外部地延伸,該汽相試劑排放管線在其中選擇性地包 含一或多個汽相試劑流量控制閥(例如用於安瓿20之乂-7、V-9、V-15 及 V-16;及用於安瓿 21 之 V-12、V-13、 V-1 4及V-1 7),用於控制該汽相試劑經過該處之流量;及 -54- 200949123 一或多個控制器(未示出),用於以下列方式與該等汽 相試劑輸送歧管(例如22及23)之每一個及該等容器(例如 20及21)之每一個導引相通,該方式係使得該等汽相試劑 輸送歧管之每一個可彼此獨立地操作,且該等容器之每一 個可彼此獨立地操作。 該整合式汽相試劑配給設備另包括複數載送氣體餵入 歧管(例如24及25),該等載送氣體餵入歧管之每一個連 接到至少一汽相試劑輸送歧管(例如22及23);每一載送 氣體餵入歧管包括一載送氣體餵入管線(例如32及42); 該載送氣體餵入管線在其中包含一或多個載送氣體流量控 制閥(例如用於安瓿20之V-1;及用於安瓿21之V-5), 用於控制該載送氣體經過該處之流量,及一壓力傳感器 (例如PT A及PTB) ’用於監視及控制該載送氣體餵入歧管 之壓力。 顯示載送氣體及先質係由該多安瓿輸送系統排放的一 具體實施例、及純先質係由該多安瓿輸送系統排放之另一 具體實施例(簡潔輸送)的整合式汽相或液相試劑配給設備 之簡化槪要代表圖被顯示在圖15中。 參考圖16,本發明局部有關一用於輸送汽相試劑至 沈積室之方法,包括: (a)提供一整合式汽相試劑配給設備,其包括: 複數容器(例如容器20及21),每—容器包括—* I頁部 壁面構件,一側壁構件及一底部壁面構件,該等構件被組 構至形成一內部谷器隔間,以裝盛一來源化學物;及該頂 -55- 200949123 部壁面構件的一部份具有一汽相試劑出口開孔,該汽相試 劑可經過該出口開孔由該容器被配給; 複數汽相試劑輸送歧管(例如歧管22及23),該等汽 相試劑輸送歧管之每一個彼此互連;每一容器連接到至少 一汽相試劑輸送歧管;每一汽相試劑輸送歧管包括一汽相 試劑排放管線(例如34及44),其由該汽相試劑出口開孔 向上地延伸’且由用於自該裝滿位準上方之內部氣體容積 移除汽相試劑之頂部壁面構件外部地延伸,該汽相試劑排 φ 放管線在其中選擇性地包含一或多個汽相試劑流量控制閥 (例如用於安瓿20之V-7、V-9、V-15及V-16;及用於安 瓿21之V-12、V-13、V-14及V-17),用於控制該汽相試 劑經過該處之流量;及 一或多個控制器(未示出),用於以下列方式與該等汽 相試劑輸送歧管(例如22及23)之每一個及該等容器(例如 20及21)之每一個導引相通,該方式係使得該等汽相試劑 輸送歧管之每一個可彼此獨立地操作,且該等容器之每一 ^ 個可彼此獨立地操作; 將來源化學物加至該等容器(例如20或21)的一或多 個; 將該等容器(例如20或2 1)的一或多個中之來源化學 物選擇性地加熱至一足以蒸發該來源化學物的溫度,以提 供汽相試劑; 經過該汽相試劑排放管線由該等容器之一容器抽回該 汽相試劑,該容器與任何其他之容器無關: -56- 200949123 經過載送氣體餵入管線(例如32或42)將載送氣體餵 入該等汽相試劑輸送歧管的一或多個,以與該汽相試劑混 合;及 將該汽相試劑與載送氣體餵入該沈積室。 該上面之方法另包括: 該汽相試劑在該沈積室內與選擇性地在一可加熱的接 受器上之基板接觸;及 © 經過一連接至該沈積室的流出物排放管線排放任何殘 留之流出物。 上面方法中所使用之整合式汽相試劑配給設備另包括 複數載送氣體餵入歧管(例如24或25),該等載送氣體餵 入歧管之每一個連接到至少一汽相試劑輸送歧管(例如22 及23);每一載送氣體餵入歧管包括一載送氣體餵入管線 (例如32及42);該載送氣體餵入管線在其中包含一或多 個載送氣體流量控制閥(例如用於安瓿20之V-1 ;及用於
A W 安瓿21之V-5),用於控制該載送氣體經過該處之流量, 及一壓力傳感器(例如PTA及PTB),用於監視及控制該載 送氣體餵入歧管之壓力。 在圖16所描述之整合式汽相試劑配給設備的操作 中,來源化學物(例如A1C13)被放置於一容器(例如20或 21)中,且被加熱至一足以蒸發該來源化學物的溫度。該 汽相試劑係由該容器排放經過該汽相試劑出口開孔及該、汽 相試劑排放管線(例如3 4或44)。該純淨的先質蒸氣可在 以惰性製程載送氣體(來自管線56)稀釋之前通過一控制閥 -57- 200949123 或另一檢測儀錶(例如I- 1)及持續至該沈積室。汽相試劑 流量控制閥(例如用於安瓿20之V-7、V-9、ν-15及V-16;及用於安瓿21之V-12、V-13、V-14及V-17)控制流 至該沈積室的汽相試劑之流動。於該沈積室中,該汽相試 劑係沈積至被安裝在可加熱的基板或另一安裝結構上之該 (等)晶圓或其他基板元件上。來自該沈積室之流出物蒸氣 係在一流出物排放管線中排放。該流出物可通過至再循 環、回收、廢物處理、處置、或其他清除機構。於此具體 © 實施例中,惰性氣體沖洗管線32及42能被使用於在安瓿 調換之前及之後由該等管線沖洗殘留之先質或空氣。 在此操作期間,該容器中之來源化學物裝滿位準能被 一來源化學物位準感測器所偵測。其重要的是得知該容器 內側之液體先質化學物何時係接近用完,以致其可在該下 一化學蒸氣沈積或原子層沈積運轉之前被改變。該來源化 學物位準漸進地減低,且最後降低進入該收集器孔腔至一 最小之液柱頭(譬如該收集器孔腔中之液態的高度),在此 ® 點該控制器或中央處理單元藉由一來源化學物位準感測信 號傳輸線接收一對應之感測來源化學物位準信號。該控制 器或中央處理單元作出回應地傳輸一控制信號傳輸線中之 控制信號至某些載送氣體流量控制閥,以關閉該等閥門與 關掉載送氣體至該容器之流動,且亦同時發生地傳輸一控 制信號傳輸線中之控制信號,以關閉某些汽相試劑流量控 制閥,以關掉來自該容器的汽相試劑之流動。 亦於此操作期間,容器中之來源化學物的溫度能被一 -58- 200949123 溫度感測器所偵測。其重要的是監視該容器內側之液體先 質化學物的溫度,以控制該蒸氣壓。如果該容器中之來源 化學物的溫度變得太高,該控制器或中央處理單元藉由溫 度感測信號傳輸線接收一對應之感測溫度信號。該控制器 或中央處理單元作出回應地傳輸一控制信號傳輸線中之控 制信號至加熱機構,以減少該溫度。 該沈積室可爲一化學蒸氣沈積室或一原子層沈積室。 e 該汽相試劑排放管線(例如34或44)連接該汽相試劑配給 設備至該沈積室。一可加熱的接受器可被包含在該沈積室 內,且係以一相對該汽相試劑排放管線(例如34或44)爲 承接關係地坐落。一流出物排放管線係連接至該沈積室。 該汽相試劑通過該汽相試劑排放管線(例如34或44)及進 入該沈積室,用於與一選擇性地在該可加熱的接受器上之 基板接觸,且任何殘留之流出物被經過該流出物排放管線 排放。該流出物可爲通過至再循環、回收、廢物處理、處 〇 ¥ 置、或其他清除機構。 本發明之整合式汽相或液相試劑配給設備可爲有用於 液態及固體材料之蒸發,例如化學蒸氣沈積、原子層沈積 及離子植入製程中所使用之液體及固體來源試劑。譬如看 美國專利第6,921,062 B2號;2007年1月29日提出之美 國專利申請案序號第6 0/8 98,121號;2007年2月27日提 出之美國專利申請案序號第6 0/903,720號;2004年12月 17日提出之美國專利申請案序號第1 1/01 3,434號;2007 年1月29日提出之美國專利申請案序號第60/897,947 -59- 200949123 號;及2007年2月27日提出之美國專利申請案序號第 6 0/903,5 79號;其揭示內容係以引用的方式倂入本文中。 參考圖1、5及14,本發明局部有關一整合式汽相試 劑配給設備,包括: 複數容器(例如20及21),每一容器包括一頂部壁面 構件,一側壁構件及一底部壁面構件,該等構件被組構至 形成一內部容器隔間,以裝盛一來源化學物直至一裝滿位 準,且在該裝滿位準上方額外地界定一內部氣體容積;該 頂部壁面構件的一部份具有一載送氣體進料孔開口,載送 氣體能經過該開口被餵入該裝滿位準上方之內部氣體容 積,以造成該來源化學物之蒸氣變得被夾帶於該載送氣體 中,以產生汽相試劑;且該頂部壁面構件的一部份具有一 汽相試劑出口開孔,該汽相試劑可經過該出口開孔由該容 器被配給; 複數載送氣體餵入/汽相試劑輸送歧管(例如歧管22 及23),該等載送氣體餵入/汽相試劑輸送歧管之每一個彼 此互連;每一容器連接到至少一載送氣體餵入/汽相試劑 輸送歧管;每一載送氣體餵入/汽相試劑輸送歧管包括一 載送氣體餵入管線(例如圖14中之32及42)及一汽相試劑 排放管線(例如圖14中之34及44);該載送氣體餵入管線 由該載送氣體進料孔開口向上地延伸,且由用於載送氣體 之輸送進入該裝滿位準上方的內部氣體容積之頂部壁面構 件外部地延伸,該載送氣體餵入管線在其中包含一或多個 載送氣體流量控制閥(例如用於安瓿20之V-1、V-3 ' V-6 200949123 及V-8;及用於安瓿21之V-4、V-5、V-11及V-18),用 於控制經過該處之載送氣體的流量;及該汽相試劑排放管 線由該汽相試劑出口開孔向上地延伸,且由用於自該裝滿 位準上方的內部氣體容積移除汽相試劑之頂部壁面構件外 部地延伸,該汽相試劑排放管線在其中選擇性地包含一或 多個汽相試劑流量控制閥(例如用於安瓿20之V-7、V-9、V-15 及 V-16;及用於安瓿 21 之 V-12、V-13'V-14 及V-1 7),用於控制該汽相試劑經過該處之流量;及 一或多個控制器(未示出),用於以下列方式與該等載 送氣體餵入/汽相試劑輸送歧管(例如22及23)之每一個及 該等容器(例如20及21)之每一個導引相通,該方式係使 得該等載送氣體餵入/汽相試劑輸送歧管之每一個可彼此 獨立地操作,且該等容器之每一個可彼此獨立地操作。 該整合式汽相試劑配給設備另包括複數來源氣體歧管 (例如24及25),該等來源氣體歧管之每一個彼此互連; 每一來源氣體歧管連接到至少一載送氣體餵入/汽相試劑 輸送歧管(例如22及23);每一來源氣體歧管包括一與該 載送氣體餵入/汽相試劑輸送歧管之載送氣體餵入管線連 續的載送氣體餵入管線(例如圖14中之32及42);該載送 氣體餵入管線在其中包含一或多個載送氣體流量控制閥 (例如用於安瓿20之V-l、V-3、V-6及V-8;及用於安瓿 21之V_4、V-5、V-11及V-18) ’用於控制該載送氣體經 過該處之流量,及一壓力傳感器(例如PTA及PTB),用於 監視及控制該來源氣體歧管之壓力。 -61 - 200949123 參考圖1、5及14,本發明局部有關一用於輸送汽相 試劑至沈積室之方法包括: (b)提供一整合式汽相試劑配給設備’其包括: 複數容器(例如20及21),每一容器包括一頂部壁面 構件,一側壁構件及一底部壁面構件,該等構件被組構至 形成一內部容器隔間,以裝盛一來源化學物直至一裝滿位 準,且在該裝滿位準上方額外地界定一內部氣體容積;該 頂部壁面構件的一部份具有一載送氣體進料孔開口,載送 氣體能經過該開口被餵入該裝滿位準上方之內部氣體容 積,以造成該來源化學物之蒸氣變得被夾帶於該載送氣體 中,以產生汽相試劑;且該頂部壁面構件的一部份具有一 汽相試劑出口開孔,該汽相試劑可經過該出口開孔由該容 器被配給; 複數載送氣體餵入/汽相試劑輸送歧管(例如歧管22 及23),該等載送氣體餵入/汽相試劑輸送歧管之每一個彼 此互連;每一容器連接到至少一載送氣體餵入/汽相試劑 輸送歧管;每一載送氣體餵入/汽相試劑輸送歧管包括一 載送氣體餵入管線(例如圖14中之32及42)及一汽相試劑 排放管線(例如圖14中之34及44);該載送氣體餵入管線 由該載送氣體進料孔開口向上地延伸,且由用於載送氣體 之輸送進入該裝滿位準上方的內部氣體容積之頂部壁面構 件外部地延伸,該載送氣體餵入管線在其中包含一或多個 載送氣體流量控制閥(例如用於安瓿20之V-1、V-3、V-6 及V-8;及用於安瓿21之V-4、V-5、V-11及V-18),用 -62- 200949123 於控制經過該處之載送氣體的流量;及該汽相試劑排放管 線由該汽相試劑出口開孔向上地延伸,且由用於自該裝滿 位準上方的內部氣體容積移除汽相試劑之頂部壁面構件外 部地延伸,該汽相試劑排放管線在其中選擇性地包含一或 多個汽相試劑流量控制閥(例如用於安瓿20之V-7、V-9、V-15 及 V-16;及用於安瓿 21 之 V-12、V-13、V-14 及V-1 7),用於控制該汽相試劑經過該處之流量;及 ® 一或多個控制器,用於以下列方式與該等載送氣體餵 入/汽相試劑輸送歧管(例如22及23)之每一個及該等容器 (例如20及21)之每一個導引相通,該方式係使得該等載 送氣體餵入/汽相試劑輸送歧管之每一個可彼此獨立地操 作,且該等容器之每一個可彼此獨立地操作; 將來源化學物加至該等容器(例如20或21)的一或多 個; 將該等容器(例如20或21)的一或多個中之來源化學 ® 物加熱至一足以蒸發該來源化學物的溫度,以提供汽相試 劑; 經過載送氣體餵入管線(例如圖14中之32或42)將載 送氣體餵入該等容器的一或多個; 經過該汽相試劑排放管線(例如圖14中之34或4 4)由 該等容器(例如20或21)之一容器抽回該汽相試劑及載送 氣體,該容器與任何其他之容器無關;及 將該汽相試劑與載送氣體餵入該沈積室。 該上面之方法另包括: -63- 200949123 該汽相試劑在該沈積室內與選擇性地在一可加熱的接 受器上之基板接觸;及 經過一連接至該沈積室的流出物排放管線排放任何殘 留之流出物。 上面方法中所使用之整合式汽相試劑配給設備另包括 複數來源氣體歧管(例如24或25),該等來源氣體歧管之 每一個彼此互連;每一來源氣體歧管連接到至少一載送氣 體餵入/汽相試劑輸送歧管(例如22及23);每一來源氣體 © 歧管包括一與該載送氣體餵入/汽相試劑輸送歧管之載送 氣體餵入管線連續的載送氣體餵入管線(例如圖14中之 32及42);該載送氣體餵入管線在其中包含一或多個載送 氣體流量控制閥(例如用於安瓿20之V-1、V-3、V-6及 V-8;及用於安瓿21之V-4、V-5、V-11及V-18),用於 控制該載送氣體經過該處之流量,及一壓力傳感器(例如 PT A及PTB),用於監視及控制該來源氣體歧管之壓力。 在圖1、5及14中所描述之整合式汽相試劑配給設備 ® 的操作中,來源化學物被放置於一容器(例如20或21) 中,且加熱至一足以蒸發該來源化學物之溫度。載送氣體 被允許流經該載送氣體餵入管線(例如圖14中之32或42) 至該載送氣體進料孔開口,由此其被排放進入該該裝滿位 準上方之內部氣體容積。載送氣體流量控制閥(例如用於 安瓿20之V-l、V-3、V-6及V-8;及用於安瓿21之乂-4、V-5、V-11及V-18)控制被排放進入該內部氣體容積的 載送氣體之流量。來自該來源化學物之蒸氣變得被夾帶於 -64 - 200949123 該載送氣體中,以產生汽相試劑。 該汽相試劑係由該內部氣體容積經過該汽相試劑出口 開孔及該汽相試劑排放管線(例如圖1 4中之34或44)排 放。該汽相試劑係在該汽相試劑排放管線(例如圖1 4中之 34或44)中流動至該沈積室。汽相試劑流量控制閥(例如 用於安瓿20之V-7、V-9、V-15及V-16;及用於安瓿21 之 V-12、V-13、V-14及 V-17)控制流動至該沈積室的汽 相試劑之流動。於該沈積室中,該汽相試劑係沈積至被安 裝在可加熱的基板或另一安裝結構上之該(等)晶圓或其他 基板元件上。來自該沈積室之流出物蒸氣係在一流出物排 放管線中排放。該流出物可通過至再循環、回收、廢物處 理、處置、或其他清除機構。 在此操作期間,該容器中之來源化學物裝滿位準能被 一來源化學物位準感測器所偵測。其重要的是得知該容器 內側之液體先質化學物何時係接近用完,以致其可在該下 一化學蒸氣沈積或原子層沈積運轉之前被改變。該來源化 學物位準渐進地減低,且最後降低進入該收集器孔腔至一 最小之液柱頭(譬如該收集器孔腔中之液態的高度),在此 點該控制器或中央處理單元藉由一來源化學物位準感測信 號傳輸線接收一對應之感測來源化學物位準信號。該控制 器或中央處理單元作出回應地傳輸一控制信號傳輸線中之 控制信號至某些載送氣體流量控制閥,以關閉該等閥門與 關掉載送氣體至該容器之流動,且亦同時發生地傳輸一控 制信號傳輸線中之控制信號,以關閉某些汽相試劑流量控 -65- 200949123 制閥,以關掉來自該容器的汽相試劑之流動。 在能夠由一安瓿至另一安瓿自動轉換之案例中’該系 統將需要關於殘留在一安瓿中之材料數量、每次運轉之利 用率、及一來自該工具之信號的資訊,該信號意指一運轉 係在進行中,以便不能夠於一運轉期間轉換,但反之於晶 圓的運轉或批量晶圓之間。標準之工業實例典型涉及在轉 換之後施行一再次鑒定運轉,且該系統將對該操作員發出 警報,即該自動轉換已經發生。 亦於此操作期間,該容器的溫度能被一溫度感測器所 偵測。其重要的是監視該容器的溫度(例如用於液體或一 固體來源安瓿上之代表點的熱井),以控制該蒸氣壓。如 果該容器中之來源化學物的溫度變得太高,該控制器或中 央處理單元藉由溫度感測信號傳輸線接收一對應之感測溫 度信號。該控制器或中央處理單元作出回應地傳輸一控制 信號傳輸線中之控制信號至加熱機構,以減少該溫度。 該沈積室可爲一化學蒸氣沈積室或一原子層沈積室。 該汽相試劑排放管線(例如圖14中之34或44)連接該汽相 試劑配給設備至該沈積室。一可加熱的接受器或沈積基板 可被包含在該沈積室內,且係以一相對該汽相試劑排放管 線(例如圖14中之34或44)爲承接關係地坐落。一流出物 排放管線係連接至該沈積室。該汽相試劑通過該汽相試劑 排放管線(例如圖14中之34或44)及進入該沈積室,用於 與一選擇性地在該可加熱的接受器上之基板接觸,且任何 殘留之流出物被經過該流出物排放管線排放。該流出物可 -66- .200949123 爲通過至再循環、回收、廢物處理、處置、或其他清除機 構。 本發明之整合式汽相或液相試劑配給設備可爲有用於 液態及固體材料之蒸發,例如化學蒸氣沈積、原子層沈積 及離子植入製程中所使用之液體及固體來源試劑。譬如看 美國專利第6,921,062 B2號;2007年1月29日提出之美 國專利申請案序號第60/898,121號;2007年2月27曰提 出之美國專利申請案序號第60/903,720號;2004年12月 17日提出之美國專利申請案序號第1 1 /0 1 3,43 4號;2007 年1月29日提出之美國專利申請案序號第60/897,947 號;及2007年2月27日提出之美國專利申請案序號第 6 0/90 3,5 79號;其掲示內容係以引用的方式倂入本文中。 參考圖1、5及14,本發明局部有關一整合式汽相試 劑配給設備,包括: 複數容器(例如容器20及21),每一容器包括一頂部 壁面構件,一側壁構件及一底部壁面構件,該等構件被組 構至形成一內部容器隔間,以裝盛一來源化學物直至一裝 滿位準,且在該裝滿位準上方額外地界定一內部氣體容 積;該頂部壁面構件的一部份具有一載送氣體進料孔開 口’其包括一起泡管,該起泡管延伸經過該內部氣體容積 進入該來源化學物,且該載送氣體可經過該起泡管被起泡 進入該來源化學物,以造成來源化學物蒸氣的至少一部份 變得被夾帶於該載送氣體中,以產生汽相試劑至該裝滿位 準上方之內部氣體容積的流動,該起泡管具有一毗連該頂 -67- 200949123 部壁面構件之入口端部及一毗連該底部壁面構件之出口端 部;及該頂部壁面構件的一部份具有一汽相試劑出口開 孔,該汽相試劑可經過該出口開孔由該容器被配給;及 複數載送氣體餵入/汽相試劑輸送歧管(例如歧管22 及23),該等載送氣體餵入/汽相試劑輸送歧管之每一個彼 此互連;每一容器連接到至少一載送氣體餵入/汽相試劑 輸送歧管;每一載送氣體餵入/汽相試劑輸送歧管包括一 載送氣體餵入管線(例如圖14中之32及42)及一汽相試劑 ® 排放管線(例如圖14中之34及44);該載送氣體餵入管線 由該載送氣體進料孔開口向上地延伸,且由用於載送氣體 之輸送進入該裝滿位準上方的內部氣體容積之頂部壁面構 件外部地延伸,該載送氣體餵入管線在其中包含一或多個 載送氣體流量控制閥(例如用於安瓿20之V-1、V-3、V-6 及V-8;及用於安瓿21之V-4、V-5、V-11及V-18),用 於控制經過該處之載送氣體的流量;及該汽相試劑排放管 線由該汽相試劑出口開孔向上地延伸,且由用於自該裝滿 ® 位準上方的內部氣體容積移除汽相試劑之頂部壁面構件外 部地延伸,該汽相試劑排放管線在其中選擇性地包含一或 多個汽相試劑流量控制閥(例如用於安瓿20之 V-7、V-9、V-15 及 V-16;及用於安瓿 21 之 V-12、V-13、V-14 及V-1 7),用於控制該汽相試劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等載送氣體餵 入/汽相試劑輸送歧管(例如容器22及23)之每一個及該等 容器(例如20及21)之每一個導引相通,該方式係使得該 -68- 200949123 等載送氣體餵入/汽相試劑輸送歧管之每一個可彼此獨立 地操作,且該等容器之每一個可彼此獨立地操作。 該整合式汽相試劑配給設備另包括複數來源氣體歧管 (例如容器24及25),該等來源氣體歧管之每一個彼此互 連;每一來源氣體歧管連接到至少一載送氣體餵入/汽相 試劑輸送歧管(例如22及23);每一來源氣體歧管包括一 與該載送氣體餵入/汽相試劑輸送歧管之載送氣體餵入管 ® 線連續的載送氣體餵入管線(例如圖14中之32及42);該 載送氣體餵入管線在其中包含一或多個載送氣體流量控制 閥(例如用於安瓿20之V-l、V-3、V-6及V-8;及用於安 瓿21之V-4、V-5、V-11及V-18),用於控制該載送氣體 經過該處之流量,及一壓力傳感器(例如PTA及PTB.),用 於監視及控制該來源氣體歧管之壓力。 參考圖1、5及14,本發明局部有關一用於輸送汽相 試劑至沈積室之方法包括: 胃 (c)提供一整合式汽相試劑配給設備,其包括: 複數容器(例如容器20及2 1),每一容器包括一頂部 壁面構件,一側壁構件及一底部壁面構件,該等構件被組 構至形成一內部容器隔間,以裝盛一來源化學物直至一裝 滿位準,且在該裝滿位準上方額外地界定一內部氣體容 積;該頂部壁面構件的一部份具有一載送氣體進料孔開 口,其包括一起泡管,該起泡管延伸經過該內部氣體容積 進入該來源化學物,且該載送氣體可經過該起泡管被起泡 進入該來源化學物,以造成來源化學物蒸氣的至少一部份 -69- 200949123 變得被夾帶於該載送氣體中,以產生汽相試劑至該裝滿位 準上方之內部氣體容積的流動,該起泡管具有一毗連該頂 部壁面構件之入口端部及一毗連該底部壁面構件之出口端 部;及該頂部壁面構件的一部份具有一汽相試劑出口開 孔,該汽相試劑可經過該出口開孔由該容器被配給;及 複數載送氣體餵入/汽相試劑輸送歧管(例如22及 23),該等載送氣體餵入/汽相試劑輸送歧管之每一個彼此 互連;每一容器連接到至少一載送氣體餵入/汽相試劑輸 © 送歧管;每一載送氣體餵入/汽相試劑輸送歧管包括一載 送氣體餵入管線(例如圖14中之32及42)及一汽相試劑排 放管線(例如圖14中之34及44);該載送氣體餵入管線由 該載送氣體進料孔開口向上地延伸,且由用於載送氣體之 輸送進入該裝滿位準上方的內部氣體容積之頂部壁面構件 外部地延伸,該載送氣體餵入管線在其中包含一或多個載 送氣體流量控制閥(例如用於安瓿20之V-1、V-3、V-6及 V-8 ;及用於安瓿21之V-4、V-5、V-1 1及V-1 8),用於 © 控制經過該處之載送氣體的流量;及該汽相試劑排放管線 由該汽相試劑出口開孔向上地延伸,且由用於自該裝滿位 準上方的內部氣體容積移除汽相試劑之頂部壁面構件外部 地延伸,該汽相試劑排放管線在其中選擇性地包含一或多 個汽相試劑流量控制閥(例如用於安瓿20之V-7、V-9、 V-15 及 V-16;及用於安瓿 21 之 V-12、V-13、V-14 及 V-1 7),用於控制該汽相試劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等載送氣體餓 -70- 200949123 入/汽相試劑輸送歧管(例如容器22及23)之每一個及該等 容器(例如20及21)之每一個導引相通’該方式係使得該 等載送氣體餵入/汽相試劑輸送歧管之每一個可彼此獨立 地操作,且該等容器之每一個可彼此獨立地操作。 將來源化學物加至該等容器(例如20或21)的一或多 個; 將該等容器(例如20或21)的一或多個中之來源化學 ® 物加熱至一足以蒸發該來源化學物的溫度,以提供汽相試 劑; 經過該載送氣體餵入管線(例如圖14中之32或42)及 該起泡管將載送氣體餵入該等容器的一或多個; 經過該汽相試劑排放管線(例如圖14中之34或44)由 該等容器(例如20或2 1)之一容器抽回該汽相試劑及載送 氣體,該容器與任何其他之容器無關;及 將該汽相試劑及載送氣體餵入該沈積室。 ® 該上面之方法另包括: 該汽相試劑在該沈積室內與選擇性地在一可加熱的接 受器上之基板接觸;及 經過一連接至該沈積室的流出物排放管線排放任何殘 留之流出物。 上面方法中所使用之整合式汽相試劑配給設備另包括 複數來源氣體歧管(例如24及25),該等來源氣體歧管之 每一個彼此互連;每一來源氣體歧管連接到至少一載送氣 體餵入/汽相試劑輸送歧管(例如22及23);每一來源氣體 -71 - 200949123 歧管包括一與該載送氣體餵入/汽相試劑輸送歧管之載送 氣體餵入管線連續的載送氣體餵入管線(例如圖14中之 32及42);該載送氣體餵入管線在其中包含一或多個載送 氣體流量控制閥(例如用於安瓿20之V-1、V-3、V-6及 V-8;及用於安瓿21之V-4、V-5、V-11及V-18),用於 控制該載送氣體經過該處之流量,及一壓力傳感器(例如 PTA及PTB),用於監視及控制該來源氣體歧管之壓力。 在圖1、5及14中所描述之整合式汽相試劑配給設備 的操作中,來源化學物被放置於一容器(例如20或21) 中,且加熱至一足以蒸發該來源化學物之溫度。載送氣體 被允許流經該載送氣體餵入管線(例如圖14中之32或42) 至該載送氣體進料孔開口及經過起泡管,由此其被起泡進 入該來源化學物。載送氣體流量控制閥(例如用於安瓿20 之 V-1、V-3、V-6 及 V-8;及用於安瓿 21 之 V-4、V-5、 V-1 1及V-18)控制被排放進入該內部氣體容積的載送氣體 之流量。來自該來源化學物之蒸氣變得被夾帶於該載送氣 體中,以產生汽相試劑。 該汽相試劑係由該內部氣體容積經過該汽相試劑出口 開孔及該汽相試劑排放管線(例如圖14中之34或44)排 放。該汽相試劑係在該汽相試劑排放管線(例如圖1 4中之 34或44)中流動至該沈積室。汽相試劑流量控制閥(例如 用於安瓿20之V-7、V-9、V-15及V-16;及用於安瓿21 之V-12、V-13、V-14及V-17)控制流動至該沈積室的汽 相試劑之流動。於該沈積室中,該汽相試劑係沈積至被安 -72- 4 200949123 裝在可加熱的基板或另一安裝結構上之該(等)晶圓或其他 基板元件上。來自該沈積室之流出物蒸氣係在一流出物排 放管線中排放。該流出物可通過至再循環、回收、廢物處 理、處置、或其他清除機構。 在此操作期間,該容器中之來源化學物裝滿位準能被 一來源化學物位準感測器所偵測。其重要的是得知該容器 內側之液體先質化學物何時係接近用完,以致其可在該下 β 一化學蒸氣沈積或原子層沈積運轉之前被改變。該來源化 學物位準漸進地減低,且最後降低進入該收集器孔腔至一 最小之液柱頭(譬如該收集器孔腔中之液態的高度),在此 點該中央處理單元藉由一來源化學物位準感測信號傳輸線 接收一對應之感測來源化學物位準信號。該中央處理單元 作出回應地傳輸一控制信號傳輸線中之控制信號至該載送 氣體流量控制閥,以關閉該等閥門與關掉載送氣體至該容 器之流動,且亦同時發生地傳輸一控制信號傳輸線中之控 β 制信號,以關閉該汽相試劑流量控制閥,以關掉來自該容 器的汽相試劑之流動。 亦於此操作期間,容器中之來源化學物的溫度被一溫 度感測器所偵測。其重要的是監視該容器內側之液體先質 化學物的溫度,以控制該蒸氣壓。如果該容器中之來源化 學物的溫度變得太高,該控制器或中央處理單元藉由溫度 感測信號傳輸線接收一對應之感測溫度信號。該控制器或 中央處理單元作出回應地傳輸一控制信號傳輸線中之控制 信號至加熱機構,以減少該溫度。 -73- 200949123 該沈積室可爲一化學蒸氣沈積室或一原子層沈積室。 該汽相試劑排放管線(例如圖14中之34或44)連接該汽相 試劑配給設備至該沈積室。一可加熱的接受器可被包含在 該沈積室內,且係以一相對該汽相試劑排放管線(例如圖 14中之34或44)爲承接關係地坐落。一流出物排放管線 係連接至該沈積室。該汽相試劑通過該汽相試劑排放管線 (例如圖14中之34或44)及進入該沈積室,用於與一選擇 性地在該可加熱的接受器上之基板接觸,且任何殘留之流 出物被經過該流出物排放管線排放。該流出物可爲通過至 再循環、回收、廢物處理、處置、或其他清除機構。 本發明之整合式汽相試劑配給設備、亦即起泡器可爲 有用於液態及固體材料之蒸發,例如化學蒸氣沈積、原子 層沈積及離子植入製程中所使用之液體及固體來源試劑。 譬如看美國專利第6,921,062 B2號;2007年1月29日提 出之美國專利申請案序號第60/898,121號;2007年2月 27日提出之美國專利申請案序號第60/903,720號;2004 年12月17日提出之美國專利申請案序號第11/013,434 號;2007年1月29日提出之美國專利申請案序號第 60/8 97,947號;及2007年2月27日提出之美國專利申請 案序號第60/903,579號;其揭示內容係以引用的方式倂 入本文中。 參考圖1、5及14,本發明局部有關一整合式液相試 劑配給設備,包括: 複數容器(例如20及21) ’每一容器包括一頂部壁面 200949123 構件’一側壁構件及一底部壁面構件,該等構件被組構至 形成一內部容器隔間,以裝盛一來源化學物直至一裝滿位 準’且在該裝滿位準上方額外地界定一內部氣體容積;該 頂部壁面構件的一部份具有一惰性氣體進料孔開口,該惰 性氣體能經過該開口被餵入該裝滿位準上方之內部氣體容 積,以加壓該該裝滿位準上方之內部氣體容積;及該頂部 壁面構件的一部份具有一液相試劑出口開孔,包括一延伸 經過該內部氣體容積進入該來源化學物之浸入管,且液相 試劑可經過該浸入管由該設備配給,該浸入管具有一毗連 該頂部壁面構件之出口端部及一毗連該底部壁面構件之入 口端部; 複數惰性氣體餵入/液相試劑輸送歧管(例如22及 23),該等惰性氣體餵入/液相試劑輸送歧管之每一個彼此 互連;每一容器連接到至少一惰性氣體餵入/液相試劑輸 送歧管;每一惰性氣體餵入/液相試劑輸送歧管包括一惰 性氣體餵入管線(例如圖14中之32及42)及一液相試劑排 放管線(例如圖14中之34及44);該惰性氣體餵入管線由 該惰性氣體進料孔開口向上地延伸,且由用於惰性氣體之 輸送進入該裝滿位準上方的內部氣體容積之頂部壁面構件 外部地延伸,該惰性氣體餵入管線在其中包含一或多個惰 性氣體流量控制閥(例如用於安瓿20之V-1、V-3、V-6及. V-8;及用於安瓿21之V-4、V-5、V-11及V-18),用於 控制經過該處之惰性氣體的流量;及該液相試劑排放管線 由該液相試劑出口開孔向上地延伸,且由用於自該容器移 -75- 200949123 除液相試劑之頂部壁面構件外部地延伸,該液相試劑排放 管線在其中選擇性地包含一或多個液相試劑流量控制閥 (例如用於安瓿20之V-7、V-9、V-15及V-16;及用於安 瓿21之V-12、V-13、V-14及V-17),用於控制該液相試 劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等惰性氣體餵 入/液相試劑輸送歧管(例如22及23)之每一個及該等容器 (例如20及21)之每一個導引相通,該方式係使得該等惰 性氣體餵入/液相試劑輸送歧管之每一個可彼此獨立地操 作,且該等容器之每一個可彼此獨立地操作。 該整合式液相試劑配給設備另包括複數來源氣體歧管 (例如24及25),該等來源氣體歧管之每一個彼此互連; 每一來源氣體歧管連接到至少一惰性氣體餵入/液相試劑 輸送歧管(例如22及23);每一來源氣體歧管包括一與該 惰性氣體餵入/液相試劑輸送歧管之惰性氣體餵入管線連 續的惰性氣體餵入管線(例如圖14中之32及42);該惰性 氣體餵入管線在其中包含一或多個惰性氣體流量控制閥 (例如用於安瓿20之V-l、V-3、V-6及V-8;及用於安瓿 2 1之V - 4、V - 5、V - 1 1及V -1 8 ),用於控制該惰性氣體經 過該處之流量,及一壓力傳感器(例如PTA及PTB),用於 監視及控制該來源氣體歧管之壓力。 參考圖1、5及14,本發明局部有關一用於輸送汽相 試劑至沈積室之方法包括: (d)提供一整合式液相試劑配給設備,其包括: 200949123 複數容器(例如20及21),每一容器包括一頂 構件,一側壁構件及一底部壁面構件,該等構件被 形成一內部容器隔間,以裝盛一來源化學物直至一 準,且在該裝滿位準上方額外地界定一內部氣體容 頂部壁面構件的一部份具有一惰性氣體進料孔開口 性氣體能經過該開口被餵入該裝滿位準上方之內部 積,以加壓該該裝滿位準上方之內部氣體容積;及 壁面構件的一部份具有一液相試劑出口開孔,包括 經過該內部氣體容積進入該來源化學物之浸入管, 試劑可經過該浸入管由該設備配給,該浸入管具有 該頂部壁面構件之出口端部及一毗連該底部壁面構 口端部; 複數惰性氣體餵入/液相試劑輸送歧管(例如 23),該等惰性氣體餵入/液相試劑輸送歧管之每一 互連;每一容器連接到至少一惰性氣體餵入/液相 送歧管;每一情性氣體餵入/液相試劑輸送歧管包 性氣體餵入管線(例如圖14中之32及42)及一液相 放管線(例如圖14中之34及44);該惰性氣體餵入 該惰性氣體進料孔開口向上地延伸,且由用於惰性 輸送進入該裝滿位準上方的內部氣體容積之頂部壁 外部地延伸,該惰性氣體餵入管線在其中包含一或 性氣體流量控制閥(例如用於安瓿20之V-1、V-3、 V-8;及用於安瓿 21 之 V-4、V-5、V-11 及 V-18) 控制經過該處之惰性氣體的流量;及該液相試劑排 部壁面 組構至 裝滿位 積;該 ,該惰 氣體容 該頂部 一延伸 且液相 一峨連 件之入 22及 個彼此 試劑輸 括一惰 試劑排 管線由 氣體之 面構件 多個惰 V-6及 ,用於 放管線 -77- 200949123 由該液相試劑出口開孔向上地延伸,且由用於自該谷器移 除液相試劑之頂部壁面構件外部地延伸,該液相試劑排放 管線在其中選擇性地包含一或多個液相試劑流量控制閥 (例如用於安瓿20之V-7、V-9、V-15及V-16;及用於安 瓿21之V-12、V-13、V-14及V-17),用於控制該液相試 劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等惰性氣體餵 入/液相試劑輸送歧管(例如22及23)之每一個及該等容器 〇 (例如20及21)之每一個導引相通,該方式係使得該等惰 性氣體餵入/液相試劑輸送歧管之每一個可彼此獨立地操 作,且該等容器之每一個可彼此獨立地操作; 將來源化學物加至該等容器(例如20或21)的一或多 個; 將該等容器(例如20或21)的一或多個中之固體來源 化學物選擇性地加熱至一足以熔化該固體來源化學物的溫 度,以提供液相試劑; © 經過該惰性氣體餵入管線(例如圖14中之32或42)將 惰性氣體餵入該等容器的一或多個; 經過該浸入管及該液相試劑排放管線(例如圖1 4中之 34或44)由該等容器(例如20或21)之一容器抽回該液相 試劑,該容器與該等容器(例如20或21)任何其他容器無 關; 提供一蒸發設備,其包括: 一容器,其包括頂部壁面構件、側壁構件及底部壁面 -78- 200949123 構件,該等構件被組構至形成一內部容器隔間,以蒸發該 液相試劑; 該液相試劑排放管線將該整合式液相試劑配給設備連 接至該蒸發設備; 該蒸發設備的一部份具有一載送氣體進料孔開口,載 送氣體能經過該開口被餵入該蒸發設備,以造成該液相試 劑之蒸氣變得被夾帶於該載送氣體中,以產生汽相試劑; © 該蒸發設備的一部份具有一汽相試劑出口開孔,該汽 相試劑可經過該出口開孔由該蒸發設備被配給; 一載送氣體餵入管線由該載送氣體進料孔開口向上地 延伸,且由用於載送氣體之輸送進入該蒸發設備之蒸發設 備外部地延伸,該載送氣體餵入管線在其中包含一或多個 載送氣體流量控制閥,用於控制經過該處之載送氣體的流 里 , 一汽相試劑排放管線由該汽相試劑出口開孔向上地延 ¥ 伸,且由用於自該蒸發設備移除汽相試劑之蒸發設備外部 地延伸至該沈積室,該汽相試劑排放管線在其中包含一或 多個汽相試劑流量控制閥,用於控制該汽相試劑經過該處 之流量; 將該液相試劑餵入該蒸發設備; 將該蒸發設備中之液相試劑加熱至一足以蒸發該液相 試劑的溫度,以提供該汽相試劑; 經過該載送氣體餵入管線將一載送氣體餵入該蒸發設 備, -79- 200949123 經過該汽相試劑排放管線由該蒸發設備抽回該汽相試 劑及載送氣體;及 將該汽相試劑及載送氣體餵入該沈積室。 上面之方法另包括: 該汽相試劑在該沈積室內與選擇性地在一可加熱的运 受器上之基板接觸;及 經過一連接至該沈積室的流出物排放管線排放任何殘 留之流出物。 上面方法中所使用之整合式液相試劑配給設備另包括 複數來源氣體歧管(例如24及25),該等來源氣體歧管之 每一個彼此互連;每一來源氣體歧管連接到至少一惰性氣 體餵入/液相試劑輸送歧管(例如22及23);每一來源氣體 歧管包括一與該惰性氣體餵入/液相試劑輸送歧管之惰性 氣體餵入管線連續的惰性氣體餵入管線(例如圖14中之 32及42);該惰性氣體餵入管線在其中包含一或多個惰性 氣體流量控制閥(例如用於安瓿20之V-1、V-3、V-6及 V-8;及用於安瓿21之V_4、V-5、V-11及V-18),用於 控制該惰性氣體經過該處之流量,及一壓力傳感器(例如 PTA及PTB),用於監視及控制該來源氣體歧管之壓力。 在圖1、5及1 4中所描述之整合式液相試劑配給設備 的操作中,來源化學物被放置於一容器(例如20或21) 中,且一惰性氣體被允許流經該惰性氣體餵入管線(例如 圖14中之32或42)至該惰性氣體進料孔開口及進入該該 裝滿位準上方之內部氣體容積,以加壓該裝滿位準上方之 -80- 200949123 內部氣體容積。惰性氣體流量控制閥(例如用於安瓿20之 V-l、V-3、V-6 及 V-8;及用於安瓿 21 之 V-4、V-5、V-1 1及V-18)控制被排放進入該該裝滿位準上方之內部氣體 容積的惰性氣體之流量。 該液相試劑係由該容器(例如20或2 1 )排放經過液相 試劑出口開孔(例如浸入管)及該液相試劑排放管線(例如 圖1 4中之34或44)。該液相試劑係在該液相試劑排放管 線(例如圖14中之34或44)中流動至該沈積室。液相試劑 流量控制閥(例如用於安瓿20之V-7、V-9、V-15及V-16;及用於安瓿21之V-12、V-13、V-14及V-17)控制流 至該蒸發設備的液相試劑之流量。 於蒸發設備中,該液相試劑被蒸發至形成一用於該隨 後之蒸氣沈積操作的來源蒸氣。該蒸發設備亦可承接一載 送氣體,用於與藉由該液相試劑之蒸發所產生的來源蒸氣 組合或屏蔽該來源蒸氣。另一選擇係,該來源蒸氣可爲以 純淨的形式通過至該下游之蒸氣沈積操作。無論如何,來 自蒸發設備之來源蒸氣係流經汽相試劑排放管線至沈積 室。於該沈積室中,該汽相試劑係沈積至被安裝在可加熱 的基板或另一安裝結構上之該(等)晶圓或其他基板元件 上。來自該沈積室之流出物蒸氣係在一流出物排放管線中 排放。該流出物可通過至再循環、回收、廢物處理、處 置、或其他清除機構。 在此操作期間,該容器中之來源化學物裝滿位準能被 一來源化學物位準感測器所偵測。其重要的是得知該容器 -81 - 200949123 內側之液體先質化學物何時係接近用完,以致其可在該下 一化學蒸氣沈積或原子層沈積運轉之前被改變。該來源化 學物位準漸進地減低,且最後降低進入該收集器孔腔至一 最小之液柱頭(譬如該收集器孔腔中之液態的高度)’在此 點該中央處理單元藉由一來源化學物位準感測信號傳輸線 接收一對應之感測來源化學物位準信號。該中央處理單元 作出回應地傳輸一控制信號傳輸線中之控制信號至該載送 氣體流量控制閥,以關閉該閥門與關掉載送氣體至該容器 之流動,且亦同時發生地傳輸一控制信號傳輸線中之控制 信號,以關閉該液相試劑流量控制閥,以關掉來自該容器 的液相試劑之流動。 亦於此操作期間,容器中之來源化學物的溫度被一溫 度感測器所偵測。其重要的是監視該容器內側之液體先質 化學物的溫度,以控制該蒸氣壓。如果該容器中之來源化 學物的溫度變得太高,該中央處理單元藉由溫度感測信號 傳輸線接收一對應之感測溫度信號。該中央處理單元作出 回應地傳輸一控制信號傳輸線中之控制信號至加熱機構, 以減少該溫度。 本發明之整合式液相試劑配給設備可爲有用於配給諸 如化學蒸氣沈積、原子層沈積及離子植入製程中所使用之 先質的試劑,並可達成液態試劑由該容器之高階抽回。譬 如看美國專利第6,077,356號;2007年1月29日提出之 美國專利申請案序號第60/898,121號;2007年2月27日 提出之美國專利申請案序號第60/903,720號;2004年12 -82- 200949123 月17日提出之美國專利申請案序號第1 1 /0 1 3,434號; 2007年 1月 29日提出之美國專利申請案序號第 6 0/89 7,947號;及2007年2月27日提出之美國專利申請 案序號第60/903,579號;其揭示內容係以引用的方式倂 入本文中。 該沈積室可爲一化學蒸氣沈積室或一原子層沈積室。 該液相試劑排放管線(例如圖14中之34或44)連接該液相 ® 試劑配給設備至一蒸發設備。該蒸發設備具有一載送氣體 餵入管線,其由該載送氣體進料孔開口向上地延伸,且由 該蒸發設備外部地延伸,載送氣體可經過該開口餵入該蒸 發設備,以造成該液相試劑之蒸氣變得被夾帶於該載送氣 體中,以產生汽相試劑。該載送氣體餵入管線包含一載送 氣體流量控制閥,用於控制該載送氣體經過該處之流量。 該載送氣體餵入管線被耦接至一載送氣體來源。該載送氣 體來源可爲任何合適之型式,譬如高壓儲氣筒、低溫冷凍 ® 空氣分離工廠、或壓力擺動空氣分離單元,將載送氣體、 例如氮、氬、氦等供給至該載送氣體餵入管線。 該蒸發設備具有一汽相試劑排放管線,其由該汽相試 劑出口開孔向上地延伸,且由該蒸發設備外部地延伸,汽 相試劑可經過該開口由該蒸發設備配給至該沈積室。該汽 相試劑排放管線在其中包含一汽相試劑流量控制閥,用於 控制該汽相試劑經過該處之流量。 一可加熱的接受器可被包含在該沈積室內,且係以相 對該汽相試劑排放管線呈承納關係地坐落。一流出物排放 -83- 200949123 管線係連接至該沈積室。該汽相試劑通過該汽相試劑排放 管線及進入該沈積室,用於與選擇性地在該可加熱的接受 器上之基板接觸,且任何殘留之流出物係經過該流出物排 放管線排放。該流出物可爲通過至再循環、回收、廢物處 理、處置、或其他清除機構。 在本發明的一具體實施例中,有機金屬化合物被採用 於汽相沈積技術中,用於形成粉末、薄膜或塗層。該化合 物能被用作單一來源先質、或能隨同一或多個其他先質被 β 使用,例如與藉由加熱至少另一有機金靥化合物或金屬複 合物所產生之蒸氣一起使用。 沈積能於存在其他汽相成份時進行。於本發明的一具 體實施例中,薄膜沈積係於存在至少一非反應載送氣體時 進行。非反應氣體之範例包括惰性氣體,例如氮、氬、 氮、以及其他不會在製程條件之下與該有機金屬化合物先 質反應的氣體。於其他具體實施例中,薄膜沈積係於存在 至少一反應氣體時進行。能被採用之部份該等反應氣體包 ® 括、但不限於聯胺、氧、氫、空氣、富含氧之空氣、臭氧 (〇3)、氮氧化物(ν2ο)、水蒸氣、有機蒸氣、阿摩尼亞及 其他者。如該技藝中已知,諸如空氣、氧、富含氧之空 氣、〇3、Ν20的氧化氣體、或氧化有機化合物之蒸氣的存 在有利於一金屬氧化物薄膜之形成。 可進行在此中所敘述之沈積方法,以形成一包括單一 金屬之薄膜、粉末或塗層,或一包括單一金屬氧化物之薄 膜、粉末或塗層。混合之薄膜、粉末或塗層亦可被沈積, -84 - 200949123 例如混合之金屬氧化物薄膜。一混合之金屬氧化物薄膜能 譬如藉由採用數個有機金屬先質所形成,該等有機金屬先 質之至少一個係選自上面所述之有機金屬化合物。 能進行汽相薄膜沈積,以形成一想要厚度之薄膜層, 譬如在由少於1奈米至超過1毫米之範圍中。在此中所敘 述之先質係特別有用於生產薄膜,例如具有一在由大約 10奈米至大約1〇〇奈米的範圍中之厚度的薄膜。本發明 ® 之薄膜例如能被考慮用於製造金屬電極,特別是當作邏輯 中之η-通道金屬電極、當作用於DRAM應用之電容電 極、及當作介電材料。 該沈積方法係亦適用於製備分層薄膜,其中該等層之 至少二層在物相或成份中不同。分層薄膜之範例包括金 屬-絕緣體-半導體、及金屬-絕緣體-金屬。該等有機金屬 化合物先質能夠被採用在原子層沈積、化學蒸氣沈積中, 或更特別地於該技藝中習知之金屬有機化學蒸氣沈積製程 ^ 中。例如,上述該等有機金屬化合物先質能被使用於大氣 中、以及於低壓、化學蒸氣沈積製程中。該等化合物能夠 被採用在熱壁化學蒸氣沈積、一加熱該整個反應室之方法 中,以及在冷或溫暖壁面型式化學蒸氣沈積、一僅只加熱 該基板之技術中。 上述該等有機金屬化合物先質亦可被使用在電漿或光 輔助化學蒸氣沈積製程中,其中分別來自電漿或電磁能量 之能量被使用於活化該化學蒸氣沈積先質。該等化合物亦 可被採用在離子束、電子束輔助化學蒸氣沈積製程中,其 -85- 200949123 中離子束或電子束分別被導引至該基板,以供給用於分解 一化學蒸氣沈積先質之能量。雷射輔助化學蒸氣沈積製程 亦可被使用,其中雷射光被導引至該基板,以影響該化學 蒸氣沈積先質之光解反應。 該沈積方法例如能夠在各種化學蒸氣沈積反應器中進 行,諸如熱或冷壁式反應器、電漿輔助式、光束輔助式或 雷射輔助式反應器,如在該技藝中習知者。 於該沈積室中有用之說明性基板包括譬如選自金屬、 Θ 金屬矽化物、半導體、絕緣體、阻障材料、陶瓷及石墨之 材料。一較佳基板係一已佈圖之晶圓。可採用該沈積方法 被塗覆之基板的範例包括固體之基板,諸如金屬基板,例 如鋁、鎳、鈦、鈷、鉑、鉬;金屬矽化物,例如TiSi2、 C〇Si2、NiSi2 ;半導體材料,例如矽、SiGe、GaAs、
InP、鑽石、GaN、SiC ;絕緣體,例如 Si02、Si3N4、
Hf02、Ta205、Al2〇3、鋇緦鈦酸鹽(BST);阻障材料,例 如TiN、TaN ;或在包括材料之組合的基板上。此外,薄 〇 膜或塗層能被形成在玻璃、陶瓷、塑膠、熱固性聚合材料 上,及在其它塗層或薄膜層上。於一較佳具體實施例中, 薄膜沈積係在一使用於電子零組件之製造或處理的基板 上。於其他具體實施例中,一基板被採用,以支撐一低電 阻率導體沈積,其在高溫或一光透射薄膜中於存在氧化劑 時係穩定的。 能進行該沈積方法,以將薄膜沈積在一具有平滑、¥ 坦表面之基板上。於一具體實施例中,該方法被進行,以 -86- 200949123 將薄膜沈積在一使用於晶圓製造或處理之基板上。例如, 該方法能被進行,以將薄膜沈積在一包括諸如溝槽、孔洞 或通孔之部件的已佈圖基板上。再者,該沈積方法亦可與 晶圓製造或處理中之其他步驟、例如遮罩、蝕刻及其他者 整合。 化學蒸氣沈積薄膜可被沈積至一想要之厚度。譬如, 所形成之薄膜可爲少於1微米厚,較佳地是少於500奈米 及更佳地是少於200奈米厚。亦可生產少於50奈米厚之 薄膜,例如具有於大約0.1及大約20奈米間之厚度的薄 膜。 上述有機金屬化合物先質亦可被採用在本發明之方法 中,以藉由原子層沈積或原子層成核技術形成薄膜,一基 板在此期間係暴露至先質、氧化劑及惰性氣體噴流之交替 脈衝。連續之層沈積技術譬如被敘述於美國專利第 6,287,965號及美國專利第6,342,277號中。兩專利之揭示 內容係全部以引用的方式倂入本文中。 譬如,於一原子層沈積循環中,一基板係以步進之方 式暴露至:a)惰性氣體;b)惰性氣體載送先質蒸氣;Ο惰 性氣體;及d)氧化劑,單獨或隨同惰性氣體。大致上, 每一步驟可爲短到如該設備將允許(例如毫秒)者,且長到 該製程需要(例如數秒或數分鐘)者。一循環之持續期間可 爲如毫秒般短及如數分鐘般長。遍及一可達約由幾分鐘至 數小時之範圍的時期重複該循環。所產生之薄膜可爲數奈 米薄或更厚、例如1毫米。 -87- 200949123 在用於供給及配給汽相或液相試劑的系統之製備中, 本發明之機構及方法如此於該技藝中達成一實質之進步, 其允許該原始供給之來源化學物的體積之95-98 %被利用 在該應用中,該汽相或液相試劑係選擇性地配給用於該應 用。該二件式安瓿之易於清洗允許這些安瓿之重新使用, 而超出以該等單件式安瓿所能獲得者。 對應地,在諸如該半導體及超導體產物之製造的操作 中,其係可能以本發明之機構及方法將該來源化學物之浪 費減少至原始被載入該配給容器之體積的2-5 %般低之位 準,且多次地重新使用該等安瓿。 據此,本發明之實例顯著地改善該來源化學物供給及 汽相或液相試劑配給系統、及採用該配給之汽相或液相試 劑的製程之經濟效果。於一些情況中,本發明可允許來源 化學物之具成本效益的利用率,該等來源化學物係作爲一 藉由先前技藝實例的廢物位準特徵所排除之實際物質。 當作本發明之另一利益,由於用以該供給容器之相對 此先前實例較大的投入生產時間,因爲來自該處之原始裝 載液態的增加利用,在該汽相或液相試劑配給操作之末 端,該容器中之減少的來源化學物庫存允許該轉換時間被 減至最少,該用盡的供給容器在該轉換時間期間由該製程 系統被替換,且以用於進一步處理之另一容器替換。 本發明之各種修改及變化對於熟諳此技藝之工作者將 爲明顯的,且其將了解此等修改及變化將被涵括在此申請 案之權限與該等申請專利之精神及範圍內° -88- 200949123 雖然其已顯示及敘述被考慮爲本發明之某些具體實施 例者,當然將了解在形式或細節中之各種修改及變化可被 輕易地作成,而未由本發明之精神及範圍脫離。因此’其 係意欲使本發明不受限於在此中所顯示及敘述之精確形式 及細節,也不受限於少於在此中所揭示及下文所申請之本 發明的整體之任何事物。 ® 【圖式簡單說明】 圖1係一整合式汽相或液相試劑配給設備之閥門槪要 代表圖。 圖2係一槪要圖,顯示至一可程式化邏輯控制器之輸 入及來自該可程式化邏輯控制器之輸出’該可程式化邏輯 控制器控制該整合式汽相或液相試劑配給設備。 圖3係一槪要圖,顯示在此中所使用之閥門標記法。 在三通閥上之黑色支管指示該被作動支管。該流動路徑在 ® 該等白色支管之間係總是打開。 圖4係單一安瓿之槪要代表圖,顯示閥門(V-1至V-6) 及加熱區(Z-1至Z-5)。 圖5係一整合式汽相或液相試劑配給設備之管道及檢 測儀錶的槪要代表圖,顯示閥門(V-1至V_16)、壓力傳感 器(PTA與PTB)及加熱區(Z-1至Z-16)。 圖6係一說明性之PLC邏輯流動圖’代表當一操作 員正改變每一歧管上之模式時,該PLC將採取的一般基 本步驟及選擇。 -89- 200949123 圖7係該可程式化邏輯控制器之簡化的氣動佈置,顯 示一來自該工具之氣動信號如何可被分程傳遞至該等活動 歧管的任一個上之適當閥門,而仍然允許該可程式化邏輯控 制器控制該閒置歧管上之那些類似閥門的範例。此組構能夠 使所使用之端部在該工具上之一位置閉鎖所有氣動閥門。 圖8描述單一安瓿之載入平臺。 圖9描述安瓿滑出擱架之側視圖,顯示整合式彈簧 板。 ® 圖1 〇係一安瓿載入擱架之槪要代表圖,以減輕對齊 與間隙問題。 圖1 1描述該整合式汽相或液相試劑配給設備之歧管 佈置。 圖12描述該整合式汽相或液相試劑配給設備之歧管 佈置,顯示在45度之角度旋轉的安瓿,以減少該歧管系 統中之90度彎頭、管線長度及安瓿間之間距。 Ο
圖13係一由上而下之槪要代表圖,顯示安瓿出口間 W 之短又直的注射距離,用於面朝向前(頂部)及45度(底部) 的側面特定安瓿之案例。 圖14係一整合式汽相或液相試劑配給設備之管道及 檢測儀錶的槪要代表圖,顯示具有側面特定安瓿之閥門佈 置。 圖1 5係一整合式汽相或液相試劑配給設備之簡化槪 要代表圖,顯示由該多安瓿輸送系統所排放之載送氣動及 先質的一具體實施例、及由該多安瓿輸送系統所排放之純 -90- 200949123 先質(純淨的輸送)的另一具體實施例。 圖16係一整合式汽相或液相試劑配給設備之管道及 檢測儀錶的槪要代表圖,顯示用於純淨的先質輸送系統之 閥門佈置。 圖1 7係一整合式汽相或液相試劑配給設備中所使用 之PLC螢幕的說明性螢幕單幅。 【主要元件符號說明】 20 :安瓿 21 :安瓿 22 :氣體歧管 23 :氣體歧管 2 4 :沖洗歧管 25 :製程歧管 26 :機箱 32:載送氣體餵入管線 34 :試劑排放管線 42:載送氣體餵入管線 44 :試劑排放管線 5 6 :管線 A :安瓿 B :安瓿 1-1 :檢測儀錶 PTA :壓力傳感器 -91 - 200949123 ΡΤΒ :壓力傳感器 V-1 :閥門 V-2 :閥門 V-3 :閥門 V-4 :閥門 V-5 :閥門 V - 6 :閥門 V-7 :閥門 V - 8 :閥門 V-9 :閥門 V -1 0 :閥門 V -1 1 :閥門 V-12 :閥門 V-13 :閥門 V -1 4 :閥門 V-15 :閥門 V-16 :閥門 V -1 7 :閥門 V-18 :閥門 Ζ-1 :安瓿 Ζ-2 :安瓿 Ζ-3 :安瓿 Ζ-4 :安瓿 Ζ-5 :安瓿 -92

Claims (1)

  1. 200949123 七、申請專利範圍 1. 一種整合式汽相試劑配給設備,包括: 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至一裝滿位準,及在該裝滿位準上 方額外地界定一內部氣體容積;該頂部壁面構件的一部份 具有一載送氣體進料孔開口,載送氣體能經過該開口被餵 入該裝滿位準上方之內部氣體容積,以造成該來源化學物 之蒸氣變得被夾帶於該載送氣體中,以產生汽相試劑:且 該頂部壁面構件的一部份具有一汽相試劑出口開孔,該汽 相試劑可經過該出口開孔由該容器被配給; 複數載送氣體餵入/汽相試劑輸送歧管,該等載送氣 體餵入/汽相試劑輸送歧管之每一個彼此互連;每一容器 連接到至少一載送氣體餵入/汽相試劑輸送歧管;每一載 送氣體餵入/汽相試劑輸送歧管包括一載送氣體餵入管線 及一汽相試劑排放管線;該載送氣體餵入管線由該頂部壁 面構件自該載送氣體進料孔開口向上地並外部地延伸,而 用於載送氣體之輸送進入該裝滿位準上方的內部氣體容 積’該載送氣體餵入管線在其中包含一或多個載送氣體流 量控制閥門,用於控制經過該處之載送氣體的流量;及該 汽相試劑排放管線由該頂部壁面構件自該汽相試劑出口開 孔向上地並外部地延伸’而用於自該裝滿位準上方的內部 氣體容積移除汽相試劑’該汽相試劑排放管線在其中選擇 性地包含一或多個汽相試劑流量控制閥門,用於控制該汽 -93- 200949123 相試劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等載送氣體餵 入/汽相試劑輸送歧管之每一個及該等容器之每一個導引 相通’該方式係使得該等載送氣體餵入/汽相試劑輸送歧 管之每一個可彼此獨立地操作,且該等容器之每一個可彼 此獨立地操作。 2. —種整合式汽相試劑配給設備,包括: 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至一裝滿位準,及在該裝滿位準上 方額外地界定一內部氣體容積;具有一載送氣體進料孔開 口之頂部壁面構件的一部份包括一起泡管,該起泡管延伸 經過該內部氣體容積進入該來源化學物,且該載送氣體可 經過該起泡管被起泡進入該來源化學物,以造成該來源化 學物蒸氣的至少一部份變得被夾帶於該載送氣體中,以產 生汽相試劑至該裝滿位準上方之內部氣體容積的流動,該 起泡管具有一毗連該頂部壁面構件之入口端部及一毗連該 底部壁面構件之出口端部;及該頂部壁面構件的一部份具 有一汽相試劑出口開孔,該汽相試劑可經過該出口開孔由 該容器被配給;及 複數載送氣體餵入/汽相試劑輸送歧管,該等載送氣 體餵入/汽相試劑輸送歧管之每一個彼此互連;每一容器 連接到至少一載送氣體餵入/汽相試劑輸送歧管;每一載 送氣體餵入/汽相試劑輸送歧管包括一載送氣體餵入管線 -94- 200949123 及一汽相試劑排放管線;該載送氣體餵入管線由該頂部壁 面構件自該載送氣體進料孔開口向上地並外部地延伸,而 用於載送氣體之輸送進入該裝滿位準上方的內部氣體容 積,該載送氣體餵入管線在其中包含一或多個載送氣體流 量控制閥門,用於控制經過該處之載送氣體的流量;及該 汽相試劑排放管線由該頂部壁面構件自該汽相試劑出口開 孔向上地並外部地延伸,而用於自該裝滿位準上方的內部 © 氣體容積移除汽相試劑,該汽相試劑排放管線在其中選擇 性地包含一或多個汽相試劑流量控制閥門,用於控制該汽 相試劑經過該處之流量;及 一或多個控制器,用於以下列方式與該等載送氣體餵 入/汽相試劑輸送歧管之每一個及該等容器之每一個導引 相通,該方式係使得該等載送氣體餵入/汽相試劑輸送歧 管之每一個可彼此獨立地操作,且該等容器之每一個可彼 此獨立地操作。 ® 3.如申請專利範圍第1及2項之整合式汽相試劑配給 設備,另包括複數來源氣體歧管,該等來源氣體歧管之每 一個彼此互連;每一來源氣體歧管連接到至少一載送氣體 餵入/汽相試劑輸送歧管;每一來源氣體歧管包括一與該 載送氣體餵入/汽相試劑輸送歧管之載送氣體餵入管線連 續的載送氣體餵入管線;該載送氣體餵入管線在其中包含 一或多個載送氣體流量控制閥門,用於控制該載送氣體經 過該處之流量,及一壓力傳感器,用於監視及控制該來源 氣體歧管之壓力。 -95- 200949123 4.如申請專利範圍第3項之整合式汽相試劑配給設 備,另包括: 一沈積室,其選自化學蒸氣沈積室及原子層沈積室; 該汽相試劑排放管線將該整合式汽相試劑配給設備連 接至該沈積室; 選擇性地一可加熱的接受器,其被容納在該沈積室內 及以對該汽相試劑排放管線呈承納關係地坐落;及 一流出物排放管線,其連接至該沈積室; 使得該汽相試劑通過該汽相試劑排放管線及進入該沈 積室而選擇性地到該可加熱的接受器上,用於與一基板接 觸,且任何殘留之流出物係經過該流出物排放管線排放。 5 .如申請專利範圍第4項之整合式汽相試劑配給設 備,其中該控制器具有一用於以下列方式與該等來源氣體 歧管之每一個、該等載送氣體餵入/汽相試劑輸送歧管之 每一個、該等容器之每一個、及該沈積室導引相通的演算 法,該方式係使得該等來源氣體歧管之每一個可彼此獨立 地操作,該等載送氣體餵入/汽相試劑輸送歧管之每一個 可彼此獨立地操作,且該等容器之每一個可彼此獨立地操 作。 6.如申請專利範圍第4項之整合式汽相試劑配給設 備,其中(i)該控制器由該等來源氣體歧管之每一個、該等 載送氣體餵入/汽相試劑輸送歧管之每一個、及該等容器 之每一個接收數位及類比輸入,並使用該等數位及類比輸 入以執行操作,及(ii)該控制器由該沈積室接收命令輸 200949123 入以執行操作,及(ii)該控制器由該沈積室接收命令輸 入,並使用該命令輸入以執行操作;其中該等操作包括控 制該等載送氣體餵入/汽相試劑輸送歧管之每一個、該等 容器之每一個 '及該等來源氣體歧管之每一個中的分開溫 度區中之溫度;控制該等載送氣體餵入/汽相試劑輸送歧 管之每一個、及該等來源氣體歧管之每一個中的閥門;監 視熱電偶及閥門位置指示器,用於該等載送氣體餵入/汽 ® 相試劑輸送歧管之每一個、該等容器之每一個、及該等來 源氣體歧管之每一個中的反饋;將來自該沈積室之電及氣 動閥門作動信號轉發至該主動載送氣體餵入/汽相試劑輸 送歧管之每一個及該主動來源氣體歧管之每一個;及在涉 及機箱之緊急氣體排除(EGO)、溫度警告、溫度警報、閥 門位置資訊、位準感測器資訊、及其他警報時與該沈積室 相通。 7. 如申請專利範圍第6項之整合式汽相試劑配給設 ® 備,其中該控制器包括一可程式化之邏輯控制器,且該控 制器將該等數位及類比輸入及該等命令輸入轉發至電腦, 允許一使用者監視該等操作。 8. —種用於輸送汽相試劑至沈積室之方法,包括_· (a) 提供如申請專利範圍第1項之整合式汽相試劑配 給設備; (b) 將來源化學物加至該等容器的一或多個; (c) 將該等容器的一或多個中之來源化學物加熱至一 足以蒸發該來源化學物的溫度,以提供汽相試劑; -97- 200949123 (d) 經過該載送氣體餵入管線將載送氣體餵入該等容 器的一或多個; (e) 經過該汽相試劑排放管線由該等容器之一容器抽 回該汽相試劑及載送氣體,該容器與任何其他之容器無 關;及 (f) 將該汽相試劑及載送氣體餵入該沈積室。 9. 一種用於輸送汽相試劑至沈積室之方法,包括: (a) 提供如申請專利範圍第2項之整合式汽相試劑配 ❹ 給設備; (b) 將來源化學物加至該等容器的一或多個; (c) 將該等容器的一或多個中之來源化學物加熱至一 足以蒸發該來源化學物的溫度,以提供汽相試劑; (d) 經過該載送氣體餵入管線及該起泡管將載送氣體 餵入該等容器的一或多個; (e) 經過該汽相試劑排放管線由該等容器之一容器抽 回該汽相試劑及載送氣體,該容器與任何其他之容器無 〇 關;及 (f) 將該汽相試劑及載送氣體餵入該沈積室。 10. 如申請專利範圍第8及9項用於輸送汽相試劑至 沈積室之方法,另包括:同時地配給來自該等容器之一的 汽相試劑及載送氣體進入該沈積室、由該整合式汽相試劑 配給設備斷開包含低位準之來源化學物的另一容器、再裝 滿該容器、及替換該整合式汽相試劑配給設備中之該容 器。 -98- 200949123 π· —種整合式液相試劑配給設備,包括: 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物直至一裝滿位準,及在該裝滿位準上 方額外地界定一內部氣體容積;該頂部壁面構件的一部份 具有一惰性氣體進料孔開口,該惰性氣體能經過該開口被 餵入該裝滿位準上方之內部氣體容積,以加壓該該裝滿位 準上方之內部氣體容積;及該頂部壁面構件的一部份具有 一液相試劑出口開孔,包括一延伸經過該內部氣體容積進 入該來源化學物之浸入管,且液相試劑可經過該浸入管由 該設備配給,該浸入管具有一毗連該頂部壁面構件之出口 端部及一毗連該底部壁面構件之入口端部; 複數惰性氣體餵入/液相試劑輸送歧管,該等惰性氣 體餵入/液相試劑輸送歧管之每一個彼此互連;每一容器 連接到至少一惰性氣體餵入/液相試劑輸送歧管;每一惰 性氣體餵入/液相試劑輸送歧管包括一惰性氣體餵入管線 及一液相試劑排放管線;該惰性氣體餵入管線由該頂部壁 面構件自該惰性氣體進料孔開口向上地並外部地延伸,而 用於惰性氣體之輸送進入該裝滿位準上方的內部氣體容 積’該惰性氣體餵入管線在其中包含一或多個惰性氣體流 量控制閥門,用於控制經過該處之惰性氣體的流量;及該 液相試劑排放管線由該頂部壁面構件自該液相試劑出口開 向上地並外部地延伸,而用於自該容器移除液相試劑, 該液相試劑排放管線在其中選擇性地包含一或多個液相試 -99- 200949123 劑流量控制閥門,用於控制該液相試劑經過該處之流量; 及 一或多個控制器,用於以下列方式與該等惰性氣體餵 入/液相試劑輸送歧管之每一個及該等容器之每一個導引 相通,該方式係使得該等惰性氣體餵入/液相試劑輸送歧 管之每一個可彼此獨立地操作,且該等容器之每一個可彼 此獨立地操作。 12. 如申請專利範圍第11項之整合式液相試劑配給設 備,另包括複數來源氣體歧管,該等來源氣體歧管之每一 個彼此互連;每一來源氣體歧管連接到至少一惰性氣體餵 入/液相試劑輸送歧管;每一來源氣體歧管包括一與該惰 性氣體餵入/液相試劑輸送歧管之惰性氣體餵入管線連續 的惰性氣體餵入管線;該惰性氣體餵入管線在其中包含一 或多個惰性氣體流量控制閥,用於控制該惰性氣體經過該 處之流量,及一壓力傳感器,用於監視及控制該來源氣體 歧管之壓力。 13. 如申請專利範圍第12項之整合式液相試劑配給設 備,另包括: 一沈積室,其選自化學蒸氣沈積室及原子層沈積室; 該液相試劑排放管線將該整合式液相試劑配給設備連 接至一蒸發設備; 該蒸發設備的一部份具有一載送氣體進料孔開口,載 送氣體能經過該開口被餵入該蒸發設備,以造成該液相試 劑之蒸氣變得被夾帶於該載送氣體中,以產生汽相試劑; -100- 200949123 該蒸發設備的一部份具有一汽相試劑出口開孔,該汽 相試劑可經過該出口開孔由該蒸發設備被配給; 一載送氣體餵入管線由該蒸發設備自該載送氣體進料 孔開口向上地並外部地延伸,而用於載送氣體之輸送進Λ 該蒸發設備,該載送氣體餵入管線在其中包含一或多個載 送氣體流量控制閥門,用於控制經過該處之載送氣體的流 量; 一汽相試劑排放管線由該蒸發設備自該汽相試劑出口 開孔向上地並外部地延伸,而用於自該蒸發設備移除汽相 試劑至該沈積室,該汽相試劑排放管線在其中包含一或多 個汽相試劑流量控制閥門,用於控制該汽相試劑經過該處 之流量;及 選擇性地一可加熱的接受器,其被容納在該沈積室內 及以對該汽相試劑排放管線呈承納關係地坐落;及 一流出物排放管線,其連接至該沈積室; 使得該汽相試劑通過該汽相試劑排放管線及進入該沈 積室而選擇性地到該可加熱的接受器上,用於與一基板接 觸,且任何殘留之流出物係經過該流出物排放管線排放。 14.一種用於輸送汽相試劑至沈積室之方法’包括: (a) 提供如申請專利範圍第Η項之整合式液相試劑配 給設備; (b) 將來源化學物加至該等容器的一或多個; (c) 將該等容器的一或多個中之固體來源化學物選擇 性地加熱至一足以熔化該固體來源化學物的溫度’以提供 -101 - 200949123 液相試劑; (d) 經過該惰性氣體餵入管線將惰性氣體餵入該等容 器的一或多個; (e) 經過該浸入管及該液相試劑排放管線由該等容器 之一容器抽回液相試劑,該容器與任何其他之容器無關; (f) 提供一蒸發設備,包括: 一容器,其包括頂部壁面構件、側壁構件及底部壁面 構件’該等構件被組構至形成一內部容器隔間,以蒸發該 液相試劑; 該液相試劑排放管線將該整合式液相試劑配給設備連 接至該蒸發設備; 該蒸發設備的一部份具有一載送氣體進料孔開口,載 送氣體能經過該開口被餵入該蒸發設備,以造成該液相試 劑之蒸氣變得被夾帶於該載送氣體中,以產生汽相試劑; 該蒸發設備的一部份具有一汽相試劑出口開孔,該汽 相試劑可經過該出口開孔由該蒸發設備被配給; 一載送氣體餵入管線由該蒸發設備自該載送氣體進料 孔開口向上地並外部地延伸,而用於載送氣體之輸送進入 該蒸發設備,該載送氣體餵入管線在其中包含一或多個載 送氣體流量控制閥門,用於控制經過該處之載送氣體的流 量; 一汽相試劑排放管線由該蒸發設備自該汽相試劑出口 開孔向上地並外部地延伸,而用於自該蒸發設備移除汽相 試劑至該沈積室,該汽相試劑排放管線在其中包含一或多 -102- 200949123 個汽相試劑流量控制閥門,用於控制該汽相試劑經過該處 之流量; (g) 將該液相試劑餵入該蒸發設備; (h) 將該蒸發設備中之液相試劑加熱至一足以蒸發該 液相試劑的溫度,以提供該汽相試劑; (i) 經過該載送氣體餵入管線將一載送氣體餵入該蒸發 設備; (j) 經過該汽相試劑排放管線由該蒸發設備抽回該汽相 試劑及載送氣體;及 (k) 將該汽相試劑及載送氣體餵入該沈積室。 1 5 ·如申請專利範圍第1 4項用於輸送汽相試劑至沈積 室之方法,另包括:同時地配給來自該等容器之一的液相 試劑進入該蒸發設備、由該整合式液相試劑配給設備斷開 包含低位準之來源化學物的另一容器、再裝滿該容器、及 替換該整合式液相試劑配給設備中之該容器。 16.—種整合式汽相試劑配給設備,包括·· 複數容器,每一容器包括頂部壁面構件、側壁構件及 底部壁面構件,該等構件被組構至形成一內部容器隔間, 以裝盛一來源化學物;且該頂部壁面構件的一部份具有一 汽相試劑出口開孔,汽相試劑可經過該出口開孔由該容器 被配給; 複數汽相試劑輸送歧管,該等汽相試劑輸送歧管之每 一個彼此互連;每一容器連接到至少一汽相試劑輸送歧 管;每一汽相試劑輸送歧管包括一汽相試劑排放管線;且 -103- 200949123 該汽相試劑排放管線由該頂部壁面構件自該汽相試劑出口 開孔向上地並外部地延伸,而用於自該容器移除汽相試 劑,該汽相試劑排放管線在其中選擇性地包含一或多個汽 相試劑流量控制閥門,用於控制該汽相試劑經過該處之流 量;及 一或多個控制器,用於以下列方式與該等汽相試劑輸 送歧管之每一個及該等容器之每一個導引相通,該方式係 使得該等汽相試劑輸送歧管之每一個可彼此獨立地操作, 且該等容器之每一個可彼此獨立地操作。 1 7 ·如申請專利範圍第1 6項之整合式汽相試劑配給設 備’另包括複數載送氣體餵入歧管,該等載送氣體餵入歧 管之每一個連接到至少一汽相試劑輸送歧管;每一載送氣 體餵入歧管包括一載送氣體餵入管線;該載送氣體餵入管 線在其中包含一或多個載送氣體流量控制閥門,用於控制 該載送氣體經過該處之流量,及一壓力傳感器,用於監視 及控制該載送氣體餵入歧管之壓力。 1 8.如申請專利範圍第1 7項之整合式汽相試劑配給設 備,另包括: 一沈積室’其選自化學蒸氣沈積室及原子層沈積室; 該汽相試劑排放管線將該整合式汽相試劑配給設備連 接至該沈積室; 選擇性地一可加熱的接受器,其被容納在該沈積室內 及以對該汽相試劑排放管線呈承納關係地坐落;及 一流出物排放管線,其連接至該沈積室; -104- 200949123 使得該汽相試劑通過該汽相試劑排放管線及進入該沈 積室而選擇性地到該可加熱的接受器上,用於與一基板接 觸,且任何殘留之流出物係經過該流出物排放管線排放。 19. 一種用於輸送汽相試劑至沈積室之方法,包括: (a) 提供如申請專利範圍第1 6項之整合式汽相試劑配 給設備; (b) 將來源化學物加至該等容器的一或多個; (c) 將該等容器的一或多個中之來源化學物選擇性地 加熱至一足以蒸發該來源化學物的溫度,以提供汽相試 劑; (d) 經過該汽相試劑排放管線由該等容器之一容器抽 回該汽相試劑,該容器與任何其他之容器無關; (e) 經過該載送氣體餵入管線將載送氣體餵入該等汽 相試劑輸送歧管的一或多個,以與該汽相試劑混合;及 (f) 將該汽相試劑與載送氣體餵入該沈積室。 20. 如申請專利範圍第1 9項用於輸送汽相試劑至沈積 室之方法,另包括:同時地配給來自該等容器之一的汽相 試劑及來自該等載送氣體餵入歧管之一的載送氣體進入該 沈積室、由該整合式汽相試劑配給設備斷開包含低位準之 來源化學物的另一容器、再裝滿該容器、及替換該整合式 汽相試劑配給設備中之該容器。 -105-
TW98104837A 2008-02-22 2009-02-16 Multiple ampoule delivery systems TW200949123A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US3057808P 2008-02-22 2008-02-22

Publications (1)

Publication Number Publication Date
TW200949123A true TW200949123A (en) 2009-12-01

Family

ID=40810204

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98104837A TW200949123A (en) 2008-02-22 2009-02-16 Multiple ampoule delivery systems

Country Status (6)

Country Link
US (4) US20090214777A1 (zh)
JP (1) JP2011513950A (zh)
KR (1) KR20100126423A (zh)
CN (1) CN101514446A (zh)
TW (1) TW200949123A (zh)
WO (1) WO2009105376A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3739078A1 (en) * 2019-05-14 2020-11-18 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cabinet for solid material container

Families Citing this family (398)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102007003541A1 (de) * 2007-01-24 2008-07-31 Robert Bosch Gmbh Elektronisches Bauteil
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
JP5465954B2 (ja) * 2008-09-29 2014-04-09 株式会社日立国際電気 基板処理装置及び判断プログラムを格納する記憶媒体及び基板処理装置の表示方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
US10689753B1 (en) * 2009-04-21 2020-06-23 Goodrich Corporation System having a cooling element for densifying a substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102597312B (zh) * 2009-11-16 2015-08-05 Fei公司 用于束处理系统的气体传输
TWI525042B (zh) * 2010-09-16 2016-03-11 首威公司 氟化氫供應單元
JP5384770B2 (ja) * 2011-03-15 2014-01-08 シャープ株式会社 蒸着粒子射出装置および蒸着装置
US8927066B2 (en) * 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery
CN103518165B (zh) 2011-05-10 2016-06-08 株式会社富士金 带有流量监测器的压力式流量控制装置
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9347616B2 (en) 2011-05-28 2016-05-24 Entegris, Inc. Refillable ampoule with purge capability
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5755958B2 (ja) 2011-07-08 2015-07-29 株式会社フジキン 半導体製造装置の原料ガス供給装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013016208A2 (en) * 2011-07-22 2013-01-31 Applied Materials, Inc. Reactant delivery system for ald/cvd processes
JP5652960B2 (ja) * 2011-08-01 2015-01-14 株式会社フジキン 原料気化供給装置
JP5647083B2 (ja) 2011-09-06 2014-12-24 株式会社フジキン 原料濃度検出機構を備えた原料気化供給装置
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130152857A1 (en) * 2011-12-15 2013-06-20 Intermolecular, Inc. Substrate Processing Fluid Delivery System and Method
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140137961A1 (en) * 2012-11-19 2014-05-22 Applied Materials, Inc. Modular chemical delivery system
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9447497B2 (en) * 2013-03-13 2016-09-20 Applied Materials, Inc. Processing chamber gas delivery system with hot-swappable ampoule
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US20150079283A1 (en) * 2013-09-13 2015-03-19 LGS Innovations LLC Apparatus and method to deposit doped films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US20150259797A1 (en) * 2014-03-17 2015-09-17 Jiangsu Nata Opto-electronic Material Co., Ltd. Liquid-Metal Organic Compound Supply System
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9605346B2 (en) * 2014-03-28 2017-03-28 Lam Research Corporation Systems and methods for pressure-based liquid flow control
KR102387359B1 (ko) * 2014-04-18 2022-04-14 어플라이드 머티어리얼스, 인코포레이티드 자동-리필 앰풀 및 사용 방법들
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10094018B2 (en) * 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6821327B2 (ja) * 2015-05-22 2021-01-27 ラム リサーチ コーポレーションLam Research Corporation オンデマンド充填アンプルの補充
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
EP3162914A1 (en) * 2015-11-02 2017-05-03 IMEC vzw Apparatus and method for delivering a gaseous precursor to a reaction chamber
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017172724A1 (en) * 2016-03-28 2017-10-05 Applied Materials, Inc. Apparatus and methods to remove residual precursor inside gas lines post-deposition
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10460960B2 (en) * 2016-05-09 2019-10-29 Applied Materials, Inc. Gas panel apparatus and method for reducing exhaust requirements
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170362701A1 (en) * 2016-06-16 2017-12-21 Veeco Instruments Inc. Central source delivery for chemical vapor deposition systems
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) * 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10947621B2 (en) 2017-10-23 2021-03-16 Applied Materials, Inc. Low vapor pressure chemical delivery
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190386256A1 (en) * 2018-06-18 2019-12-19 Universal Display Corporation Sequential material sources for thermally challenged OLED materials
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11021792B2 (en) * 2018-08-17 2021-06-01 Lam Research Corporation Symmetric precursor delivery
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN108980633B (zh) * 2018-08-27 2023-09-29 哈尔滨工业大学水资源国家工程研究中心有限公司 水力降温红外热点天线
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109609931B (zh) * 2018-12-27 2021-05-07 北京北方华创微电子装备有限公司 原子层沉积装置及方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129502B (en) * 2019-04-25 2022-03-31 Beneq Oy Feedstock supply cabinet
JP2020180354A (ja) * 2019-04-26 2020-11-05 東京エレクトロン株式会社 原料ガス供給システム及び原料ガス供給方法
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11661653B2 (en) * 2019-12-18 2023-05-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Vapor delivery systems for solid and liquid materials
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
JP7478028B2 (ja) 2020-05-27 2024-05-02 大陽日酸株式会社 固体材料供給装置
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR102203976B1 (ko) * 2020-09-14 2021-01-18 포이스주식회사 에어포켓 제거 기능을 갖는 화학약품 공급시스템 및 공급방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112283587A (zh) * 2020-11-17 2021-01-29 江苏雅克福瑞半导体科技有限公司 一种用于制造半导体的化学品供应系统及其净化方法
CN112283590A (zh) * 2020-11-17 2021-01-29 江苏雅克福瑞半导体科技有限公司 一种用于制造半导体的化学品供应系统及其工作方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115254815A (zh) * 2022-06-28 2022-11-01 上海至纯系统集成有限公司 一种液态前驱体供液设备

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3266311A (en) * 1964-05-12 1966-08-16 Delavan Mfg Company Inc Cryogenic liquid level sensing apparatus
US3329447A (en) * 1965-01-18 1967-07-04 Gifford L Hitz Self-energizing seal for high pressure flanged connections
DE2219870C2 (de) * 1972-04-22 1974-05-02 Troisdorfer Bau- Und Kunststoff Gmbh, 5210 Troisdorf Behälter, insbesondere Kunststoffoder Stahlbehälter
US3826139A (en) * 1973-03-19 1974-07-30 Laval Turbine Liquid level indicating apparatus
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4676404A (en) * 1983-10-17 1987-06-30 Nippon Zeon Co., Ltd. Method and apparatus for feeding drug liquid from hermetic returnable can
US5102010A (en) * 1988-02-16 1992-04-07 Now Technologies, Inc. Container and dispensing system for liquid chemicals
US4899585A (en) * 1988-07-19 1990-02-13 Semi-Gas Systems, Inc. Liquid level detector and method for a vapor deposition container
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5280012A (en) * 1990-07-06 1994-01-18 Advanced Technology Materials Inc. Method of forming a superconducting oxide layer by MOCVD
DE69218152T2 (de) * 1991-12-26 1997-08-28 Canon Kk Herstellungsverfahren einer niedergeschlagenen Schicht mittels CVD, unter Verwendung von flüssigem Rohstoff und dazu geeignete Vorrichtung
EP0555614A1 (en) * 1992-02-13 1993-08-18 International Business Machines Corporation Metal-organic gas supply for MOVPE and MOMBE
JPH06291040A (ja) * 1992-03-03 1994-10-18 Rintetsuku:Kk 液体気化供給方法と液体気化供給器
US5335821A (en) * 1992-09-11 1994-08-09 Now Technologies, Inc. Liquid chemical container and dispensing system
US5526956A (en) * 1992-09-11 1996-06-18 Now Technologies, Inc. Liquid chemical dispensing and recirculating system
US6029717A (en) * 1993-04-28 2000-02-29 Advanced Delivery & Chemical Systems, Ltd. High aspect ratio containers for ultrahigh purity chemicals
US6260588B1 (en) * 1993-04-28 2001-07-17 Advanced Technology Materials, Inc. Bulk chemical delivery system
US5366119A (en) * 1993-05-26 1994-11-22 Kline James B Dispenser bottle with internal pump
US5388574A (en) * 1993-07-29 1995-02-14 Ingebrethsen; Bradley J. Aerosol delivery article
JPH07211646A (ja) * 1994-01-14 1995-08-11 Mitsubishi Electric Corp 材料供給装置
US5366120A (en) * 1994-04-19 1994-11-22 Tonis Tollasepp Paint pump
TW338174B (en) * 1995-01-06 1998-08-11 Tokyo Electron Co Ltd Apparatus for supplying a treatment material
US5749500A (en) * 1996-04-23 1998-05-12 Kraus; Joey Liquid retrieving adaptor for cylindrical containers
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
EP0953064B1 (en) * 1996-12-17 2007-09-12 Advanced Technology Materials, Inc. Reagent supply vessel for chemical vapor deposition
US6296026B1 (en) * 1997-06-26 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6296025B1 (en) * 1997-07-11 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6637475B2 (en) * 1997-07-11 2003-10-28 Advanced Technology Materials, Inc. Bulk chemical delivery system
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6245151B1 (en) * 1998-07-17 2001-06-12 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
KR100273474B1 (ko) * 1998-09-14 2000-12-15 이경수 화학기상 증착장치의 가스 공급장치와 그 제어방법
US6257446B1 (en) * 1999-02-18 2001-07-10 Advanced Micro Devices, Inc. Liquid chemical container with integrated fluid reservoir
US7011710B2 (en) * 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6604555B2 (en) * 2000-08-04 2003-08-12 Arch Specialty Chemicals, Inc. Automatic refill system for ultra pure or contamination sensitive chemicals
US6609632B2 (en) * 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6736154B2 (en) * 2001-01-26 2004-05-18 American Air Liquide, Inc. Pressure vessel systems and methods for dispensing liquid chemical compositions
DE10137673A1 (de) * 2001-08-01 2003-02-27 Infineon Technologies Ag Vorrichtung zur Zufuhr von Gasgemischen zu einem CVD-Reaktor
DE10200786B4 (de) * 2002-01-11 2004-11-11 Dockweiler Ag Sicherheitsbehälter
US6953047B2 (en) * 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
JP4556205B2 (ja) * 2003-03-28 2010-10-06 ニチアス株式会社 金属ガスケット
JP4185015B2 (ja) * 2003-05-12 2008-11-19 東京エレクトロン株式会社 気化原料の供給構造、原料気化器及び反応処理装置
US6955198B2 (en) * 2003-09-09 2005-10-18 Advanced Technology Materials, Inc. Auto-switching system for switch-over of gas storage and dispensing vessels in a multi-vessel array
US7334678B2 (en) * 2004-02-13 2008-02-26 Boston Scientific Scimed, Inc. Guidewire hoops and methods pertaining thereto
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US20070175392A1 (en) * 2006-01-27 2007-08-02 American Air Liquide, Inc. Multiple precursor dispensing apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3739078A1 (en) * 2019-05-14 2020-11-18 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cabinet for solid material container
US11773483B2 (en) 2019-05-14 2023-10-03 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cabinet for solid material container

Also Published As

Publication number Publication date
WO2009105376A3 (en) 2010-06-03
US20090214777A1 (en) 2009-08-27
US20090214778A1 (en) 2009-08-27
CN101514446A (zh) 2009-08-26
WO2009105376A2 (en) 2009-08-27
JP2011513950A (ja) 2011-04-28
KR20100126423A (ko) 2010-12-01
US20090214779A1 (en) 2009-08-27
US20090211525A1 (en) 2009-08-27

Similar Documents

Publication Publication Date Title
TW200949123A (en) Multiple ampoule delivery systems
TWI408250B (zh) 分配裝置及其使用方法
KR20110088564A (ko) 반응물 분배 장치 및 전달 방법
KR101485615B1 (ko) 반응물 분배 장치 및 전달 방법
US20080305014A1 (en) Substrate processing apparatus
JP2004036004A (ja) 気化液体反応物のパルス的供給の方法および装置
KR101585242B1 (ko) 반응물 분배 장치 및 송출 방법
JP2002511529A (ja) 薄膜蒸着システム
EP2108616B1 (en) Delivery method for a reagent using a reagent dispensing apparatus
JP2009524515A (ja) 複数種の前駆体のディスペンサ装置
US20240133033A1 (en) Reactant delivery system and reactor system including same
KR20240074503A (ko) 세정 장치를 구비한 기화 시스템 및 이를 세정하는 세정 방법
KR20240074489A (ko) 세정 장치를 구비한 기화 시스템 및 이를 세정하는 세정 방법
US20230175127A1 (en) Remote solid source reactant delivery systems for vapor deposition reactors
TW201915214A (zh) 用於供薄膜製造的來源化學品之整合的合成、輸送及加工之方法及系統
KR20090108556A (ko) 반응물 분배 장치 및 송출 방법
JP2000331958A (ja) 半導体製造装置及びこの装置を利用したバリアメタル膜の形成方法