JP2011513950A - 多数アンプル送出システム - Google Patents

多数アンプル送出システム Download PDF

Info

Publication number
JP2011513950A
JP2011513950A JP2010547694A JP2010547694A JP2011513950A JP 2011513950 A JP2011513950 A JP 2011513950A JP 2010547694 A JP2010547694 A JP 2010547694A JP 2010547694 A JP2010547694 A JP 2010547694A JP 2011513950 A JP2011513950 A JP 2011513950A
Authority
JP
Japan
Prior art keywords
phase reagent
vapor phase
carrier gas
delivery
containers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2010547694A
Other languages
English (en)
Inventor
サリギアニス、デメトリウス
フーバー、シンシア、エー.
クラウス、マイケル、ジョセフ
プライアー、エドワード
チェスターズ、スティーブン
スポーン、ロナルド
Original Assignee
プラクスエア・テクノロジー・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by プラクスエア・テクノロジー・インコーポレイテッド filed Critical プラクスエア・テクノロジー・インコーポレイテッド
Publication of JP2011513950A publication Critical patent/JP2011513950A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

本発明は、複数の容器と複数のキャリア・ガス又は不活性ガス送給/蒸気相又は液相試薬送出マニホルドを有する、一体型蒸気相又は液相試薬分配装置に関し、この装置は、半導体材料及びデバイスの製造において材料を蒸着するために、前駆体などの蒸気相又は液相試薬を継続的に分配するために使用することができる。

Description

本発明は、複数の容器と、複数のキャリア・ガス又は不活性ガス送給/蒸気相又は液相試薬送出マニホルドとを有する、一体型蒸気相又は液相試薬分配装置に関する。この装置は、半導体材料及び半導体デバイスの製造における物質の蒸着のために前駆体などの蒸気相又は液相試薬を継続的に分配するために使用され得る。
半導体産業及び製薬産業において使用される高純度化学物質は、保管においてそれらの純度を維持するために、特殊なパッケージングを要する。このことは、特に、空気及び/又は空気中の水分と反応する化学物質の場合に当てはまる。このような高純度化学物質は、典型的には、バブラ又はアンプルなどのコンテナ内に入れられて供給される。
最近の化学気相蒸着ツール及び原子層蒸着ツールは、蒸着チャンバに前駆体化学物質を送出するためにバブラ又はアンプルを使用する。これらのバブラ又はアンプルは、高純度前駆体化学物質のコンテナにキャリア・ガスを通過させることと、このガスを伴う前駆体蒸気を蒸着チャンバに搬送することとによって、機能する。
集積回路のサイズが縮小されるにしたがって、内部の構成要素又はフィーチャの寸法も縮小されてきている。これらのサイズが縮小するにつれ、それに対応するように、膜品質及びデバイス性能に対する不純物の影響を最小限に抑えるために、より純度の高い化学物質に対する需要が高まりつつある。したがって、供給業者は、高純度化学物質を製造することが可能でなければならないだけでなく、高純度を維持するコンテナ内に入れてそれらの物質を配送することも可能でなければならない。
アンプル及びバルブの構成材料と前駆体化学物質との物理的特性が、利用可能な最高許容可能送出温度を決定する。取り扱い及び送出を困難にするある前駆体化学物質の特性には、例えば、空気中の水分及び酸素との発熱反応が含まれる。これは、大量漏出の場合には、可燃性副生成物の生成及び発火をもたらす可能性があり、送出ライン内に残留空気がある場合には、送出ラインを汚染してプロセスの最中にウェーハ表面に転移し、電子デバイスを使用不能にする、微粒子を生じさせる可能性がある。前駆体化学物質の限定的な熱的安定性により、加熱されたアンプル中において、蒸気圧を低下させ得る及び/又はプロセスを汚染し得るアンプル中の不純物(ヒール)の漸増的な蓄積が生じ、また、前駆体化学物質送出マニホルドのガス・ライン及びガス・バルブ中において分解が生じ、プロセスを汚染する粒子がもたらされる。
さらに、次の化学気相蒸着又は原子層蒸着の実施の前にアンプルを交換することが可能となるように、アンプル内部の前駆体化学物質が消耗しかけるときを知ることが重要である。万が一、アンプルが、サイクルの途中で空になった場合には、ウェーハの全バッチが台無しとなり、結果として多額の損失を生じさせる可能性がある。したがって、高価な液体前駆体化学物質の無駄を避けるために、アンプル内部に可能な限り少量の前駆体化学物質が残されることが望ましい。化学前駆体物質のコストが上昇するにつれ、可能な限り化学物質の無駄を少量に抑えることが、より重要になる。
蒸着プロセスの消費速度及びアンプルのサイズが、アンプルを交換する頻度を決定する。交換ステップは、非常に時間がかかるおそれがあり、(i)アンプルを閉じ、残留前駆体化学物質を除去するのに十分な温度にてラインをサイクル・パージすることと、(ii)アンプルを室温に冷却し、使用済みアンプルを除去し、これを新しいアンプルと交換することと、(iii)連結レッグ内の残留空気を除去するために室温にてシステムをサイクル・パージすることと、(iv)アンプル(及びそのバルブ)を所望の温度にまで低速で加熱すること(低速加熱は材料の分解を避けるために重要である)と、前駆体化学物質の融点の直ぐ上までアンプルを加熱することと、溶融温度から使用温度にアンプルを低速でランプすることと、新しい材料を適正化することとを含む。
低い熱的安定性及び/又は室温にて固体になる特性を有する前駆体化学物質の場合には、バルク送出システムの実装は、困難且つ非実用的なものとなるおそれがある。例えば、これらの問題には、リザーバ内の大量の材料を加熱及び溶融しなければならないことと、長い前駆体化学物質配給ラインをヒート・トレースして前駆体化学物質が液体に留まるようにすることと、不純物が充填ごとに容器内で凝縮することによりアンプル内で不純物が蓄積することと、使用されていない加熱された配給ライン内において前駆体化学物質が熱分解を生じることとが含まれる。
当技術分野においては、アンプルの交換に関連するダウンタイムを最小限に抑えて作動することが可能な蒸気相又は液相試薬分配装置を提供することが望ましい。当技術分野においては、前駆体化学物質の高純度を維持し、さらに、装置における前駆体化学物質の使用量を高め、またこれに対応して前駆体化学物質の無駄を削減することが可能な、蒸気相又は液相試薬分配装置を提供することが望ましい。
また、当技術分野においては、この装置が連結されるプロセス・ツールに対してトランスペアレントである蒸気相又は液相試薬分配装置を提供することが望ましい。換言すれば、ツール・オペレータは、この蒸気相又は液相試薬分配装置を適切に作動させるために、ツールに対して改造を施さなくともよい。
米国特許第6,921,062号 米国出願整理番号第60/898,121号 米国出願整理番号第60/903,720号 米国特許出願整理番号第11/013,434号 米国特許出願整理番号第60/897,947号 米国特許出願整理番号第60/903,579号 米国特許第6,077,356号 米国特許第6,287,965号 米国特許第6,342,277号
本発明は、一体型蒸気相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画定するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、キャリア・ガス送給入口開口を有し、このキャリア・ガス送給入口開口を介して、キャリア・ガスが充満レベルを超えた前記内方ガス体積内に送給されて、前記ソース化学物質の蒸気が前記キャリア・ガス中に引き込まれて、蒸気相試薬を生成することが可能となり、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能である。
複数のキャリア・ガス送給/蒸気相試薬送出マニホルド。前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン及び蒸気相試薬排出ラインを備え、前記キャリア・ガス送給ラインは、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在し、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含み、前記蒸気相試薬排出ラインは、充満レベルを超えた前記内方ガス体積内から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを任意に含む。
及び、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、本発明は、一体型蒸気相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画定するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、キャリア・ガス送給入口開口を有し、このキャリア・ガス送給入口開口を介してキャリア・ガスが充満レベルを超えた前記内方ガス体積内に送給されて、前記ソース化学物質の蒸気が前記キャリア・ガス中に引き込まれて、蒸気相試薬を生成することが可能となり、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能である。
複数のキャリア・ガス送給/蒸気相試薬送出マニホルド。前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン及び蒸気相試薬排出ラインを備え、前記キャリア・ガス送給ラインは、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在し、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含み、前記蒸気相試薬排出ラインは、充満レベルを超えた前記内方ガス体積内から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを任意に含む。
複数の供給ガス・マニホルド。前記供給ガス・マニホルドはそれぞれ、互いに相互連結され、各供給ガス・マニホルドは、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各供給ガス・マニホルドは、前記キャリア・ガス送給/蒸気相試薬送出マニホルドの前記キャリア・ガス送給ラインに続くキャリア・ガス送給ラインを備え、このキャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために中に1つ又は複数のキャリア・ガス流制御バルブと、供給ガス・マニホルドの圧力を監視及び制御するための圧力変換器とを含む。
及び、前記供給ガス・マニホルドのそれぞれが、互いに独立的に作動可能であり、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記供給ガス・マニホルドのそれぞれ、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ、及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、本発明は、蒸着チャンバに蒸気相試薬を送出するための方法に関し、以下のステップを含む。
(a)一体型蒸気相試薬分配装置を提供するステップ。この装置は以下のものを備える。
複数の容器。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画定するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、キャリア・ガス送給入口開口を有し、このキャリア・ガス送給入口開口を介してキャリア・ガスが充満レベルを超えた前記内方ガス体積内に送給されて、前記ソース化学物質の蒸気が前記キャリア・ガス中に引き込まれて、蒸気相試薬を生成することが可能となり、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能である。
複数のキャリア・ガス送給/蒸気相試薬送出マニホルド。前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン及び蒸気相試薬排出ラインを備え、前記キャリア・ガス送給ラインは、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在し、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含み、前記蒸気相試薬排出ラインは、充満レベルを超えた前記内方ガス体積内から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを任意に含む。
及び、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、この方法は以下のステップを含む。1つ又は複数の前記容器にソース化学物質を追加するステップ。
蒸気相試薬を供給するためにソース化学物質を蒸気化するのに十分な温度まで、1つ又は複数の前記容器内のソース化学物質を加熱するステップ。
前記キャリア・ガス送給ラインを介して1つ又は複数の前記容器内にキャリア・ガスを送給するステップ。
前記蒸気相試薬排出ラインを介して、前記容器の他のいずれのものからも独立的に前記容器の中の1つから蒸気相試薬及びキャリア・ガスを引き出すステップ。
及び、前記蒸着チャンバ内に蒸気相試薬及びキャリア・ガスを送給するステップ。
さらに、本発明は、一体型蒸気相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、バブラ・チューブを備えたキャリア・ガス送給入口開口を有し、このバブラ・チューブは、内方ガス体積を貫通してソース化学物質中に延在し、このバブラ・チューブを介して前記キャリア・ガスがソース化学物質中に気泡として通されて、ソース化学物質蒸気の少なくとも一部分が前記キャリア・ガス中に引き込まれて、充満レベルを超えた前記内方ガス体積内への蒸気相試薬の流れを生成することが可能となり、前記バブラ・チューブは、上壁部材に隣接する入口端部及び下壁部材に隣接する出口端部を有し、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能となる。
複数のキャリア・ガス送給/蒸気相試薬送出マニホルド。前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン及び蒸気相試薬排出ラインを備え、前記キャリア・ガス送給ラインは、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在し、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含み、前記蒸気相試薬排出ラインは、充満レベルを超えた前記内方ガス体積内から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを任意に含む。
及び、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、本発明は、一体型蒸気相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、バブラ・チューブを備えたキャリア・ガス送給入口開口を有し、このバブラ・チューブは、内方ガス体積を貫通してソース化学物質中に延在し、このバブラ・チューブを介して前記キャリア・ガスがソース化学物質中に気泡として通されて、ソース化学物質蒸気の少なくとも一部分が前記キャリア・ガス中に引き込まれて、充満レベルを超えた前記内方ガス体積内への蒸気相試薬の流れを生成することが可能となり、前記バブラ・チューブは、上壁部材に隣接する入口端部及び下壁部材に隣接する出口端部を有し、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能である。
複数のキャリア・ガス送給/蒸気相試薬送出マニホルド。前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン及び蒸気相試薬排出ラインを備え、前記キャリア・ガス送給ラインは、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在し、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含み、前記蒸気相試薬排出ラインは、充満レベルを超えた前記内方ガス体積内から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを任意に含む。
複数の供給ガス・マニホルド。前記供給ガス・マニホルドはそれぞれ、互いに相互連結され、各供給ガス・マニホルドは、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各供給ガス・マニホルドは、前記キャリア・ガス送給/蒸気相試薬送出マニホルドの前記キャリア・ガス送給ラインに続くキャリア・ガス送給ラインを備え、このキャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために中に1つ又は複数のキャリア・ガス流制御バルブと、供給ガス・マニホルドの圧力を監視及び制御するための圧力変換器とを含む。
及び、前記供給ガス・マニホルドのそれぞれが、互いに独立的に作動可能であり、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記供給ガス・マニホルドのそれぞれ、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ、及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、本発明は、蒸着チャンバに蒸気相試薬を送出するための方法に部分的に関し、以下のステップを含む。
(a)一体型蒸気相試薬分配装置を提供するステップ。この装置は以下のものを備える。
複数の容器。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、バブラ・チューブを備えたキャリア・ガス送給入口開口を有し、このバブラ・チューブは、内方ガス体積を貫通してソース化学物質中に延在し、このバブラ・チューブを介して前記キャリア・ガスがソース化学物質中に気泡として通されて、ソース化学物質蒸気の少なくとも一部分が前記キャリア・ガス中に引き込まれて、充満レベルを超えた前記内方ガス体積内への蒸気相試薬の流れを生成することが可能となり、前記バブラ・チューブは、上壁部材に隣接する入口端部及び下壁部材に隣接する出口端部を有し、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能である。
複数のキャリア・ガス送給/蒸気相試薬送出マニホルド。前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン及び蒸気相試薬排出ラインを備え、前記キャリア・ガス送給ラインは、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在し、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含み、前記蒸気相試薬排出ラインは、充満レベルを超えた前記内方ガス体積内から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを任意に含む。
及び、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、この方法は以下のステップを含む。1つ又は複数の前記容器にソース化学物質を追加するステップ。
蒸気相試薬を供給するためにソース化学物質を蒸気化するのに十分な温度まで、1つ又は複数の前記容器内のソース化学物質を加熱するステップ。
前記キャリア・ガス送給ライン及び前記バブラ・チューブを介して1つ又は複数の前記容器内にキャリア・ガスを送給するステップ。
前記蒸気相試薬排出ラインを介して、前記容器の他のいずれのものからも独立的に前記容器の中の1つから蒸気相試薬及びキャリア・ガスを引き出すステップ。
及び、前記蒸着チャンバ内に蒸気相試薬及びキャリア・ガスを送給するステップ。
さらに、本発明は、一体型液相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、不活性ガス送給入口開口を有し、この不活性ガス送給入口開口を介して、前記不活性ガスを、充満レベルを超えた内方ガス体積内に送給して、充満レベルを超えた内方ガス体積を加圧することが可能となり、上壁部材の一部分は、ディップチューブを備える液相試薬出口開口を有し、このディップチューブは、内方ガス体積を貫通してソース化学物質中に延在し、このディップチューブを介して、液相試薬を前記装置から分配することが可能となり、前記ディップチューブは、上壁部材に隣接する出口端部及び下壁部材に隣接する入口端部を有する。
複数の不活性ガス送給/液相試薬送出マニホルド。前記不活性ガス送給/液相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つの不活性ガス送給/液相試薬送出マニホルドに連結され、各不活性ガス送給/液相試薬送出マニホルドは、不活性ガス送給ライン及び液相試薬排出ラインを備え、前記不活性ガス送給ラインは、充満レベルを超えた前記内方ガス体積内に不活性ガスを送出するために、上壁部材から上方及び外方に、不活性ガス送給入口開口から延在し、不活性ガス送給ラインは、中を通る不活性ガスの流れを制御するために、中に1つ又は複数の不活性ガス流制御バルブを含み、前記液相試薬排出ラインは、前記容器から液相試薬を除去するために、上壁部材から上方及び外方に、液相試薬出口開口から延在し、液相試薬排出ラインは、中を通る液相試薬の流れを制御するために、中に1つ又は複数の液相試薬流制御バルブを任意に含む。
及び、前記不活性ガス送給/液相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記不活性ガス送給/液相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、本発明は、一体型液相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、不活性ガス送給入口開口を有し、この不活性ガス送給入口開口を介して、前記不活性ガスを、充満レベルを超えた内方ガス体積内に送給して、充満レベルを超えた内方ガス体積を加圧することが可能となり、上壁部材の一部分は、ディップチューブを備える液相試薬出口開口を有し、このディップチューブは、内方ガス体積を貫通してソース化学物質中に延在し、このディップチューブを介して、液相試薬を前記装置から分配することが可能となり、前記ディップチューブは、上壁部材に隣接する出口端部及び下壁部材に隣接する入口端部を有する。
複数の不活性ガス送給/液相試薬送出マニホルド。前記不活性ガス送給/液相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つの不活性ガス送給/液相試薬送出マニホルドに連結され、各不活性ガス送給/液相試薬送出マニホルドは、不活性ガス送給ライン及び液相試薬排出ラインを備え、前記不活性ガス送給ラインは、充満レベルを超えた前記内方ガス体積内に不活性ガスを送出するために、上壁部材から上方及び外方に、不活性ガス送給入口開口から延在し、不活性ガス送給ラインは、中を通る不活性ガスの流れを制御するために、中に1つ又は複数の不活性ガス流制御バルブを含み、前記液相試薬排出ラインは、前記容器から液相試薬を除去するために、上壁部材から上方及び外方に、液相試薬出口開口から延在し、液相試薬排出ラインは、中を通る液相試薬の流れを制御するために、中に1つ又は複数の液相試薬流制御バルブを任意に含む。
複数の供給ガス・マニホルド。前記供給ガス・マニホルドはそれぞれ、互いに相互連結され、各供給ガス・マニホルドは、少なくとも1つの不活性ガス送給/液相試薬送出マニホルドに連結され、各供給ガス・マニホルドは、前記不活性ガス送給/液相試薬送出マニホルドの前記不活性ガス送給ラインに続く不活性ガス送給ラインを備え、この不活性ガス送給ラインは、中を通る不活性ガスの流れを制御するために、中に1つ又は複数の不活性ガス流制御バルブと、供給ガス・マニホルドの圧力を監視及び制御するための圧力変換器とを含む。
及び、前記不活性ガス送給/液相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記不活性ガス送給/液相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、本発明は、蒸着チャンバに蒸気相試薬を送出するための方法に部分的に関し、以下のステップを含む。
(a)一体型液相試薬分配装置を提供するステップ。この装置は以下のものを備える。
複数の容器。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、不活性ガス送給入口開口を有し、この不活性ガス送給入口開口を介して、前記不活性ガスを、充満レベルを超えた内方ガス体積内に送給して、充満レベルを超えた内方ガス体積を加圧することが可能となり、上壁部材の一部分は、ディップチューブを備える液相試薬出口開口を有し、このディップチューブは、内方ガス体積を貫通してソース化学物質中に延在し、このディップチューブを介して、液相試薬を前記装置から分配することが可能となり、前記ディップチューブは、上壁部材に隣接する出口端部及び下壁部材に隣接する入口端部を有する。
複数の不活性ガス送給/液相試薬送出マニホルド。前記不活性ガス送給/液相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つの不活性ガス送給/液相試薬送出マニホルドに連結され、各不活性ガス送給/液相試薬送出マニホルドは、不活性ガス送給ライン及び液相試薬排出ラインを備え、前記不活性ガス送給ラインは、充満レベルを超えた前記内方ガス体積内に不活性ガスを送出するために、上壁部材から上方及び外方に、不活性ガス送給入口開口から延在し、不活性ガス送給ラインは、中を通る不活性ガスの流れを制御するために、中に1つ又は複数の不活性ガス流制御バルブを含み、前記液相試薬排出ラインは、前記容器から液相試薬を除去するために、上壁部材から上方及び外方に、液相試薬出口開口から延在し、液相試薬排出ラインは、中を通る液相試薬の流れを制御するために、中に1つ又は複数の液相試薬流制御バルブを任意に含む。
及び、前記不活性ガス送給/液相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記不活性ガス送給/液相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、この方法は以下のステップを含む。1つ又は複数の前記容器にソース化学物質を追加するステップ。
液相試薬を供給するために固体ソース化学物質を溶解するのに十分な温度まで、1つ又は複数の前記容器内の固体ソース化学物質を任意に加熱するステップ。
前記不活性送給ラインを介して1つ又は複数の前記容器内に不活性ガスを送給するステップ。
前記ディップチューブ及び前記液相試薬排出ラインを介して、前記容器の他のいずれのものからも独立的に前記容器の中の1つから液相試薬を引き出すステップ。
蒸気化装置を提供するステップ。この装置は、以下のものを備える。
液相試薬を蒸気化するために内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備える容器。
前記蒸気化装置に一体型液相試薬分配装置を連結する、前記液相試薬排出ライン。
キャリア・ガス送給入口開口を有する蒸気化装置の一部分。このキャリア・ガス送給入口開口を介して、キャリア・ガスを前記蒸気化装置内に送給して、前記液相試薬の蒸気を前記キャリア・ガス内に引き込ませて、蒸気相試薬を生成することが可能となる。
蒸気相試薬出口開口を有する蒸気化装置の一部分。この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記蒸気化装置から分配することが可能となる。
前記蒸気化装置内にキャリア・ガスを送出するために、蒸気化装置から上方及び外方に、キャリア・ガス送給入口開口から延在する、キャリア・ガス送給ライン。このキャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含む。
前記蒸気化装置から前記蒸着チャンバに蒸気相試薬を移動させるために、蒸気化装置から上方及び外方に、蒸気相試薬出口開口から延在する、蒸気相試薬排出ライン。この蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを含む。
前記蒸気化装置内に液相試薬を送給するステップ。
前記蒸気相試薬を生成するために、液相試薬を蒸気化するのに十分な温度まで前記蒸気化装置内の液相試薬を加熱するステップ。
前記キャリア・ガス送給ラインを介して前記蒸気化装置内にキャリア・ガスを送給するステップ。
前記蒸気相試薬排出ラインを介して前記蒸気化装置から蒸気相試薬及びキャリア・ガスを引き出すステップ。
及び、前記蒸着チャンバ内に蒸気相試薬及びキャリア・ガスを送給するステップ。
さらに、本発明は、一体型蒸気相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器。各容器は、ソース化学物質を保持するための内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、蒸気相試薬を、前記容器から分配することが可能となる。
複数の蒸気相試薬送出マニホルド。前記蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器が、少なくとも1つの蒸気相試薬送出マニホルドに連結され、各蒸気相試薬送出マニホルドは、蒸気相試薬排出ラインを備え、前記蒸気相試薬排出ラインは、前記容器から蒸気相試薬を除去するために、上壁部材から上方及び外方に蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを任意に含む。
及び、前記蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記蒸気相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、本発明は、一体型蒸気相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器。各容器は、ソース化学物質を保持するための内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、蒸気相試薬を前記容器から分配することが可能となる。
複数の蒸気相試薬送出マニホルド。前記蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器が、少なくとも1つの蒸気相試薬送出マニホルドに連結され、各蒸気相試薬送出マニホルドは、蒸気相試薬排出ラインを備え、前記蒸気相試薬排出ラインは、前記容器から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、前記蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを任意に含む。
複数のキャリア・ガス送給マニホルド。各キャリア・ガス送給マニホルドは、少なくとも1つの蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給マニホルドは、キャリア・ガス送給ラインを備え、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために中に1つ又は複数のキャリア・ガス流制御バルブと、キャリア・ガス送給マニホルドの圧力を監視及び制御するための圧力変換器とを含む。
及び、前記キャリア・ガス送給マニホルドのそれぞれが、互いに独立的に作動可能であり、前記蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給マニホルドのそれぞれ、前記蒸気相試薬送出マニホルドのそれぞれ、及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、本発明は、蒸着チャンバに蒸気相試薬を送出するための方法に関し、以下のステップを含む。
(a)一体型蒸気相試薬分配装置を提供するステップ。この装置は以下のものを備える。
複数の容器。各容器は、ソース化学物質を保持するための内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、蒸気相試薬を前記容器から分配することが可能となる。
複数の蒸気相試薬送出マニホルド。前記蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器が、少なくとも1つの蒸気相試薬送出マニホルドに連結され、各蒸気相試薬送出マニホルドは、蒸気相試薬排出ラインを備え、前記蒸気相試薬排出ラインは、前記容器から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを任意に含む。
複数のキャリア・ガス送給マニホルド。各キャリア・ガス送給マニホルドは、少なくとも1つの蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給マニホルドは、キャリア・ガス送給ラインを備え、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために中に1つ又は複数のキャリア・ガス流制御バルブと、キャリア・ガス送給マニホルドの圧力を監視及び制御するための圧力変換器とを含む。
及び、前記キャリア・ガス送給マニホルドのそれぞれが、互いに独立的に作動可能であり、前記蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給マニホルドのそれぞれ、前記蒸気相試薬送出マニホルドのそれぞれ、及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、この方法は以下のステップを含む。1つ又は複数の前記容器にソース化学物質を追加するステップ。
蒸気相試薬を供給するためにソース化学物質を蒸気化するのに十分な温度まで、1つ又は複数の前記容器内のソース化学物質を任意に加熱するステップ。
前記蒸気相試薬排出ラインを介して、前記容器の他のいずれのものからも独立的に前記容器の中の1つから蒸気相試薬を引き出すステップ。
前記蒸気相試薬と混合するために、前記キャリア・ガス送給ラインを介して、1つ又は複数の前記蒸気相試薬送出マニホルド内にキャリア・ガスを送給するステップ。
及び、前記蒸着チャンバ内に蒸気相試薬及びキャリア・ガスを送給するステップ。
本発明の一体型蒸気相又は液相試薬分配装置又はアセンブリは、例えばソース蒸気から中にある基板の上に材料層を蒸着するために、供給容器からの蒸気相試薬が化学気相蒸着チャンバに送られる化学気相蒸着システムを含む、様々なプロセス・システムにおいて使用することができる。
本発明の一体型蒸気相又は液相試薬分配装置は、アンプルの交換に関連するダウンタイムを最小限に抑えつつ継続的に作動することが可能であり、前駆体化学物質の高い純度を維持することが可能であり、さらに装置における前駆体化学物質の使用量を増加させることが可能であり、これに応じて前駆体化学物質の無駄を削減することが可能である。一体型蒸気相又は液相試薬分配装置は、装置が連結されるプロセス・ツールに対してトランスペアレントである。ツール・オペレータは、一体型蒸気相又は液相試薬分配装置が適切に作動するようにツールに対して修正を行わなくてもよい。本発明の一体型蒸気相又は液相試薬分配装置又はアセンブリは、液体前駆体化学物質の純度を維持し、液体又は固体前駆体化学物質の使用率を高め、これにより無駄を削減し、ツールの利用率を高める。
後の開示及び添付の特許請求の範囲から、本発明の他の態様、特徴、及び実施例がより十分に明らかになろう。
一体型蒸気相又は液相試薬分配装置のバルブ概略図である。 一体型蒸気相又は液相試薬分配装置を制御するプログラマブル論理制御装置へ、及びプログラマブル論理制御装置からの、入出力を示す概略図である。 本明細書において使用されるバルブ表記法を示す概略図である。3ポートバルブの黒いレッグは、作動中のレッグを示す。白いレッグ間においては、流路は常に開いている。 バルブ(V−1からV−6)及び加熱区域(Z−1からZ−5)を示す単一アンプルの概略図である。 バルブ(V−1からV−16)、圧力変換器(PTA及びPTB)、及び加熱区域(Z−1からZ−16)を示す、一体型蒸気相又は液相試薬分配装置の配管及び計装の概略図である。 オペレータが各マニホルドについてモードを変更している際にPLCが行う一般的基本ステップ及び選択を示す、例示的なPLC論理流れ図である。 どのようにして、ツールからの空気圧信号をアクティブなマニホルドのそれぞれの適切なバルブに対して中継することが可能でありながら、依然として、使用されていないマニホルドのそれらの類似するバルブをプログラマブル論理制御装置が制御することが可能となるかについての実例を示す、プログラマブル論理制御装置の簡略化された空気圧レイアウトの図である。この構成により、使用される端部は、ツール上の1箇所にてすべての空気圧バルブを閉鎖することが可能となる。 単一アンプルの装填プラットフォームの図である。 一体型ばねプレートを示す、アンプル・スライドアウト・シェルフの側面図である。 位置合わせの問題及び隙間の問題を緩和する、アンプル装填シェルフの概略図である。 一体型蒸気相又は液相試薬分配装置のマニホルド・レイアウトの図である。 マニホルド部分における90°の曲がり、ライン長、及びアンプル間の間隔を縮小するために、45°の角度で回転されたアンプルを示す、一体型蒸気相又は液相試薬分配装置のマニホルド・レイアウトの図である。 前方(上図)及び45°(下図)を向く側部特殊アンプルの場合のアンプル出口間の直線短距離を示す、トップダウン概略図である。 側部特殊アンプルを伴うバルブ・レイアウトを示す、一体型蒸気相又は液相試薬分配装置の配管及び計装の概略図である。 多数アンプル送出システムからキャリア・ガス及び前駆体が排出される一実施例と、多数アンプル送出システムから純粋前駆体が排出される(ニート送出)別の実施例とを示す、一体型蒸気相又は液相試薬分配装置の簡略化された概略図である。 ニート前駆体送出システムのためのバルブ・レイアウトを示す、一体型蒸気相又は液相試薬分配装置の配管及び計装の概略図である。 一体型蒸気相又は液相試薬分配装置において使用されるPLC画面の例示的なスクリーンショットである。
典型的には、少量の有機金属前駆体は、化学気相蒸着ツール又は原子層蒸着ツールに対して使用されるべきデイ・コンテナ、アンプル、又はバブラ内に貯蔵されている。ウェーハがより大きなものとなってきており、有機金属前駆体の使用率が高まっているため、所与の量の前駆体が持続する時間の長さが短くなってきている。これにより、より頻繁なアンプルの交換が必要となり、ツールの利用率のさらなる低下につながっている。これまでの標準的なアプローチは、1)より大きなアンプルとする方向、及び、2)前駆体がサブ・ファブ内に保管された大型リザーバから液体として引き出され、ツールに付された比較的小型のアンプルに送られる、バルク・リフィル・システムとする方向に向かうものであった。
この発明は、広く使用されているTMA又はTMGなどの特定の前駆体に対してはバルク・フィルによる解決策が有効である一方で、多数のより新しい前駆体が固体である又は低い熱的安定性を有する場合があり、それにより、それらの前駆体に対してバルク・フィル・システムを実施することが困難又は不可能となるため、独特なものとなっている。一実施例においては、この発明は、同一の又は異なるタイプの(例えば、両方がバブラ・アンプルであるか、又は一方がバブラ・アンプルであり他方がディップチューブ・アンプルであるなど)、及び同一の又は異なる有機金属前駆体の2つアンプルを、システムに対して並置状態に配置することが可能である。一方のアンプルが作動中である場合には、他方はオフラインであり、アクティブなアンプルが空に近い状態になると、この他方のアンプルはオンラインになることが可能な状態となる。
さらに、本発明の多数アンプル送出システムは、半導体ツールに単一アンプル・システムを「認識」させるプログラマブル論理制御装置によって制御されるように設計される。これにより、現行のシステムは、ツール供給業者にとってドロップ・イン交換部分となる。
一実施例においては、本発明は、同一又は異なるタイプの(例えば、両方がバブラ・アンプルであるか、又は一方がバブラ・アンプルであり他方がディップチューブ・アンプルであるなど)複数の(例えば2つの)アンプルを備え、これらのアンプルは同一の又は異なる前駆体を収容し、加熱されるマニホルドは、平行に配管され、共通のプロセス/ダンプ・ラインを共有する。これらのマニホルドは、一方のアンプルが作動中である(温度で、及びツールに前駆体を送出する)場合に、他方のマニホルドがスタンバイ状態、又はオフライン状態になることが可能なものである。プログラマブル論理制御装置は、マニホルド・バルブ及びヒート・トレースを制御し、アクティブなマニホルドに対するエキストラ・バルブを正確に設定すること、及びツールからアクティブなアンプル・マニホルドの適切なバルブに空気圧バルブ信号を再送することによって、システムに対する1つのアンプルのみをツールに「認識」させる。プログラマブル論理制御装置は、他方のアンプルが作動中である際に、アクティブでないアンプルに対するサイクル・パージ・ステップ及びアンプル交換ステップを制御することが可能である。ツールが、1つのアンプルのみを認識するため、これは、既存のツールにとってプラグ・アンド・プレイな解決策となる。
本発明の多数アンプル送出システムの利点は、半導体ツール・プラットフォームが、単一アンプル前駆体送出システム用に既に設計されている点である。前駆体が変化する(液体から固体に又は熱的に不安定な液体に)必要がある場合に、ツール供給業者は、業者自身のツールが多数のアンプルを制御することが可能となるようにプラットフォームを再設計する必要はない。
アンプルが中に置かれるキャビネットが、任意に、壁によってアンプルを隔てた状態にする。安全性要件によっては、単一のドアを有し、隔壁を有さない1つのキャビネットが、本発明における使用に適する場合がある。各アンプルは、オンライン状態のアンプルに干渉するのを防ぐためにプログラマブル論理制御装置にインターロックされ得る固有のドアにより、アクセスが可能となる。アンプルは、シェルフの上に設置され、このシェルフにより、これらのアンプルを、キャビネットの中及び外において、若干上方及び下方において、並びに、マニホルド部分との位置合わせのためのそれら自体の軸を中心として、操作することが可能となる。
バルク・フィル・システムに対する多数アンプル・システムの利点には、例えば、単一アンプルに対しては、多数アンプル・システムは、アンプル交換の際のツール・ダウンタイムがゼロとなる点、バルク・フィルに対しては、多数アンプル・システムにより、ファブを貫通して延在する危険な可能性のある有機金属前駆体液体を充填されたラインをユーザが避けることが可能となる点、及び、バルク・システムが、使用済み前駆体の頂部上に新しい前駆体を充填して、アンプル内に不純物を凝縮させるのに対して、デュアル・アンプル・システムは、使用済みアンプルを除去して、新規のアンプルと交換する点が含まれる。
高い使用温度にまで加熱された前駆体については、バルク・フィル・システムは、注ぎ足しを開始するためにアンプルを冷却する必要が依然としてあるのに対して、デュアル・アンプル・システムは、他方のアンプルがツールに対して前駆体を供給し続けている間に、新規のアンプルを設置して温度にすることが可能である。両方の場合において、ツールは、再適正化の実施を要する場合があり、これは、プロセス・オーナによって、及び、システム・オーナがシステム及び前駆体の供給をどの程度反復可能と決定したかによって、決定される。アクティブなアンプルが、空に近づいた場合には、第2のアンプルを適正化する前に、再充填又は温度安定化を待つ必要はない。バルク・フィル・コンテナ内の規格外の有機金属前駆体は、多数のツールに対する多数のアンプルに影響を及ぼす。多数アンプル・システムに関しては、この影響は、1つのツールに対する1つのアンプルに限定される。
さらに、他の利点が明らかである。多くのバルブ・フィル・システムは、液体ラインを洗浄するために溶剤の使用を採用している。その後の前駆体と溶剤との廃棄混合物は、顧客サイトでの化学物質の処分のコストを増大させる。デュアル・アンプル・システムは、液体又は固体としてラインを介して輸送されるのに向かない金属塩化物などの高融点固体前駆体に対して容易に使用することが可能である。デュアル・アンプル・システムは、微粒子又は汚染物質の問題があり1つのツールにのみ影響を及ぼすだけである場合に容易に交換される小型マニホルドを有する。バルク・フィル・タンクに対する同様の問題は、ラインの多数の長さ部分の交換を要し、多数のツールに影響を与える。デュアル・アンプル・システムは、単一アンプル・システムと同一の単一アンプルを使用するため、このことは、(ワン・ピース・フロー)化学物質在庫管理を縮小させるのに役立つ。
さらに、多数のウェーハを有する大型バッチ・ツールについては、本発明の多数アンプル・システムは、ユーザがアンプル交換するためのダウンタイムを、典型的には、約24時間若しくはそれ以上から約4時間若しくはそれ未満まで、又は、新しい材料を適正化するためのおおよその時間だけ、短縮することが可能である。これは、約80パーセントを上回るダウンタイムの短縮となり得る。
図1は、本発明のデュアル・アンプル送出システムのためのバルブ概略図を示す。図1を参照すると、デュアル・アンプル送出システムは、共通プロセス・ツールに有機金属前駆体蒸気を送出することが可能な、個々の平行ガス・マニホルド(22及び23)に連結された2つのアンプル(20及び21)を備える。各マニホルドに送られるガスは、パージ/プロセス・マニホルド24及び25を使用して選択され、所与のマニホルドが使用されていない場合には、このガスは、共通ダンプ・ラインにパージされ得る。アンプル及びマニホルドは、アンプルごとに個別のドア及びセクションを有するベント・キャビネット26内に収容される。ガス・ラインは、パージ/プロセス・マニホルド中に配置された圧力変換器(PTA及びPTB)を使用して流れ状態又は非流れ状態を監視される。アンプル及びマニホルドは、温度制御もなされ得る。
このデュアル・アンプル送出システムの作動は、プログラマブル論理制御装置を介して実施される。このデュアル・アンプル・システムを制御するプログラマブル論理制御装置への、又はプログラマブル論理制御装置からの典型的な入出力が、図2に図示される。プログラマブル論理制御装置は、様々なデジタル入力及びアナログ入力をマニホルドから受け、温度を制御し操作を行うためにこれらの入力を使用する。さらに、プログラマブル論理制御装置は、プロセス・ツールから入力を受け、これらの入力をアクティブなマニホルドに送る。さらに、プログラマブル論理制御装置は、プロセス・ツール及びエンド・ユーザによる要求に応じて、警報を送ることも可能である。タッチ・スクリーンなどの人間/機械インターフェースにより、ユーザは、システムを設定し、操作を手動的に行うことが可能である。
本発明を実施するための好ましい一形態は、プログラマブル論理制御装置により制御されるデュアル・アンプル送出システムである。図3は、本明細書において使用されるバルブ表記法を説明する。典型的な原子層蒸着プロセス・ツール又は化学気相蒸着プロセス・ツールのための標準的な単一アンプル連結が、図4においては示されている。この設定においては、アンプル及びアンプルの上方のマニホルドが、加熱される。実際には、アンプルの上方のマニホルド(Z−4及びZ−5)は、ライン中における前駆体の凝結を防ぐために、アンプル(Z−1、Z−2、及びZ−3)の温度設定点よりも5℃を上回って高い状態に保持される。バルブV−3及びV−4は、アンプルと共に位置する手動バルブである。
図4の概略図内のすべてのバルブは、平常は閉じられたバルブである。バルブV−5及びV−6は、3ポート空気圧作動バルブであり、これにより、プロセス・ツールはマニホルドからアンプルを隔離することが可能となる。前駆体送出の際には、V−2は閉じられたままである一方で、他方のバルブは開かれて、アルゴン又はヘリウムなどの乾性の不活性キャリア・ガスをアンプル内に送り、アンプルからプロセス・チャンバへと、例えばTDMAHなどの有機金属前駆体の送出を支援することが可能となる。典型的には、原子層蒸着用途のために、V−6の下流に最終バルブ(図示せず)があり、最終隔離ポイントとしてチャンバの可能な限り近くに配置される。この最終バルブは、ツールに一体化される。
デュアル・アンプル送出システムのための好ましい配管及び計装が、図5に図示される。図5は、バルブ、圧力変換器、及び高温区域を図示する。ガス送出業界における一般的な手法は、上流位置及び下流位置において圧力変換器を使用することである。図5において理解されるように、このシステムは、アンプルの上流にのみ圧力変換器(PTA及びPTB)を有する。有機金属前駆体の下流の圧力変換器は、非作動中のレッグ、ヒート・シンク、及び漏れに対する別の連結ポイントとしての役割を果たす。これらはすべて、マニホルドにおいて特定の構造をもたらす場合がある。さらに、バルブが開いていないか否か、又はラインに漏れが生じていないか否かを判定するために必要な情報はすべて、マニホルドごとの1つの圧力変換器により求めることが可能である。
図5においては、標準的なアンプル連結においてCVDツールにより制御されるものに相似するバルブは、アンプルAについてはV−1、V−2、V−8、及びV−9であり、アンプルBについてはV−5、V−10、V−11、及びV−12である。
プログラマブル論理制御装置が関与する入力及び出力が、図2において概略的に示される。プログラマブル論理制御装置は、オペレータ/機械インターフェース(HMI)を介してツール又はオペレータからのコマンドと共に、マニホルドからの様々なアナログ信号及びデジタル信号を受け入れるように設計される。図5を参照すると、プログラマブル論理制御装置は、16個のすべての温度区域と14個のマニホルド・バルブとを制御し、フィードバックについて各熱電対及びバルブ位置インジケータを監視する。プログラマブル論理制御装置は、ツールからアクティブなマニホルドに空気圧又は電気によるバルブ開口コマンドを中継し、緊急時にツールが遮断される(EMO−緊急遮断(emergency off))と、安全状態へと遮断する。
プログラマブル論理制御装置は、各供給ガス・マニホルドが互いに独立して作動可能であり、各キャリア・ガス送給/蒸気相試薬送出マニホルドが互いに独立して作動可能であり、各容器が互いに独立して作動可能であるように、各供給ガス・マニホルド、各キャリア・ガス送給/蒸気相試薬送出マニホルド、各容器、及び蒸着チャンバとの通信を導くためのアルゴリズムを有する。
プログラマブル論理制御装置は、各供給ガス・マニホルド、各キャリア・ガス送給/蒸気相試薬送出マニホルド、及び各容器からのデジタル入力及びアナログ入力を受領することが可能であり、これらのデジタル入力及びアナログ入力を、操作の実施のために使用する。さらに、この制御装置は、蒸着チャンバからのコマンド入力を受領することが可能であり、これらのコマンド入力を、操作の実施のために使用する。
各キャリア・ガス送給/蒸気相試薬送出マニホルド、各容器、及び各供給ガス・マニホルドからのデジタル入力及びアナログ入力は、一定温度区域からの熱電対と各キャリア・ガス送給/蒸気相試薬送出マニホルド及び各供給ガス・マニホルドについての圧力読取値とを伴うアナログ入力と、バルブ位置インジケータ、ダンプ・ポンプ・オン/オフ、及び各容器についてのレベル・センサを伴うデジタル入力とを含む。蒸着チャンバからのコマンド入力は、空気圧及び電気によるバルブ作動信号、前記蒸着チャンバからの緊急遮断(EMO)、及び警報状態を含む。
上記の受領されるデジタル入力及びアナログ入力に関して、実施される操作には、各前記キャリア・ガス送給/蒸気相試薬送出マニホルド、各前記容器、及び各前記供給ガス・マニホルド中における個別の温度区域において温度を制御することと、各前記キャリア・ガス送給/蒸気相試薬送出マニホルド及び各前記供給ガス・マニホルド中のバルブを制御することと、各前記キャリア・ガス送給/蒸気相試薬送出マニホルド、各前記容器、及び各前記供給ガス・マニホルド中においてフィードバックについて熱電対及びバルブ位置インジケータを監視することと、蒸着チャンバから各前記アクティブなキャリア・ガス送給/蒸気相試薬送出マニホルド及び各前記アクティブな供給ガス・マニホルドに電気及び空気圧によるバルブ作動信号を中継することと、キャビネットの緊急ガス遮断(EGO)、温度警告、温度警報、バルブ位置情報、レベル・センサ情報、及び他の警報を伴う、前記蒸着チャンバと通信することとが含まれ得る。
上記の受領されるコマンド入力に関して、実施される操作には、各前記キャリア・ガス送給/蒸気相試薬送出マニホルド、各前記供給ガス・マニホルド、及び各前記容器中の別個の温度区域において温度を制御することと、各前記キャリア・ガス送給/蒸気相試薬送出マニホルド及び各前記供給ガス・マニホルド中のバルブを制御することと、各前記キャリア・ガス送給/蒸気相試薬送出マニホルド、各前記容器、及び各前記供給ガス・マニホルド中においてフィードバックについて熱電対及びバルブ位置インジケータを監視することと、蒸着チャンバから各前記アクティブなキャリア・ガス送給/蒸気相試薬送出マニホルド及び各前記アクティブな供給ガス・マニホルドに電気及び空気圧によるバルブ作動信号を中継することと、キャビネットの緊急ガス遮断(EGO)、温度警告、温度警報、バルブ位置情報、レベル・センサ情報、及び他の警報を伴う、前記蒸着チャンバと通信することとが含まれ得る。
上述のデジタル入力及びアナログ入力を受領することから実施される操作には、各キャリア・ガス送給/蒸気相試薬送出マニホルド、各供給ガス・マニホルド、及び各容器において、温度状態及びバルブ状態を個別に制御することが含まれ得る。この温度状態及びバルブ状態には、オフライン、手動、アンプル交換、及びプロセスが含まれる。このプロセスには、スタンバイ、押しボタン又はガスの呼び出し、及びオンラインが含まれる。
上述のコマンド入力を受領することから実施される操作には、各キャリア・ガス送給/蒸気相試薬送出マニホルド、各供給ガス・マニホルド、及び各容器において、温度状態及びバルブ状態を個別に制御することが含まれ得る。この温度状態及びバルブ状態には、オフライン、手動、アンプル交換、及びプロセスが含まれる。このプロセスには、スタンバイ、押しボタン又はガスの呼び出し、及びオンラインが含まれる。
一実施例においては、制御装置は、コンピュータにデジタル入力及びアナログ入力を中継して、ユーザによる前記操作の監視を可能にし、コンピュータにコマンド入力を中継して、ユーザによる前記操作の監視を可能にする。
各容器は、少なくとも1つのソース化学物質レベル・センサ及び少なくとも1つの温度センサを備えることが可能である。プログラマブル論理制御装置は、各供給ガス・マニホルドを互いに独立的に操作し、各キャリア・ガス送給/蒸気相試薬送出マニホルドを互いに独立的に操作し、各容器を前記容器の任意の他のものと独立的に操作するように、各ソース化学物質レベル・センサ及び各温度センサとの通信を導くことが可能である。
さらに、プログラマブル論理制御装置は、ツール端部に対して流れがない又はヒータが故障している場合に所望の動作を行うことが可能である。プログラマブル論理制御装置は、マニホルドを開いてダンプする前に、ダンプ・ポンプからの信号が確実にオンになるのを監視することが可能であり、低い前駆体状態のツールに警報を発するように各アンプル上のレベル・センサを監視することが可能である。さらに、プログラマブル論理制御装置は、区域の中の1つにおける温度外事象又は緊急遮断に対してツールに警報を発することが可能である。さらに、プログラマブル論理制御装置は、必要な場合には、アクティブなバルブからツールに適切なバルブ位置インジケータを中継する。プログラマブル論理制御装置が受領するすべてのデータは、イーサネット(登録商標)接続を介して再送信され、エンド・ユーザは、SPC又は開発の目的のために、温度及び圧力等を監視することが可能となる。
一体型蒸気相又は液相試薬分配装置の別の特有の態様は、プログラマブル論理制御装置(PLC)が、共通のプロセス・ツールに送給を行う2つの個別のマニホルドの温度状態及びバルブ状態の両方を制御するという点である。一般的な流れ及びPLCにより要求される決定を示す流れ図が、図6に図示される。全ステップを通じて、PLCは、システムがその特定の作動限度内に確実に収まるように、ライン圧力、温度、及びバルブ状態等の入力を監視している。さらに、PLCは、特定のバルブが同時には開くことができず、それによりマニホルド間の「クロス・トーク」を防ぐように、プログラムされる。例えば、プロセス・バルブへの出口又はダンプバルブへの出口は共に、同時には開くことができない。一実施例においては、各キャリア・ガス送給/蒸気相試薬送出マニホルド及び各供給ガス・マニホルドの温度は、少なくとも5℃又は各容器の温度よりも高い。
図6の概略図の上部左側から始まって、アンプルは、「アンプル・アクティブ」状態にあることが可能である。この状態においては、アンプルは、温度であり、PLCは、アクティブなアンプル及びその各マニホルドの温度を監視している。さらに、PLCは、ツールから適切なアクティブなマニホルドに信号を迂回させている。ツールがアンプルからプロセスを実行することが可能となるのは、このアクティブな状態においてである。
「アンプル・アクティブ」状態から、アンプル及びその各マニホルドは、「スタンバイ」状態に入ることが可能である。この状態においては、アンプルは、温度であり、オフラインになる又はアクティブ状態に入ることが可能な状態にある。この「スタンバイ」状態の際には、ツールは、各マニホルドに対するどのバルブの制御も行うことができない。「温度でのアンプル・スタンバイ」から、オペレータは、アクティブに戻り、手動モードとなることが可能であり、又は、アンプルのスワップ・バックを開始することが可能となる。
スタンバイから「アクティブ」に戻るために、制御装置は、ユーザ指定の時間量にわたってマニホルドをパージし、次いで、このマニホルドに対する適切なバルブの制御をツールに引き継ぐ。
「アンプル交換の開始」に向かう際に、PLCは、他のマニホルドがパージ・ガス又はダンプ・ラインを使用していないことが確実となるようにチェックし、次いで、オペレータにアンプル手動バルブを閉めさせて、それによりマニホルドパージが行われ得るようにする。このパージは、マニホルド及びアンプル・バルブとマニホルド・バルブとの間の配管のレッグから残留有機金属を除去して、それにより、アンプルが取り外される際に、これらのレッグ中の残留前駆体が空気又は空気中の水分と反応しないようにするために、なされる。
マニホルドをサイクル・パージした後に、PLCは、アンプル・バルブが確実に閉じられたことをチェックする。これは、マニホルドが基本圧力にまでポンプされ、隔離され、次いで圧力上昇が観察されるリーク・アップによって、なされる。アンプルが閉じられ、残留化学物質がラインからパージされている場合には、マニホルドは、大きな圧力上昇を示さない。漏れチェックに失敗した場合には、オペレータは、調査を促される。
正常な漏れチェックの後に、制御装置は、ヒータを遮断し、アンプルが安全な温度に達した際に、オペレータにアンプルの交換を促す。
新しいアンプルが設置され、オペレータがそれを認めると、PLCは、アンプルが正確に連結されたことを保障するためにもう一度漏れチェックを行い、次いで、アンプル連結の際に吸収され得た残留空気及び水分を除去するために、マニホルドをパージし始める。PLCは、アンプル・バルブを開く間にわたってオペレータを歩かせ、次いで、加熱前にアンプル・ヘッド・スペースを真空排気し、パージし、加圧することができる。これは、ユーザにより決定される。次いで、アンプルは、より一体化されたシステムにおいては、人間/機械インターフェース(HMI)を介したオペレータからの、又はツールからの、加熱のための信号を待つ。
アンプル、そのバルブ、及びマニホルドが、設定点温度にて安定化すると、アンプルは、「温度でのアンプル・スタンバイ」状態に入り、必要な際に「アクティブ」になることが可能な状態となる。
さらに、PLCは、パスワード保護されたマニュアル・モードを備え、これにより、熟練の技術者又はエンジニアは、ヘリウム漏れチェック、マニホルド交換、及びシステムチェック等のために、手動によりバルブを作動させることが可能となる。さらなる安全措置として、バルブ・エクスクルージョンが、アクティブなマニホルドと非アクティブなマニホルドとの間におけるクロス・トークを避けるために、プログラマブル論理制御装置にプログラムされる。アンプルは自動バルブのみで設計されてよいが、これは標準的な手法ではなく、なぜならば、手動バルブにより、オペレータは緊密なシールを保障することが可能となるからである。
PLCは、いずれのマニホルドがアクティブであるかを判定する。これは、1)ツール・オペレータが、アンプルの実行限度に達したことに気づき、切換えを命ずる、手動ボタンによって、又は、2)1つのアンプルが低量になったとき及び他方のアンプルをオンラインにすべきことを判定するために、レベル・センサからのデータ又はツールからのカウンタを使用する自動切換え機能によって、開始され得る。もう1つの場合は、PLCは、オペレータに対して切換えが必要であることの警報を発するが、オペレータ入力の実行を待機する。
一体型蒸気相又は液相試薬分配装置において使用されるPLC画面の1つの例示的なスクリーンショットが、図17に図示される。
一体型蒸気相又は液相試薬分配装置の特殊な態様の1つは、プロセス・ツールから適切なアクティブなマニホルドにバルブ開口空気圧信号を再送しつつ、マニホルドが非アクティブ状態にあった場合には依然としてプログラマブル論理制御装置がこれらのバルブを制御することが可能となるための、プログラマブル論理制御装置のための安全な方式の設計である。さらに、安全のために、ツールに対する空気圧機構が閉鎖される際に、一体型蒸気相又は液相試薬分配装置バルブもまた閉鎖されることが望ましい。この解決策の実例が、図7において概略的に示される。
共通空気圧バルブを制御するために、プログラマブル論理制御装置は、共通主要空気圧送給部に連結された一列のソレノイド・バルブに24ボルトのDC信号を供給する。この場合には、キャビネットを供給する主要空気圧ラインは、ツールから引かれる。これにより、ツール空気圧機構が遮断されると、一体型蒸気相又は液相試薬分配装置空気圧機構もまた遮断されることとなる。さらに、共通バルブのデュアル制御のために、ツールからの各空気圧信号は、一体型蒸気相又は液相試薬分配装置のそれぞれのマニホルドに対する適切なバルブに空気圧信号を送るように通電され得る特別なソレノイド(又は均等物)に送られる。「オア」チェック・バルブ(“OR” check valve)(例えば3ポートシャトルバルブなど)により、それらの共有バルブに対する空気圧信号は、他の排気を徐々に抜き取ることなく、主要ソレノイド・パネル、又は、例えば4位置3ポートバルブなどの独立型A若しくはBソレノイドから、もたらされることが可能となる。
アンプルは、小さなベント・キャビネットの内部に配置され得る。アンプルは、典型的には、シェルフの上に載置され、その上方のマニホルドは、その設計の性質により、かなり剛性の構造体である。典型的なアンプル設置が、図8に図示される。アンプルは、固定式又は摺動式(ページの内外に)のシェルフの上面上の半可撓性加熱マントルの内部に位置することが可能である。さらに、高真空VCR連結具の使用により、アンプル・バルブとマニホルドとの間に隙間のない嵌合がもたらされる。一実施例は、アンプルの高さの変動に対処するために加熱マントルにおいて遊びを用いる。これにより、キャビネットにおけるビルディング許容及びヒッティング許容は困難になる。シェルフが高すぎる場合には、アンプルはマニホルドの下方において嵌合しない。シェルフが低すぎる場合には、連結具が正確に締め付けられない場合があり、又は、アンプルの全重量(15.87〜18.14kg(35〜40lbs))がマニホルドにより支持されることになる場合があり、溶接部及び嵌合部に応力をもたらす。アンプルに荷重をかけることを容易にするために、一体型ばね荷重プレートを有するスライド・シェルフが、図9に図示されるように使用され得る。このシェルフは、図10に図示されるように、センタリング・ピン及び回転テーブルを組み込むことが可能である。すべてのこれらの構成部により、オペレータはアンプルを中心に位置決めし、連結具を位置合わせし、アンプルを剛性マニホルドの下方に容易に摺動させることが可能となる。
アンプルのレイアウトは、上述のマニホルドの曲げ部の数及びライン長に影響を及ぼし得る。実際に、前駆体送出ラインについては、「使用されないレッグ」及び不要な曲げ部を最小限に抑えることが最良である。これは、凝縮の可能性や微粒子を最小限に抑え、パージの際の残留前駆体の完全な除去を可能にするために、なされる。例えば、前方に向いた同一のアンプルを有する一実施例が、図11に図示され、図12の別の実施例は、アンプルをそれらの中心軸を中心として45度だけ時計回り方向に回転させることが、どのように入口アルゴン・レッグにおいて2つの曲げ部を除去し、マニホルド間の共通出口ラインの長さを短縮させ得るかを示す。さらに、1つのアンプル(A)が、左側に入口を有し、他方のアンプル(B)が、右側に入口を有する、側部特殊アンプルの場合を示すことも可能である。この場合には、アンプル(A)は、その垂直方向軸を中心として時計回り方向に回転させることが可能であり、アンプル(B)は、その垂直方向軸を中心として反時計回り方向に回転させることが可能であり、結果として、図13に図示されるように、T字型共通マニホルドについての出口間距離が非常に短くなる。この側部特殊アンプルの場合のレイアウトを示す概略図は、図14に図示される。図14に図示されるように、アンプル入口V−6及びV−18は、両端側に位置し、出口バルブ(V−7及びV−17)は、中央方向に位置する。この配向により、共通マニホルドに2つのアンプルを連結するライン長を最小限とすることが可能になり、これは、使用されないレッグの容積を減らすために重要となる。
時折、容器は、製品液体前駆体が空に近くなる。このほぼ空の状態は、液体レベル・センサによって検出され得る。本明細書における教示に適合する従来のレベル・センサが、有用であり得る。センサは、例えば、容器が交換又は再充填される必要があり得るが、直ぐに行われる必要がないことを示すことができる。必要な場合には、少量の前駆体供給物が容器内に残留した状態で、ツールのプロセスが、完了される。さらに、センサは、容器が十分な前駆体供給物を含んでいないためにツールのプロセスを停止しなければならないことを示すことができる。さらに、センサは、容器が満たされていることを示すことができる。
容器を再充填及び/又は交換すべき時が来た場合には、切換え処置が行われ、容器は、一体型蒸気相又は液相試薬分配装置から取り外される。周囲条件に対してシステムを開くことにより、システム内の反応前駆体残留物が、大気中成分、とりわけ酸素及び水分にさらされる。したがって、残留物は、システムを開く前にラインからパージされなければならない。殆どのパージは、ガス及び/又は真空を使用して遂行され得る。これらの方法により除去されない前駆体残留物に関しては、ラインを十分に流洗するために溶剤を使用することが可能である。反応前駆体にさらされる一体型蒸気相又は液相試薬分配装置の特定の部分が、ダンプに通じる出口ラインを通してパージされる適切な溶剤で流洗されてよい。この溶剤による流洗は、溶剤タンク及びマニホルドにより支援され得る。代替としては、パージ・ガスが、バルブを介して一体型蒸気相又は液相試薬分配装置内に入れられ、廃棄物が、ベント・ラインを通りダンプに移動する。これらの排気プロセスの際の残圧は、圧力センサにより監視することが可能である。
一体型蒸気相又は液相試薬分配装置の様々な部品及び動作は、制御装置により制御される。この制御装置は、他の容器マニホルド組立体からは独立的に各容器マニホルド組立体を制御するように構成される。したがって、1つの容器内の前駆体は、他の容器内の前駆体とは別個に管理及び配給され、前駆体を製造ツールに供給する全プロセスが、フレキシブルになる。例えば、一度に1つの前駆体を供給することが可能であり、又は一度に多数の前駆体を供給することが可能である。さらに、他の容器が前駆体物質を供給している間に、1つ又は複数の容器を交換することができる。
容器内及び容器間の連結ライン、マニホルド、及び、一体型蒸気相又は液相試薬分配装置の様々な他の部品は、本明細書において説明される化学物質を保持するように設計される。例えば、ラインは、高純度ステンレス鋼配管から構成されてよい。本明細書において説明される遮断バルブは、ばね無しダイアフラム高純度バルブであってよい。
実施においては、一体型蒸気相又は液相試薬分配装置は、アルゴリズムを有する制御装置によって制御され、この制御装置は、複数のユニット間の通信を導き、一体型システムを完成させる。このシステムの複数のユニットは、様々な共有構成要素を介して通信する。任意の組合せにおける、共有構成要素を有する、制御装置及び種々のユニットにより、一体型システムは、モジュール・ツールとして機能することが可能となる。制御装置は、本明細書における教示に適合する様々な制御装置の任意のものであってよく、様々な位置に配置されてよい。制御装置は、容器が互いに独立的に作動可能となるように、一体型蒸気相又は液相試薬分配装置の様々なシステムと通信するように適合可能なものである。代替としては、別個の制御装置が、ツール及び一体型蒸気相又は液相試薬分配装置において使用される場合には、制御装置は、互いに通信し、それにより、ツールは、化学物質タンクが交換されているときを認識し、一体型蒸気相又は液相試薬分配装置は、ツールが前駆体を必要とするときを認識する。
さらに、容器内の前駆体の残留量が、制御装置アルゴリズムにより監視される。この容器は、連続的に又は離散的に監視され得る。容器は、例えば計量器及び超音波センサなどの外部センサを備えてよい。さらに、容器は、例えば前述のものなどの内部センサなどを備えてよい。容器センサが低レベルであることを知らせる場合には、本明細書において説明されるようにタンク交換処置が開始される。
本明細書において説明される一体型蒸気相又は液相試薬分配装置の実施例は、ターゲット・プロセス・ツールに前駆体を継続的に供給するためのモジュラ式の一体型プロセッサを提供する。さらに、一体型蒸気相又は液相試薬分配装置は、他のモジュールと組み合わされることにより、製造ツールが蒸着のために前駆体を正常に及び継続的に受領することが可能となるように、前駆体を貯蔵し、ツールに前駆体を送出するためのシステムを実現し得る。
上述の説明は、本発明の原理及び様々な実施例の例示となるように意図される。本発明の実施例が示されたが、当業者は、本発明の教示から逸脱することなく、その変更を行うことが可能である。本明細書において説明される実施例は、例示のものに過ぎず、限定的なものではない。本発明及び本明細書において開示される装置及び方法の多数の変形及び変更が可能であり、本発明の範囲内に含まれる。したがって、保護の範囲は、上述の説明によっては限定されず、添付の特許請求の範囲によってのみ限定され、この範囲は、特許請求の範囲の主題のあらゆる均等物を含む。
容器、マニホルド、圧力調整器、バルブ、及びオリフィスの様々な組合せを、本発明の実施例と共に使用し得ることが理解される。本発明は、本明細書において説明されるこれらのようなデバイスの組合せに限定されず、本発明は、本明細書の教示に合致する他の組合せを含むことが、当業者には理解されよう。
図1、図5、図14、及び図16を参照すると、プロセス・ガスは、キャリア・ガスである。これは、アンプルに進入して、又は前駆体と混合して、「プロセス」への送出の際に前駆体を希釈するガスである。パージ・ガスは、アンプルが使用された後に、又は新しいアンプルが連結される際に、マニホルドをパージするためだけに使用される。例えば、顧客が、キャリア・ガスとしてエレクトロニクス用アルゴンを使用することを望む場合があるが、パージ・ガスについては安価なことによりエレクトロニクス用窒素に固執する場合がある。
図1、図5、及び図14を参照すると、容器(例えば20及び21)は、キャリア・ガス送給入口開口(このキャリア・ガス送給入口開口を介して、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送給することが可能となり、これにより、前記ソース化学物質の蒸気は、前記キャリア・ガス中に引き込まれて、蒸気相試薬が生成される)を有する上壁部材の一部分と、蒸気相試薬出口開口(この蒸気相試薬出口開口を介して、前記蒸気相試薬が前記装置から分配され得る)を有する上壁部材の一部分とを備えることが可能である。
容器(例えば20及び21)は、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送給するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在するキャリア・ガス送給ライン(例えば図14における32及び42)であって、キャリア・ガス流制御バルブ(例えば、アンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)、及び、供給ガス・マニホルドの圧力を監視及び制御するために中に圧力変換器(例えばPTA及びPTBなど)を含む、キャリア・ガス送給ライン(例えば図14における32及び42)と、充満レベルを超えた前記内方ガス体積から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在する蒸気相試薬排出ライン(例えば図14における34及び44)であって、中を通る蒸気相試薬流を制御するために中に蒸気相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を含む、蒸気相試薬排出ライン(例えば図14における34及び44)とを備えることが可能である。
一実施例においては、容器(例えば20及び21)は、バブラ・チューブ(このバブラ・チューブは、内方ガス体積を貫通してソース化学物質中に延在し、このバブラ・チューブを介して、前記キャリア・ガスをソース化学物質中に気泡として通過させることが可能となり、それにより、ソース化学物質蒸気の少なくとも一部分が、前記キャリア・ガス中に引き込まれて、充満レベルを超えた前記内方ガス体積への蒸気相試薬流が生成され、前記バブラ・チューブは、上壁部材に隣接する入口端部及び下壁端部に隣接する出口端部を有する)を備えるキャリア・ガス送給入口開口を有する上壁部材の一部分と、蒸気相試薬出口開口(この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記装置から分配することが可能である)を有する上壁部材の一部分とを備えることが可能である。
バブラ・チューブを有する容器は、前記ソース化学物質内にキャリア・ガスを送出するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在するキャリア・ガス送給ライン(例えば図14における32及び42)であって、キャリア・ガス流制御バルブ(例えば、アンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)、及び、供給ガス・マニホルドの圧力を監視及び制御するために中に圧力変換器(例えばPTA及びPTBなど)を含む、キャリア・ガス送給ライン(例えば図14における32及び42)と、充満レベルを超えた前記内方ガス体積から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在する蒸気相試薬排出ライン(例えば図14における34及び44)であって、中を通る蒸気相試薬流を制御するために中に蒸気相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を含む、蒸気相試薬排出ライン(例えば図14における34及び44)とを備えることが可能である。
別の実施例においては、容器(例えば20及び21)は、不活性ガス送給入口開口(この不活性ガス送給入口開口を介して、前記不活性ガスは、充満レベルを超えた内方ガス体積内に送給されて、充満レベルを超えた内方ガス体積を加圧することが可能となる)を有する上壁部材の一部分と、ディップチューブ(このディップチューブは、内方ガス体積を貫通してソース化学物質中に延在し、このディップチューブを介して、液相試薬を前記装置から分配することが可能であり、前記ディップチューブは、上壁部材に隣接する出口端部及び下壁部材に隣接する入口端部を有する)を備える液相試薬出口開口を有する上壁部材の一部分とを備えることが可能である。
ディップチューブを有する容器(例えば20及び21)は、充満レベルを超えた前記内方ガス体積内に不活性ガスを送出するための、上壁部材から上方及び外方に、不活性ガス送給入口開口から延在する不活性ガス送給ライン(例えば図14における32及び42)であって、不活性ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)、及び、供給ガス・マニホルドの圧力を監視及び制御するために中に圧力変換器(例えばPTA及びPTBなど)を含む不活性ガス送給ライン(例えば図14における32及び42)と、前記容器から液相試薬を除去するために、上壁部材から上方及び外方に、液相試薬出口開口から延在する液相試薬排出ライン(例えば図14における34及び44)であって、中を通る液相試薬流を制御するために中に液相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を含む、液相試薬排出ライン(例えば図14における34及び44)とを備えることが可能である。
容器及びアンプルは、典型的には、例えば316Lなどのステンレス鋼から機械加工され、前駆体液体又は固体ソース化学物質の汚染を防ぐために電解研磨される。カバー又は上壁部材は、除去不能な、又は洗浄及び再利用を容易にするために除去可能なものが可能である。容器は、円筒形状側壁部材を備えることが可能であり、又は、非円筒形状を画成する側壁部材を備えることが可能である。除去可能な上壁部材を有する容器は、側壁部材に上壁部材を固定するための固定手段を備えることが可能である。例示の固定手段は、例えば、溶接部材、ボルト、又はシールなどを含む。
アンプルは、例えばオン/オフ・バルブ及び質量制御バルブなどの、入口バルブ及び出口バルブを備えることが可能であり、それにより、化学物質をエンド・ユーズ設備に送出することが可能となる。オプションのアンプル設備は、フィル・ポートと、アンプルがほぼ空になるときを判定するためのソース化学物質レベル・センサとを備える。コンテナ内の材料は、低蒸気圧化学物質については真空下において、又は、蒸気を掃気するためには不活性ガスを使用して、送出される。代替としては、材料は、液体としてディップ・チューブを介してエンド・ユーズ設備に送出されてよく、そこで必要に応じて蒸気化され得るか、又は分配され得る。
好ましくは、熱伝導を均一にするために、アンプル内に温度センサが含まれる。好ましくは、ソース化学物質を効率的に使用するために、アンプル内にソース化学物質レベル・センサが含まれる。バルブ及びソース化学物質レベル・センサは、清浄な漏出防止シールを確保するために、フェース・シール連結具を介して装着される。クリーン・ルーム内で組み立てられると、アンプルは、吸収された水分を除去するために調整され、ヘリウム漏れ検出器によりリーク・チェックされる。アンプルは、数トールから大気圧を若干上回るまでの圧力で使用されるように設計される。
本発明の一実施例においては、温度センサは、容器の上端外部から上壁部材の一部分を貫通して、容器の内部容積部内に概して垂直方向に下方に延在し、温度センサの下方端部は、下壁の表面の非干渉近位に配置される。ソース化学物質レベル・センサは、容器の上端外部から上壁部材の一部分を貫通して、容器の内部容積部内に概して垂直方向に下方に延在し、ソース化学物質レベル・センサの下方端部は、下壁の表面の非干渉近位に配置される。温度センサは、容器内のソース化学物質の温度を判定するために、容器内に作動的に配置され、ソース化学物質レベル・センサは、容器内のソース化学物質のレベルを判定するために、容器内に作動的に配置され、温度センサ及びソース化学物質レベル・センサは、容器内において互いに対して非干渉近位に配置され、温度センサの下方端部は、ソース化学物質レベル・センサの下方端部に関して容器の表面の同一又はより近い近位に配置され、温度センサ及びソース化学物質レベル・センサは、容器内においてソース化学物質流連通状態にある。ソース化学物質レベル・センサは、超音波センサ、光学センサ、容量センサ、及びフロート・タイプ・センサから選択され、前記温度センサは、サーモウェル及び熱電対を備える。
本発明の一実施例においては、下壁部材は、サンプ空洞部を任意に提供し、この中に、温度センサ、ソース化学物質レベル・センサ、ディップ・チューブ、及び/又はバブラ・チューブの下方端部が、配設され得る。かかる構成により、元々提供された液体又は固体のソース化学物質の体積の、例えば95%又はそれ以上、好ましくは98%又はそれ以上などの高いパーセンテージを、ソース化学物質が選択的に分配される用途において、使用することが可能となる。さらに、この構成は、ソース化学物質供給及び分配システム、並びに分配されたソース化学物質が使用されるプロセスの経済性を向上させることが可能である。
本発明により、ソース化学物質レベル・センサが内容物の終わりを知らせた場合に、最少量の半導体前駆体化学物質が、アンプル又はバブラの中に残ることが可能となる。半導体前駆体の複雑性及びコストが高まっているため、これは非常に重要である。コストを最小限に抑えるために、半導体製造業者は、無駄になる前駆体を可能な限り少なくすることを望む。さらに、本発明は、ソース化学物質レベル・センサと同一の凹部サンプ空洞部内に温度センサを配置する。これにより、ソース化学物質レベル・センサが前駆体の存在を示す限りにおいて、ソース化学物質半導体前駆体の真の温度が読み取られるようになる。これは、安全性の観点から重要である。温度センサが、半導体前駆体の外部に位置した場合には、この温度センサは、加熱装置に誤った低温信号を送ることになるであろう。これは、アンプルに対して過熱をかけることに至る場合があり、それにより、半導体前駆体の安全でない状況及び分解を生じさせるおそれがある。
再び容器及びアンプルを参照すると、容器は、ソース化学物質レベル・センサを備えることが可能であり、このソース化学物質レベル・センサは、容器の上方部分外部から、容器の上壁部材の非中心に位置する部分を下方に貫通して、下床部材の上に非中心に位置する下方端部まで延在し、任意には容器のサンプ空洞部の表面の近傍に位置し、これにより、ソース化学物質試薬が容器内に収容される場合に、少なくとも95%のソース化学物質試薬を使用することが可能となる。ソース化学物質レベル・センサの上方部分は、感知されたソース化学物質レベル信号をシステムの作動中にソース化学物質レベル・センサから中央演算処理ユニットに伝送するために、ソース化学物質レベル感知信号伝送ラインによって中央演算処理ユニットに接続され得る。
同様の態様において、容器は、温度センサ、すなわちサーモウェル及び熱電対を備えることが可能であり、この温度センサは、容器の上方部分外部から、下方へ容器の上壁部材の中央位置部分を下方に貫通して、下方端部まで延在し、容器の下壁の表面の近傍にて、下壁部材の中央に位置する。温度センサの上方部分は、システムの作動中に感知された温度信号を温度センサから制御装置又は中央演算処理ユニットまで伝送するために、温度感知信号伝送ラインによって中央演算処理ユニットに接続され得る。
さらに、適切なマイクロプロセッサ、コンピュータ、若しくは他の適切な制御手段を含み得る、制御装置又は中央演算処理ユニットは、流れ制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)を選択的に調節するため、及びキャリア・ガスの容器への流れを制御するために、制御信号伝送ラインによって流れ制御バルブに(例えば適切なバルブ・アクチュエータ要素を介して)接合されてよい。さらに、中央演算処理ユニットは、流れ制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を選択的に調節するため、及び容器からの蒸気相又は液相試薬の排出を制御するために、制御信号伝送ラインによって他の流れ制御バルブに(例えば適切なバルブ・アクチュエータ要素を介して)接合されてよい。本発明においては、流れ制御バルブは、隔離バルブ及び絞りバルブ等を含む。
本発明により、半導体製造業者は、アンプルの交換の前の無駄を非常に少量に抑えつつ、最大量の前駆体を使用することが可能となる。これにより、無駄が最小限に抑えられ、半導体前駆体及び特定の用途における投資回収率が最大限に高められる。
典型的なアンプルは、直径が約12.7cmから15.24cm(約5から6インチ)、高さが約12.7cmから17.78cm(約5から7インチ)の容器又は円筒からなり、316ステンレス鋼(316SS)から構成される。上壁部材は、約1.27cm(約0.5インチ)の厚さであり、8から12個のボルトにより側壁部材に装着され、又は、溶接され得る。アンプルは、エダクタ(又はディップ)チューブが設置されてよく、又は設置されなくともよい。さらに、フィル・ポートが含まれる場合がある。1つのバルブが、出口バルブから生成物を掃気するために不活性ガスのための入口として使用されてよい。さらに、アンプルは、バブラ・チューブを有してよい。このバブラ・チューブは、蒸気として材料を送出するのを支援するために、生成物を通して不活性ガスを気泡として通過させるために使用することが可能である。
本発明において有効な例示のソース化学物質は、広範にわたる様々なものであることが可能であり、例えば、2族(例えばカルシウム、ストロンチウム、及びバリウム)、3族(例えばイットリウム及びランタン)、4族(例えばチタニウム、ジルコニウム、ハフニウム)、5族(例えばバナジウム、ニオビウム、及びタンタル)、6族(例えばクロム、モリブデン、及びタングステン)、7族(例えばマンガン)、8族、9族、10族(例えばコバルト、ニッケル、ルテニウム、ロジウム、パラジウム、及び白金)、11族(例えば銅、銀、及び金)、12族(例えば亜鉛及びカドミウム)、13族(例えばアルミニウム、ガリウム、インジウム、及びタリウム)、14族(例えば珪素、ゲルマニウム、及び鉛)、15族(例えばアンチモン及びビスマス)、16族(例えばテルル及びポロニウム)、周期表のランタニド系列及びアクチニド系列の、金属の蒸気相又は液相前駆体を含む。本発明において有効な好ましい蒸気相又は液相試薬は、ルテニウム、ハフニウム、タンタル、モリブデン、白金、金、チタン、鉛、パラジウム、ジルコニウム、ビスマス、ストロンチウム、バリウム、カルシウム、アンチモン、アルミニウム、及びロジウムから選択される金属の蒸気相若しくは液相前駆体か、又は、珪素及びゲルマニウムから選択される半金属の前駆体を含む。好ましい有機金属前駆体化合物は、ルテニウム含有有機金属前駆体化合物、ハフニウム含有有機金属前駆体化合物、タンタル含有有機金属前駆体化合物、及び/又は、モリブデン含有有機金属前駆体化合物を含む。
ソース化学物質は、容器がシステムから取り除かれ、新しい容器と交換される際に、この容器に追加され得る。容器に追加されるソース化学物質の温度は、クリティカルなものではなく、広範にわたり様々であることが可能である。ソース化学物質は、プロセスに対して十分な流速で蒸気相試薬を供給するために、ソース化学物質を蒸気化するのに十分な温度まで加熱され得る。各材料は、室温にて若干の蒸気圧を有し、真空下において蒸気化する。熱を追加することにより、適当な時間内に必要な量の化学物質を供給するのに十分なものとなるように、蒸気化率が高まる。
昇華する固体ソース化学物質、及び加熱により溶解する固体ソース化学物質を、本発明において使用することが可能である。例えば、昇華する固体ソース化学物質は、図1、図5、図14、及び図16に図示される蒸気相試薬分配装置において使用することが可能である。加熱により溶解する固体ソース化学物質は、図1、図5、図14、及び図16に図示される蒸気相又は液相試薬分配装置において使用することが可能である。同様に、液体ソース化学物質は、図1、図5、及び図14に図示される蒸気相試薬分配装置において使用することが可能である。昇華する固体ソース化学物質を使用する場合には、ダスト・エントラップメント設備を使用する必要がある場合がある。
本発明において有効な例示の蒸気相又は液相試薬は、広範にわたって様々なものが可能であり、例えば、2族(例えばカルシウム、ストロンチウム、及びバリウム)、3族(例えばイットリウム及びランタン)、4族(例えばチタニウム、ジルコニウム、ハフニウム)、5族(例えばバナジウム、ニオビウム、及びタンタル)、6族(例えばクロム、モリブデン、及びタングステン)、7族(例えばマンガン)、8族、9族、10族(例えばコバルト、ニッケル、ルテニウム、ロジウム、パラジウム、及び白金)、11族(例えば銅、銀、及び金)、12族(例えば亜鉛及びカドミウム)、13族(例えばアルミニウム、ガリウム、インジウム、及びタリウム)、14族(例えば珪素、ゲルマニウム、及び鉛)、15族(例えばアンチモン及びビスマス)、16族(例えばテルル及びポロニウム)、周期表のランタニド系列及びアクチニド系列の金属の、蒸気相又は液相の前駆体を含む。本発明において有効な好ましい蒸気相又は液相試薬は、ルテニウム、ハフニウム、タンタル、モリブデン、白金、金、チタン、鉛、パラジウム、ジルコニウム、ビスマス、ストロンチウム、バリウム、カルシウム、アンチモン、アルミニウム、及びロジウムから選択される金属の、蒸気相又は液相の前駆体か、又は、珪素及びゲルマニウムから選択される半金属の前駆体を含む。好ましい有機金属前駆体化合物は、ルテニウム含有有機金属前駆体化合物、ハフニウム含有有機金属前駆体化合物、タンタル含有有機金属前駆体化合物、及び/又は、モリブデン含有有機金属前駆体化合物を含む。
蒸着チャンバは、化学気相蒸着チャンバ又は原子層蒸着チャンバであることが可能である。蒸気相試薬排出ライン(例えば図14における34及び44)は、蒸着チャンバに容器を連結する。加熱可能サセプタ又は基板(例えば、ウェーハが、垂直方向煙管中において水晶ボートの上に垂直方向に保持されてよく、外側部上のヒータがウェーハを放射加熱する)が、蒸着チャンバ内に収容され、蒸気相試薬排出ライン(例えば図14における34及び44)に対して受容関係において配置される。流出物排出ラインが、蒸着チャンバに連結される。蒸気相試薬は、蒸気相試薬排出ライン(例えば図14における34及び44)を通過して、蒸着チャンバ内に進み、任意には加熱可能サセプタの上にある基板と接触し、すべての残留流出物が、流出物排出ラインを通り排出される。流出物は、リサイクル手段、回収手段、廃棄物処理手段、処分手段、又は他の処理手段に進められ得る。
図16を参照すると、本発明は、一体型蒸気相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器(例えば20及び21)。各容器は、ソース化学物質を保持するための内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して前記蒸気相試薬を前記容器から分配することが可能である。
複数の蒸気相試薬送出マニホルド(例えばマニホルド22及び23)。前記蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器が、少なくとも1つの蒸気相試薬送出マニホルドに連結され、各蒸気相試薬送出マニホルドは、前記容器から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在する蒸気相試薬排出ライン(例えば34及び44)を備え、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブ(例えば、アンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を任意に含む。
及び、前記蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記蒸気相試薬送出マニホルド(例えば22及び23)のそれぞれ及び前記容器(例えば20及び21)のそれぞれとの通信を導くための1つ又は複数の制御装置(図示せず)。
さらに、一体型蒸気相試薬分配装置は、複数のキャリア・ガス送給マニホルド(例えば24及び25)を備え、前記キャリア・ガス送給マニホルドはそれぞれ、少なくとも1つの蒸気相試薬送出マニホルド(例えば22及び23)に連結され、各キャリア・ガス送給マニホルドは、キャリア・ガス送給ライン(例えば32及び42)を備え、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために中に1つ又は複数のキャリア・ガス流制御バルブと、キャリア・ガス送給マニホルドの圧力を監視及び制御するための圧力変換器(例えばPTA及びPTB)とを含む。
図15には、キャリア・ガス及び前駆体が多数アンプル送出システムから排出される一実施例と、純粋前駆体が多数アンプル送出システムから排出される(ニート送出)別の実施例とを示す、一体型蒸気相又は液相試薬分配装置の簡略化された概略図が示される。
図16を参照すると、本発明は、蒸着チャンバに蒸気相試薬を送出するための方法に部分的に関し、この方法は、以下のステップを含む。
(a)一体型蒸気相試薬分配装置を提供するステップ。この装置は以下のものを備える。
複数の容器(例えば容器20及び21)。各容器は、ソース化学物質を保持するための内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能である。
複数の蒸気相試薬送出マニホルド(例えばマニホルド22及び23)。前記蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器が、少なくとも1つの蒸気相試薬送出マニホルドに連結され、各蒸気相試薬送出マニホルドは、充満レベルを超えた前記内方ガス体積から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在する蒸気相試薬排出ライン(例えば34及び44)を備え、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブ(例えば、アンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を任意に含む。
及び、前記蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記蒸気相試薬送出マニホルド(例えば22及び23)のそれぞれ及び前記容器(例えば20及び21)のそれぞれとの通信を導くための1つ又は複数の制御装置(図示せず)。
さらに、この方法は以下のステップを含む。1つ又は複数の前記容器(例えば20又は21)にソース化学物質を追加するステップ。
蒸気相試薬を供給するためにソース化学物質を蒸気化するのに十分な温度まで、1つ又は複数の前記容器(例えば20又は21)内のソース化学物質を任意に加熱するステップ。
前記蒸気相試薬排出ラインを介して、前記容器の他のいずれのものからも独立的に、前記容器の中の1つから蒸気相試薬を引き出すステップ。
前記蒸気相試薬と混合するために、キャリア・ガス送給ライン(例えば32及び42)を介して、1つ又は複数の前記蒸気相試薬送出マニホルド内にキャリア・ガスを送給するステップ。
及び、前記蒸着チャンバ内に蒸気相試薬及びキャリア・ガスを送給するステップ。
上述の方法は、さらに、以下のステップを含む。
蒸着チャンバ内において、任意には加熱可能サセプタ上にある基板に、蒸気相試薬を接触させるステップ。
及び、蒸着チャンバに連結された流出物排出ラインを介してあらゆる残留流出物を排出するステップ。
上述の方法において使用される一体型蒸気相試薬分配装置は、複数のキャリア・ガス送給マニホルド(例えば24又は25)をさらに備え、前記キャリア・ガス送給マニホルドはそれぞれ、少なくとも1つの蒸気相試薬送出マニホルド(例えば22及び23)に連結され、各キャリア・ガス送給マニホルドは、キャリア・ガス送給ライン(例えば32及び42)を備え、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために中に1つ又は複数のキャリア・ガス流制御バルブ(例えばアンプル20についてはV−1、及びアンプル21についてはV−5)と、キャリア・ガス送給マニホルドの圧力を監視及び制御するための圧力変換器(例えばPTA及びPTB)とを含む。
図16に図示される一体型蒸気相試薬分配装置の作動においては、ソース化学物質(例えばAlCl)は、容器(例えば20又は21)内に配置され、このソース化学物質を蒸気化するのに十分な温度まで加熱される。蒸気相試薬は、蒸気相試薬出口開口及び蒸気相試薬排出ライン(例えば34又は44)を介して、容器から排出される。ニート前駆体蒸気は、不活性プロセス・キャリア・ガス(ライン56からの)により希釈され蒸着チャンバへと続く前に、制御バルブ又は他の計装具(例えばI−1)を通過することができる。蒸気相試薬流制御バルブ(例えば、アンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)は、蒸着チャンバに流される蒸気相試薬の流れを制御する。蒸着チャンバ内においては、蒸気相試薬は、加熱可能基板又は他の設置構造体の上に設置されたウェーハ(若しくは複数のウェーハ)又は他の基板要素(若しくは複数の基板要素)の上に蒸着される。蒸着チャンバからの流出物蒸気は、流出物排出ライン中に排出される。流出物は、リサイクル手段、回収手段、廃棄物処理手段、処分手段、又は他の処理手段に進められ得る。この実施例においては、不活性ガス・パージ・ライン32及び42は、アンプル交換の前後に、ラインから残留前駆体又は空気をパージするために使用され得る。
この作動の際に、容器内のソース化学物質充満レベルは、ソース化学物質レベル・センサによって検出され得る。次の化学気相蒸着又は原子層蒸着の実施の前に容器を交換することが可能となるように、容器内部の液体前駆体化学物質が空に近くなるときを知ることは重要である。ソース化学物質レベルは、漸減的に低下し、最終的には最低液頭(例えばサンプ空洞部内における液体の高さ)までサンプ空洞部内に下がり、この時点において、制御装置又は中央演算処理ユニットが、ソース化学物質レベル感知信号伝送ラインにより、対応する感知されたソース化学物質レベル信号を受領する。制御装置又は中央演算処理ユニットは、これに応答して、特定のキャリア・ガス流制御バルブに制御信号伝送ライン中の制御信号を伝送して、バルブを閉じ、容器へのキャリア・ガスの流れを遮断し、さらに、同時に、制御信号伝送ライン中の制御信号を伝送して、特定の蒸気相試薬流制御バルブを閉じて、容器からの蒸気相試薬の流れを遮断する。
さらに、この作動の際に、容器内のソース化学物質の温度は、温度センサにより検出され得る。蒸気圧を制御するためには、容器の内部の液体前駆体化学物質の温度を監視することが重要である。容器内のソース化学物質の温度が高くなりすぎる場合には、制御装置又は中央演算処理ユニットは、温度感知信号伝送ラインによって、対応する感知された温度信号を受領する。制御装置又は中央演算処理ユニットは、これに応答して、加熱手段に制御信号伝送ライン中の制御信号を伝送して、温度を低下させる。
蒸着チャンバは、化学気相蒸着チャンバ又は原子層蒸着チャンバであることが可能である。蒸気相試薬排出ライン(例えば34又は44)は、蒸着チャンバに蒸気相試薬分配装置を連結する。加熱可能サセプタが、蒸着チャンバ内に収容されてよく、蒸気相試薬排出ライン(例えば34又は44)に対して受容関係において配置される。流出物排出ラインが、蒸着チャンバに連結される。蒸気相試薬は、蒸気相試薬排出ライン(例えば34又は44)を通過して、蒸着チャンバ内に進み、任意には加熱可能サセプタの上にある基板に接触し、すべての残留流出物が、流出物排出ラインを通り排出される。流出物は、リサイクル手段、回収手段、廃棄物処理手段、処分手段、又は他の処理手段に進められ得る。
本発明の一体型蒸気相又は液相試薬分配装置は、例えば化学気相蒸着プロセス、原子層蒸着プロセス、及びイオン注入プロセスにおいて使用される液体及び固体ソース試薬など、液体及び固体材料の蒸気化のために有効なものであってよい。例えば、特許文献1、2007年1月29日出願の特許文献2、2007年2月27日出願の特許文献3、2004年12月17日出願の特許文献4、2007年1月29日出願の特許文献5、2007年2月27日出願の特許文献6を参照されたい。これらの開示は、参照により本明細書に組み込まれる。
図1、図5、及び図14を参照すると、本発明は、一体型蒸気相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器(例えば容器20及び21)。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、キャリア・ガス送給入口開口を有し、このキャリア・ガス送給入口開口を介してキャリア・ガスが充満レベルを超えた前記内方ガス体積内に送給されて、前記ソース化学物質の蒸気が前記キャリア・ガス中に引き込まれて、蒸気相試薬を生成することが可能となり、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能である。
複数のキャリア・ガス送給/蒸気相試薬送出マニホルド(例えばマニホルド22及び23)。前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン(例えば図14における32及び42)、及び蒸気相試薬排出ライン(例えば図14における34及び44)を備え、前記キャリア・ガス送給ラインは、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在し、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)を含み、前記蒸気相試薬排出ラインは、充満レベルを超えた前記内方ガス体積内から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を含む。
及び、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給/蒸気相試薬送出マニホルド(例えば22及び23)のそれぞれ及び前記容器(例えば20及び21)のそれぞれとの通信を導くための1つ又は複数の制御装置(図示せず)。
一体型蒸気相試薬分配装置は、複数の供給ガス・マニホルド(例えば24及び25)をさらに備え、前記供給ガス・マニホルドはそれぞれ、互いに相互連結され、各供給ガス・マニホルドは、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルド(例えば22及び23)に連結され、各供給ガス・マニホルドは、前記キャリア・ガス送給/蒸気相試薬送出マニホルドの前記キャリア・ガス送給ラインに続くキャリア・ガス送給ライン(例えば図14における32及び42)を備え、前記キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために中に1つ又は複数のキャリア・ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)と、供給ガス・マニホルドの圧力を監視及び制御するための圧力変換器(例えばPTA及びPTB)とを含む。
図1、図5、及び図14を参照すると、本発明は、蒸着チャンバに蒸気相試薬を送出するための方法に部分的に関し、この方法は、以下のステップを含む。
(b)一体型蒸気相試薬分配装置を提供するステップ。この装置は以下のものを備える。
複数の容器(例えば容器20及び21)。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画定するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、キャリア・ガス送給入口開口を有し、このキャリア・ガス送給入口開口を介してキャリア・ガスが充満レベルを超えた前記内方ガス体積内に送給されて、前記ソース化学物質の蒸気が前記キャリア・ガス中に引き込まれて、蒸気相試薬を生成することが可能となり、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、前記蒸気相試薬が前記容器から分配され得る。
複数のキャリア・ガス送給/蒸気相試薬送出マニホルド(例えばマニホルド22及び23)。前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン(例えば図14における32及び42)、及び蒸気相試薬排出ライン(例えば図14における34及び44)を備え、前記キャリア・ガス送給ラインは、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在し、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)を含み、前記蒸気相試薬排出ラインは、充満レベルを超えた前記内方ガス体積内から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を含む。
及び、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給/蒸気相試薬送出マニホルド(例えば22及び23)のそれぞれ及び前記容器(例えば20及び21)のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、この方法は以下のステップを含む。1つ又は複数の前記容器(例えば20又は21)にソース化学物質を追加するステップ。
蒸気相試薬を供給するためにソース化学物質を蒸気化するのに十分な温度まで、1つ又は複数の前記容器(例えば20又は21)内のソース化学物質を任意に加熱するステップ。
前記キャリア・ガス送給ライン(例えば図14における32及び42)を介して1つ又は複数の前記容器内にキャリア・ガスを供給するステップ。
前記蒸気相試薬排出ライン(例えば図14における34及び44)を介して、前記容器の他のいずれのものからも独立的に前記容器の中の1つ(例えば20又は21)から、蒸気相試薬及びキャリア・ガスを引き出すステップ。
及び、前記蒸着チャンバ内に蒸気相試薬及びキャリア・ガスを送給するステップ。
上述の方法は、さらに、以下のステップを含む。
蒸着チャンバ内において、任意には加熱可能サセプタ上にある基板に、蒸気相試薬を接触させるステップ。
及び、蒸着チャンバに連結された流出物排出ラインを介してあらゆる残留流出物を排出するステップ。
上述の方法において使用される一体型蒸気相試薬分配装置は、複数の供給ガス・マニホルド(例えば24又は25)をさらに備え、前記供給ガス・マニホルドはそれぞれ、互いに相互連結され、各供給ガス・マニホルドは、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルド(例えば22及び23)に連結され、各供給ガス・マニホルドは、前記キャリア・ガス送給/蒸気相試薬送出マニホルドの前記キャリア・ガス送給ラインに続くキャリア・ガス送給ライン(例えば図14における32及び42)を備え、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために中に1つ又は複数のキャリア・ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)と、供給ガス・マニホルドの圧力を監視及び制御するための圧力変換器(例えばPTA及びPTB)とを含む。
図1、図5、及び図14に図示される一体型蒸気相試薬分配装置の作動においては、ソース化学物質が、容器(例えば20又は21)内に配置され、このソース化学物質を蒸気化するのに十分な温度まで加熱される。キャリア・ガスが、キャリア・ガス送給ライン(例えば図14における32又は42)を介してキャリア・ガス送給入口開口まで流れることが可能であり、このキャリア・ガス送給入口開口から、キャリア・ガスは、充満レベルを超えた内方ガス体積内に排出される。キャリア・ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)は、内方ガス体積内に排出されるキャリア・ガス流を制御する。ソース化学物質からの蒸気が、キャリア・ガス中に引き込まれて、蒸気相試薬が生成される。
蒸気相試薬は、蒸気相試薬出口開口及び蒸気相試薬排出ライン(例えば図14における34又は44)を介して内方ガス体積から排出される。蒸気相試薬は、蒸着チャンバまで、蒸気相試薬排出ライン(例えば図14における34又は44)内を流される。蒸気相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)が、蒸着チャンバに流される蒸気相試薬の流れを制御する。蒸着チャンバ内において、蒸気相試薬は、加熱可能基板又は他の設置構造体の上に設置されたウェーハ(若しくは複数のウェーハ)又は他の基板要素(若しくは複数の基板要素)の上に蒸着される。蒸着チャンバからの流出物蒸気は、流出物排出ライン中に排出される。流出物は、リサイクル手段、回収手段、廃棄物処理手段、処分手段、又は他の処理手段に進められ得る。
この作動の際に、容器内のソース化学物質充満レベルは、ソース化学物質レベル・センサによって検出され得る。次の化学気相蒸着又は原子層蒸着の実施の前に容器を交換することが可能となるように、容器内部の液体前駆体化学物質が空に近くなるときを知ることは重要である。ソース化学物質レベルは、漸減的に低下し、最終的には最低液頭(例えばサンプ空洞部内における液体の高さ)までサンプ空洞部内に下がり、この時点において、制御装置又は中央演算処理ユニットが、ソース化学物質レベル感知信号伝送ラインにより、対応する感知されたソース化学物質レベル信号を受領する。制御装置又は中央演算処理ユニットは、これに応答して、特定のキャリア・ガス流制御バルブに制御信号伝送ライン中の制御信号を伝送して、バルブを閉じ、容器へのキャリア・ガスの流れを遮断し、さらに、同時に、制御信号伝送ライン中の制御信号を伝送して、特定の蒸気相試薬流制御バルブを閉じて、容器からの蒸気相試薬の流れを遮断する。
あるアンプルから別のアンプルへの自動交換がイネーブルにされる場合には、このシステムは、アンプル内に残っている材料の量、実施ごとの使用量、及び実施中にではなく、ウェーハ又はウェーハのバッチの実施間に交換をイネーブルにするように、実施が進行中であったというツールからの信号に関する情報を必要とする。標準的な業界的手法は、典型的には、交換後の再適正化の実施を伴い、システムは、自動交換が行われたとオペレータに警報を発する。
さらに、この作動の際に、容器の温度が、温度センサにより検出され得る。蒸気圧を制御するために、容器(例えば、液体に対するサーモウェル又は固体ソース・アンプル上の代表的スポット)の温度を監視することは、重要である。容器内のソース化学物質の温度が過剰に高くなる場合には、制御装置又は中央演算処理ユニットは、温度感知信号伝送ラインにより、対応する感知された温度信号を受領する。制御装置又は中央演算処理ユニットは、これに応答して、制御信号伝送ライン中の制御信号を加熱手段に伝送して、温度を低下させる。
蒸着チャンバは、化学気相蒸着チャンバ又は原子層蒸着チャンバであることが可能である。蒸気相試薬排出ライン(例えば図14における34及び44)は、蒸着チャンバに蒸気相試薬分配装置を連結する。加熱可能サセプタ又は蒸着基板が、蒸着チャンバ内に収容されてよく、蒸気相試薬排出ライン(例えば図14における34及び44)に対して受容関係において配置される。流出物排出ラインが、蒸着チャンバに連結される。蒸気相試薬は、蒸気相試薬排出ライン(例えば図14における34及び44)を通過して、蒸着チャンバ内に進み、任意には加熱可能サセプタの上にある基板と接触し、あらゆる残留流出物が、流出物排出ラインを通り排出される。流出物は、リサイクル手段、回収手段、廃棄物処理手段、処分手段、又は他の処理手段に進められ得る。
本発明の一体型蒸気相又は液相試薬分配装置は、例えば化学気相蒸着プロセス、原子層蒸着プロセス、及びイオン注入プロセスにおいて使用される液体及び固体ソース試薬など、液体及び固体材料の蒸気化のために有効なものであってよい。例えば、特許文献1、2007年1月29日出願の特許文献2、2007年2月27日出願の特許文献3、2004年12月17日出願の特許文献4、2007年1月29日出願の特許文献5、2007年2月27日出願の特許文献6を参照されたい。これらの開示は、参照により本明細書に組み込まれる。
図1、図5、及び図14を参照すると、本発明は、一体型蒸気相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器(例えば容器20及び21)。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、バブラ・チューブを備えるキャリア・ガス送給入口開口を有し、このバブラ・チューブは、内方ガス体積を貫通してソース化学物質中に延在し、このバブラ・チューブを介して、前記キャリア・ガスをソース化学物質中に気泡として通過させることが可能となり、それにより、ソース化学物質蒸気の少なくとも一部分が、前記キャリア・ガス中に引き込まれて、充満レベルを超えた前記内方ガス体積内への蒸気相試薬流が生成され、前記バブラ・チューブは、上壁部材に隣接する入口端部及び下壁部材に隣接する出口端部を有し、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能となる。
複数のキャリア・ガス送給/蒸気相試薬送出マニホルド(例えば容器22及び23)。前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン(例えば図14における32及び42)、及び蒸気相試薬排出ライン(例えば図14における34及び44)を備え、前記キャリア・ガス送給ラインは、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在し、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)を含み、前記蒸気相試薬排出ラインは、充満レベルを超えた前記内方ガス体積から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を任意に含む。
及び、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給/蒸気相試薬送出マニホルド(例えば22及び23)のそれぞれ及び前記容器(例えば20及び21)のそれぞれとの通信を導くための1つ又は複数の制御装置。
一体型蒸気相試薬分配装置は、複数の供給ガス・マニホルド(例えば容器24及び25)をさらに備え、前記供給ガス・マニホルドはそれぞれ、互いに相互連結され、各供給ガス・マニホルドは、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルド(例えば22及び23)に連結され、各供給ガス・マニホルドは、前記キャリア・ガス送給/蒸気相試薬送出マニホルドの前記キャリア・ガス送給ラインに続くキャリア・ガス送給ライン(例えば図14における32及び42)を備え、このキャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために中に1つ又は複数のキャリア・ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)と、供給ガス・マニホルドの圧力を監視及び制御するための圧力変換器(例えばPTA及びPTB)とを含む。
図1、図5、及び図14を参照すると、本発明は、蒸着チャンバに蒸気相試薬を送出するための方法に部分的に関し、この方法は、以下のステップを含む。
(c)一体型蒸気相試薬分配装置を提供するステップ。この装置は、以下のものを備える。
複数の容器(例えば容器20及び21)。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、バブラ・チューブを備えたキャリア・ガス送給入口開口を有し、このバブラ・チューブは、内方ガス体積を貫通してソース化学物質中に延在し、このバブラ・チューブを介して、前記キャリア・ガスをソース化学物質中に気泡として通過させることが可能となり、それにより、ソース化学物質蒸気の少なくとも一部分が、前記キャリア・ガス中に引き込まれて、充満レベルを超えた前記内方ガス体積内への蒸気相試薬流が生成され、前記バブラ・チューブは、上壁部材に隣接する入口端部及び下壁部材に隣接する出口端部を有し、上壁部材の一部分は、蒸気相試薬出口開口を有し、この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能となる。
複数のキャリア・ガス送給/蒸気相試薬送出マニホルド(例えば22及び23)。前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン(例えば図14における32及び42)、及び蒸気相試薬排出ライン(例えば図14における34及び44)を備え、前記キャリア・ガス送給ラインは、充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、上壁部材から上方及び外方に、キャリア・ガス送給入口開口から延在し、キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)を含み、前記蒸気相試薬排出ラインは、充満レベルを超えた前記内方ガス体積から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を任意に含む。
及び、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給/蒸気相試薬送出マニホルド(例えば容器22及び23)のそれぞれ及び前記容器(例えば容器20及び21)のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、この方法は以下のステップを含む。1つ又は複数の前記容器(例えば20又は21)にソース化学物質を追加するステップ。
蒸気相試薬を供給するためにソース化学物質を蒸気化するのに十分な温度まで、1つ又は複数の前記容器(例えば20又は21)内のソース化学物質を加熱するステップ。
前記キャリア・ガス送給ライン(例えば図14における32及び42)及び前記バブラ・チューブを介して1つ又は複数の前記容器内にキャリア・ガスを供給するステップ。
前記蒸気相試薬排出ライン(例えば図14における34及び44)を介して、前記容器の他のいずれのものからも独立的に前記容器の中の1つ(例えば20又は21)から、蒸気相試薬及びキャリア・ガスを引き出すステップ。
及び、前記蒸着チャンバ内に蒸気相試薬及びキャリア・ガスを送給するステップ。
上述の方法は、さらに、以下のステップを含む。
蒸着チャンバ内において、任意には加熱可能サセプタ上にある基板に、蒸気相試薬を接触させるステップ。
及び、蒸着チャンバに連結された流出物排出ラインを介してあらゆる残留流出物を排出するステップ。
上述の方法において使用される一体型蒸気相試薬分配装置は、複数の供給ガス・マニホルド(例えば24又は25)をさらに備え、前記供給ガス・マニホルドはそれぞれ、互いに相互連結され、各供給ガス・マニホルドは、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルド(例えば22及び23)に連結され、各供給ガス・マニホルドは、前記キャリア・ガス送給/蒸気相試薬送出マニホルドの前記キャリア・ガス送給ラインに続くキャリア・ガス送給ライン(例えば図14における32及び42)を備え、このキャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために中に1つ又は複数のキャリア・ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)と、供給ガス・マニホルドの圧力を監視及び制御するための圧力変換器(例えばPTA及びPTB)とを含む。
図1、図5、及び図18に図示される一体型蒸気相試薬分配装置の作動においては、ソース化学物質は、容器(例えば20又は21)内に配置され、ソース化学物質を蒸気化させるのに十分な温度にまで加熱される。キャリア・ガスが、キャリア・ガス送給ライン(例えば図14における32又は42)を介してキャリア・ガス送給入口開口まで流され、バブラ・チューブを通り、そこからソース化学物質中に気泡として通される。キャリア・ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)は、ソース化学物質中に排出されるキャリア・ガス流を制御する。ソース化学物質からの蒸気が、キャリア・ガス中に引き込まれて、蒸気相試薬が生成される。
蒸気相試薬は、蒸気相試薬出口開口及び蒸気相試薬排出ライン(例えば図14における34又は44)を介して内方ガス体積から排出される。蒸気相試薬は、蒸着チャンバまで、蒸気相試薬排出ライン(例えば図14における34又は33)内を流される。蒸気相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)が、蒸着チャンバに流される蒸気相試薬の流れを制御する。蒸着チャンバ内において、蒸気相試薬は、加熱可能基板又は他の設置構造体の上に設置されたウェーハ(若しくは複数のウェーハ)又は他の基板要素(若しくは複数の基板要素)の上に蒸着される。蒸着チャンバからの流出物蒸気は、流出物排出ライン中に排出される。流出物は、リサイクル手段、回収手段、廃棄物処理手段、処分手段、又は他の処理手段に進められ得る。
この作動の際に、容器内のソース化学物質充満レベルは、ソース化学物質レベル・センサによって検出され得る。次の化学気相蒸着又は原子層蒸着の実施の前に容器を交換することが可能となるように、容器内部の液体前駆体化学物質が空に近くなるときを知ることは重要である。ソース化学物質レベルは、漸減的に低下し、最終的には最低液頭(例えばサンプ空洞部内における液体の高さ)までサンプ空洞部内に下がり、この時点において、中央演算処理ユニットが、ソース化学物質レベル感知信号伝送ラインにより、対応する感知されたソース化学物質レベル信号を受領する。中央演算処理ユニットは、これに応答して、キャリア・ガス流制御バルブに制御信号伝送ライン中の制御信号を伝送して、バルブを閉じ、容器へのキャリア・ガスの流れを遮断し、さらに、同時に、制御信号伝送ライン中の制御信号を伝送して、蒸気相試薬流制御バルブを閉じて、容器からの蒸気相試薬の流れを遮断する。
さらに、この作動の際に、容器内のソース化学物質の温度が、温度センサにより検出される。蒸気圧を制御するために、容器の内部の液体前駆体化学物質の温度を監視することは、重要である。容器内のソース化学物質の温度が過剰に高くなる場合には、制御装置又は中央演算処理ユニットは、温度感知信号伝送ラインにより、対応する感知された温度信号を受領する。制御装置又は中央演算処理ユニットは、これに応答して、制御信号伝送ライン中の制御信号を加熱手段に伝送して、温度を低下させる。
蒸着チャンバは、化学気相蒸着チャンバ又は原子層蒸着チャンバであることが可能である。蒸気相試薬排出ライン(例えば図14における34及び44)は、蒸着チャンバに蒸気相試薬分配装置を連結する。加熱可能サセプタが、蒸着チャンバ内に収容されてよく、蒸気相試薬排出ライン(例えば図14における34及び44)に対して受容関係において配置される。流出物排出ラインが、蒸着チャンバに連結される。蒸気相試薬は、蒸気相試薬排出ライン(例えば図14における34及び44)を通過して、蒸着チャンバ内に進み、任意には加熱可能サセプタの上にある基板と接触し、あらゆる残留流出物が、流出物排出ラインを通り排出される。流出物は、リサイクル手段、回収手段、廃棄物処理手段、処分手段、又は他の処理手段に進められ得る。
本発明の一体型蒸気相又は液相試薬分配装置、すなわちバブラは、例えば化学気相蒸着プロセス、原子層蒸着プロセス、及びイオン注入プロセスにおいて使用される液体及び固体ソース試薬など、液体及び固体材料の蒸気化のために有効なものであってよい。例えば、特許文献1、2007年1月29日出願の特許文献2、2007年2月27日出願の特許文献3、2004年12月17日出願の特許文献4、2007年1月29日出願の特許文献5、2007年2月27日出願の特許文献6を参照されたい。これらの開示は、参照により本明細書に組み込まれる。
図1、図5、及び図14を参照すると、本発明は、一体型蒸気相試薬分配装置に部分的に関し、この装置は、以下のものを備える。
複数の容器(例えば容器20及び21)。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、不活性ガス送給入口開口を有し、この不活性ガス送給入口開口を介して、前記不活性ガスを、充満レベルを超えた内方ガス体積内に送給して、充満レベルを超えた内方ガス体積を加圧することが可能となり、上壁部材の一部分は、ディップチューブを備える液相試薬出口開口を有し、このディップチューブは、内方ガス体積を貫通してソース化学物質中に延在し、このディップチューブを介して、液相試薬を前記装置から分配することが可能となり、前記ディップチューブは、上壁部材に隣接する出口端部及び下壁部材に隣接する入口端部を有する。
複数の不活性ガス送給/液相試薬送出マニホルド(例えば22及び23)。前記不活性ガス送給/液相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つの不活性ガス送給/液相試薬送出マニホルドに連結され、各不活性ガス送給/液相試薬送出マニホルドは、不活性ガス送給ライン(例えば図14における32及び42)、及び液相試薬排出ライン(例えば図14における34及び44)を備え、前記不活性ガス送給ラインは、充満レベルを超えた前記内方ガス体積内に不活性ガスを送出するために、上壁部材から上方及び外方に、不活性ガス送給入口開口から延在し、不活性ガス送給ラインは、中を通る不活性ガスの流れを制御するために、中に1つ又は複数の不活性ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)を含み、前記液相試薬排出ラインは、前記容器から液相試薬を除去するために、上壁部材から上方及び外方に、液相試薬出口開口から延在し、液相試薬排出ラインは、中を通る液相試薬の流れを制御するために、中に1つ又は複数の液相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を任意に含む。
及び、前記不活性ガス送給/液相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記不活性ガス送給/液相試薬送出マニホルド(例えば22及び23)のそれぞれ及び前記容器(例えば20及び21)のそれぞれとの通信を導くための1つ又は複数の制御装置。
一体型液相試薬分配装置は、複数の供給ガス・マニホルド(例えば24及び25)をさらに備え、前記供給ガス・マニホルドはそれぞれ、互いに相互連結され、各供給ガス・マニホルドは、少なくとも1つの不活性ガス送給/液相試薬送出マニホルド(例えば22及び23)に連結され、各供給ガス・マニホルドは、前記不活性ガス送給/液相試薬送出マニホルドの前記不活性ガス送給ラインに続く不活性ガス送給ライン(例えば図14における32及び42)を備え、この不活性ガス送給ラインは、中を通る不活性ガスの流れを制御するために中に1つ又は複数の不活性ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)と、供給ガス・マニホルドの圧力を監視及び制御するための圧力変換器(例えばPTA及びPTB)とを含む。
図1、図5、及び図14を参照すると、本発明は、蒸着チャンバに蒸気相試薬を送出するための方法に部分的に関し、この方法は、以下のステップを備える。
(d)一体型蒸気相試薬分配装置を提供するステップ。この装置は、以下のものを備える。
複数の容器(例えば容器20及び21)。各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分は、不活性ガス送給入口開口を有し、この不活性ガス送給入口開口を介して、前記不活性ガスを、充満レベルを超えた内方ガス体積内に送給して、充満レベルを超えた内方ガス体積を加圧することが可能となり、上壁部材の一部分は、ディップチューブを備えた液相試薬出口開口を有し、このディップチューブは、内方ガス体積を貫通してソース化学物質中に延在し、このディップチューブを介して、液相試薬を前記装置から分配することが可能であり、前記ディップチューブは、上壁部材に隣接する出口端部及び下壁部材に隣接する入口端部を有する。
複数の不活性ガス送給/液相試薬送出マニホルド(例えば22及び23)。前記不活性ガス送給/液相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つの不活性ガス送給/液相試薬送出マニホルドに連結され、各不活性ガス送給/液相試薬送出マニホルドは、不活性ガス送給ライン(例えば図14における32及び42)、及び液相試薬排出ライン(例えば図14における34及び44)を備え、前記不活性ガス送給ラインは、充満レベルを超えた前記内方ガス体積内に不活性ガスを送出するために、上壁部材から上方及び外方に、不活性ガス送給入口開口から延在し、不活性ガス送給ラインは、中を通る不活性ガスの流れを制御するために、中に1つ又は複数の不活性ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)を含み、前記液相試薬排出ラインは、前記容器から液相試薬を除去するために、上壁部材から上方及び外方に、液相試薬出口開口から延在し、液相試薬排出ラインは、中を通る液相試薬の流れを制御するために、中に1つ又は複数の液相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)を任意に含む。
及び、前記不活性ガス送給/液相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記不活性ガス送給/液相試薬送出マニホルド(例えば容器22及び23)のそれぞれ及び前記容器(例えば容器20及び21)のそれぞれとの通信を導くための1つ又は複数の制御装置。
さらに、この方法は以下のステップを含む。1つ又は複数の前記容器(例えば20又は21)にソース化学物質を追加するステップ。
液相試薬を供給するために固体ソース化学物質を溶解するのに十分な温度まで、1つ又は複数の前記容器(例えば20又は21)内の固体ソース化学物質を任意に加熱するステップ。
前記不活性ガス送給ライン(例えば図14における32及び42)を介して1つ又は複数の前記容器内に不活性ガスを供給するステップ。
前記ディップチューブ及び前記液相試薬排出ライン(例えば図14における34及び44)を介して、前記容器の他のいずれのもの(例えば20又は21)からも独立的に前記容器の中の1つから液相試薬を引き出すステップ。
蒸気化装置を提供するステップ。この蒸気化装置は、以下のものを備える。
液相試薬を蒸気化するために内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備える容器。
前記蒸気化装置に一体型液相試薬分配装置を連結する、前記液相試薬排出ライン。
キャリア・ガス送給入口開口を有する蒸気化装置の一部分。このキャリア・ガス送給入口開口を介して、キャリア・ガスを前記蒸気化装置内に送給して、前記液相試薬の蒸気を前記キャリア・ガス内に引き込ませて、蒸気相試薬を生成することが可能となる。
蒸気相試薬出口開口を有する蒸気化装置の一部分。この蒸気相試薬出口開口を介して、前記蒸気相試薬を前記蒸気化装置から分配することが可能となる。
前記蒸気化装置内にキャリア・ガスを送出するために、蒸気化装置から上方及び外方に、キャリア・ガス送給入口開口から延在する、キャリア・ガス送給ライン。このキャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含む。
前記蒸気化装置から前記蒸着チャンバに蒸気相試薬を移動させるために、蒸気化装置から上方及び外方に、蒸気相試薬出口開口から延在する、蒸気相試薬排出ライン。この蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、蒸気相試薬排出ライン中に1つ又は複数の蒸気相試薬流制御バルブを含む。
さらに、この方法は以下のステップを含む。前記蒸気化装置内に液相試薬を送給するステップ。
前記蒸気相試薬を生成するために、液相試薬を蒸気化するのに十分な温度まで前記蒸気化装置内の液相試薬を加熱するステップ。
前記キャリア・ガス送給ラインを介して前記蒸気化装置内にキャリア・ガスを送給するステップ。
前記蒸気相試薬排出ラインを介して前記蒸気化装置から蒸気相試薬及びキャリア・ガスを引き出すステップ。
及び、前記蒸着チャンバ内に蒸気相試薬及びキャリア・ガスを送給するステップ。
上述の方法は、さらに以下のステップを含む。
蒸着チャンバ内において、任意には加熱可能サセプタ上にある基板に、蒸気相試薬を接触させるステップ。
及び、蒸着チャンバに連結された流出物排出ラインを介してあらゆる残留流出物を排出するステップ。
上述の方法において使用される一体型液相試薬分配装置は、複数の供給ガス・マニホルド(例えば24及び25)をさらに備え、前記供給ガス・マニホルドはそれぞれ、互いに相互連結され、各供給ガス・マニホルドは、少なくとも1つの不活性ガス送給/液相試薬送出マニホルド(例えば22及び23)に連結され、各供給ガス・マニホルドは、前記不活性ガス送給/液相試薬送出マニホルドの前記不活性ガス送給ラインに続く不活性ガス送給ライン(例えば図14における32及び42)を備え、不活性ガス送給ラインは、中を通る不活性ガスの流れを制御するために中に1つ又は複数の不活性ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)と、供給ガス・マニホルドの圧力を監視及び制御するための圧力変換器(例えばPTA及びPTB)とを含む。
図1、図5、及び図18に図示される一体型液相試薬分配装置の作動においては、ソース化学物質は、容器(例えば20又は21)内に配置され、不活性ガスが、不活性ガス送給ライン(例えば図14における32又は42)を介して不活性ガス送給入口開口まで流され、充満レベルを超えた内方ガス体積内に進んで、充満レベルを超えた内方ガス体積を加圧することが可能となる。不活性ガス流制御バルブ(例えばアンプル20についてはV−1、V−3、V−6、及びV−8、並びにアンプル21についてはV−4、V−5、V−11、及びV−18など)は、充満レベルを超えた内方ガス体積内に排出される不活性ガス流を制御する。
液相試薬は、液相試薬出口開口(例えばディップチューブ)及び液相試薬排出ライン(例えば図14における34又は44)を介して容器(例えば20又は21)から排出される。液相試薬は、蒸着チャンバまで、液相試薬排出ライン(例えば図14における34又は44)内を流される。液相試薬流制御バルブ(例えばアンプル20についてはV−7、V−9、V−15、及びV−16、並びにアンプル21についてはV−12、V−13、V−14、及びV−17など)が、蒸気化装置に流される液相試薬の流れを制御する。
蒸気化装置内において、液相試薬は、後の蒸着作業のためのソース蒸気を形成するために蒸気化される。さらに、蒸気化装置は、液相試薬の蒸気化により生成されるソース蒸気と組み合わせる又はこのソース蒸気を覆うためのキャリア・ガスを受けてもよい。代替としては、ソース蒸気は、ニートな形態において下流の蒸着作業へと送られてよい。いずれの場合においても、蒸気化装置からのソース蒸気は、蒸気相試薬排出ラインを通り蒸着チャンバまで流される。蒸着チャンバ内においては、蒸気相試薬は、加熱可能基板又は他の設置構造体の上に設置されたウェーハ(若しくは複数のウェーハ)又は他の基板要素(若しくは複数の基板要素)の上に蒸着される。蒸着チャンバからの流出物蒸気は、流出物排出ライン中に排出される。流出物は、リサイクル手段、回収手段、廃棄物処理手段、処分手段、又は他の処理手段に進められ得る。
この作動の際に、容器内のソース化学物質充満レベルは、ソース化学物質レベル・センサによって検出され得る。次の化学気相蒸着又は原子層蒸着の実施の前に容器を交換することが可能となるように、容器内部の液体前駆体化学物質が空に近くなるときを知ることは重要である。ソース化学物質レベルは、漸減的に低下し、最終的には最低液頭(例えばサンプ空洞部内における液体の高さ)までサンプ空洞部内に下がり、この時点において、中央演算処理ユニットが、ソース化学物質レベル感知信号伝送ラインにより、対応する感知されたソース化学物質レベル信号を受領する。中央演算処理ユニットは、これに応答して、キャリア・ガス流制御バルブに制御信号伝送ライン中の制御信号を伝送して、バルブを閉じ、容器へのキャリア・ガスの流れを遮断し、さらに、同時に、制御信号伝送ライン中の制御信号を伝送して、液相試薬流制御バルブを閉じて、容器からの液相試薬の流れを遮断する。
さらに、この作動の際に、容器内のソース化学物質の温度が、温度センサにより検出される。蒸気圧を制御するために、容器の内部の液体前駆体化学物質の温度を監視することは、重要である。容器内のソース化学物質の温度が過剰に高くなる場合には、中央演算処理ユニットは、温度感知信号伝送ラインにより、対応する感知された温度信号を受領する。中央演算処理ユニットは、これに応答して、制御信号伝送ライン中の制御信号を加熱手段に伝送して、温度を低下させる。
本発明の一体型液相試薬分配装置は、化学気相蒸着プロセス、原子層蒸着プロセス、及びイオン注入プロセスにおいて使用される前駆体などの試薬の分配のために有効なものであってよく、容器から液体試薬の高レベルの引き出しを実現し得る。例えば、特許文献7、2007年1月29日出願の特許文献2、2007年2月27日出願の特許文献3、2004年12月17日出願の特許文献4、2007年1月29日出願の特許文献5、2007年2月27日出願の特許文献6を参照されたい。これらの開示は、参照により本明細書に組み込まれる。
蒸着チャンバは、化学気相蒸着チャンバ又は原子層蒸着チャンバであることが可能である。液相試薬排出ライン(例えば図14における34又は44)は、蒸気化装置に液相試薬分配装置を連結する。蒸気化装置は、蒸気化装置から上方及び外方に、キャリア・ガス送給入口開口から延在するキャリア・ガス送給ラインを有し、このキャリア・ガス送給ラインを介して、キャリア・ガスを蒸気化装置に送給して、前記液相試薬の蒸気をキャリア・ガス中に引き込ませ、蒸気相試薬を生成することが可能となる。キャリア・ガス送給ラインは、中を通るキャリア・ガスの流れを制御するためのキャリア・ガス流制御バルブを含む。キャリア・ガス送給ラインは、キャリア・ガス源に結合される。キャリア・ガス源は、例えば高圧ガス・シリンダ、極低温空気分離プラント、又は圧力スイング空気分離ユニットなどの、任意の適切なタイプもののであることが可能であり、キャリア・ガス送給ラインに例えば窒素、アルゴン、ヘリウム等々のキャリア・ガスを供給する。
蒸気化装置は、蒸気化装置から上方及び外方に、蒸気相試薬出口開口から延在する蒸気相試薬排出ラインを有し、この蒸気相試薬排出ラインを介して、蒸気相試薬を蒸気化装置から蒸着チャンバに分配することが可能となる。蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に蒸気相試薬流制御バルブを含む。
加熱可能サセプタが、蒸着チャンバ内に収容されてよく、蒸気相試薬排出ラインに対して受容関係において配置される。流出物排出ラインが、蒸着チャンバに連結される。蒸気相試薬は、蒸気相試薬排出ラインを介して蒸着チャンバ内に進み、任意には加熱可能サセプタの上にある基板と接触し、あらゆる残留流出物が、残留物排出ラインを通り排出される。流出物は、リサイクル手段、回収手段、廃棄物処理手段、処分手段、又は他の処理手段に進められ得る。
本発明の一実施例においては、有機金属複合物が、粉末、膜、又は被覆部を形成するための蒸気相蒸着技術において使用される。この複合物は、単一のソース前駆体として使用されるか、又は、例えば少なくとも1つの他の有機金属複合物若しくは金属錯体を加熱することにより生成される蒸気となど、1つ又は複数の他の前駆体と共に使用され得る。
蒸着は、他の蒸気相成分の存在の下で実施することが可能である。本発明の一実施例においては、膜蒸着は、少なくとも1つの非反応キャリア・ガスの存在の下で実施される。非反応ガスの実例には、例えば窒素、アルゴン、ヘリウムなどの不活性ガス、並びに、プロセス条件下において有機金属複合物前駆体と反応しない他のガスが含まれる。他の実施例においては、膜蒸着は、少なくとも1つの反応ガスの存在の下で実施される。使用され得るいくつかの反応ガスには、ヒドラジン、酸素、水素、空気、酸素富化空気、オゾン(O)、亜酸化窒素(NO)、水蒸気、有機蒸気、アンモニア、及び他のものが含まれるが、それらに限定されない。当技術分野において知られているように、例えば、空気、酸素、酸素富化空気、O、NO、又は酸化有機複合物の蒸気などの、酸化ガスの存在は、金属酸化膜の形成を促進する。
本明細書において説明される蒸着方法は、単金属を含む膜、粉末、若しくは被覆物を形成するために、又は、単金属酸化物を含む膜、粉末、若しくは被覆物を形成するために、実施することが可能である。さらに、例えば混合金属酸化膜など、混合膜、混合粉末、又は混合被覆物を蒸着することも可能である。混合金属酸化膜は、例えば、少なくとも1つが上述の有機金属複合物から選択される複数の有機金属前駆体を使用することにより、形成することが可能である。
蒸気相膜蒸着は、例えば1nm未満から1mmを超える範囲における、所望の厚さの膜層を形成するために実施することが可能である。本明細書において説明される前駆体は、例えば約10nmから約100nmの範囲における厚さを有する膜など、薄膜を生成するためにとりわけ有効である。例えば、本発明の膜は、とりわけ論理回路中のnチャネル金属電極として、DRAM用途のためのコンデンサ電極として、及び誘電体材料として、金属電極を製造するためのものと見なすことが可能である。
さらに、この蒸着方法は、少なくとも2つの層が相又は組成において異なる層状膜を準備するためにも適している。層状膜の実例には、金属−絶縁体−半導体、及び金属−絶縁体−金属が含まれる。
有機金属複合物前駆体は、原子層蒸着プロセス、化学気相蒸着プロセス、又は、より具体的には、当技術分野において知られている有機金属化学気相蒸着プロセスにおいて、使用することが可能である。例えば、上述の有機金属複合物前駆体は、大気圧並びに低圧の、化学気相蒸着プロセスにおいて使用することが可能である。これらの複合物は、反応チャンバ全体が加熱される方法であるホット・ウォール化学気相蒸着において、並びに、基板のみが加熱される技術であるコールド・ウォール又はウォーム・ウォール・タイプ化学気相蒸着において、使用することが可能である。
さらに、上述の有機金属複合物前駆体は、化学気相蒸着前駆体を活性化するために、プラズマ・エネルギー又は電磁エネルギーからのエネルギーがそれぞれ使用される、プラズマ化学気相蒸着プロセス又は光化学気相蒸着プロセスにおいて使用することが可能である。さらに、これらの複合物は、化学気相蒸着前駆体を分解するためのエネルギーを供給するために基板に対してイオン・ビーム又は電子ビームをそれぞれ向ける、イオン・ビーム化学気相蒸着プロセス、電子ビーム化学気相蒸着プロセスにおいて使用することも可能である。化学気相蒸着前駆体の光分解反応に作用するために基板に対してレーザ光を向ける、レーザ化学気相蒸着プロセスもまた、使用することが可能である。
この蒸着方法は、当技術分野において知られているように、例えば、ホット・ウォール反応器、コールド・ウォール反応器、プラズマ反応器、ビーム反応器、又はレーザ反応器などの、様々な化学気相蒸着反応器において実施することが可能である。
この蒸着チャンバにおいて使用可能な例示の基板には、例えば、金属、金属シリサイド、半導体、絶縁体、バリア材料、セラミックス、及びグラファイトから選択される材料などが含まれる。好ましい基板は、パターニングされたウェーハである。この蒸着方法を使用して被覆され得る基板の実例には、例えばAl、Ni、Ti、Co、Pt、Taなどの金属基板、例えばTiSi、CoSi、NiSiなどの金属シリサイド、例えばSi、SiGe、GaAs、InP、ダイアモンド、GaN、SiCなどの半導体材料、例えばSiO、Si、HfO、Ta、Al、バリウムストロンチウムチタン酸塩(BST)などの絶縁体、例えばTiN、TaNなどのバリア材料、又は、複数材料の組合せを含む基板などの、固体基板が含まれる。さらに、膜又は被覆物は、ガラス、セラミックス、プラスチック、熱硬化性ポリマー材料、及び他の被覆物又は膜層の上に形成することが可能である。好ましい一実施例においては、膜蒸着は、電子構成要素の製造又は加工において使用される基板の上におけるものである。他の実施例においては、酸化剤の存在下において高温にて安定する低抵抗導体蒸着物又は光伝導性膜を支持するために、基板が使用される。
この蒸着方法は、平滑で平坦な表面を有する基板の上に膜を蒸着するために実施することが可能である。一実施例においては、この方法は、ウェーハ製造又はウェーハ加工において使用される基板の上に膜を蒸着するために実施される。例えば、この方法は、トレンチ、ホール、又はビアなどのフィーチャを含むパターニングされた基板の上に膜を蒸着するために実施することが可能である。さらに、この蒸着方法は、例えばマスキング、エッチング、及び他など、ウェーハ製造又はウェーハ加工における他のステップと組み合わせることも可能である。
化学気相蒸着膜は、所望の厚さに蒸着することが可能である。例えば、形成される膜は、1ミクロン未満の厚さが可能であり、好ましくは500ナノメートル未満の厚さが可能であり、より好ましくは200ナノメートル未満の厚さが可能である。例えば約0.1から20ナノメートルの間の厚さを有する膜など、50ナノメートル未満の厚さの膜を製造することも可能である。
さらに、上述の有機金属複合物前駆体は、本発明の方法において、基板が前駆体流、酸化剤流、及び不活性ガス流の交番パルスにさらされる、原子層蒸着技術又は原子層核形成技術により膜を形成するために使用することも可能である。連続層蒸着技術は、例えば、特許文献8及び特許文献9などにおいて説明される。これら両特許の開示は、参照によりその全体として本明細書に組み込まれる。
例えば、1つの原子層蒸着サイクルにおいて、基板は、段階的に、a)不活性ガス、b)前駆体蒸気を搬送する不活性ガス、c)不活性ガス、及び、d)単独の又は不活性ガスを伴う不活性ガスに、さらされる。一般的には、各ステップは、設備が許容する限り短い(例えばミリ秒)、及びプロセスが要するだけ長い(例えば数秒又は数分)ことが可能である。1サイクルの期間は、ミリ秒の短さから分の長さまで可能である。このサイクルは、数分から数時間の範囲に及び得る期間にわたって反復される。生成される膜は、例えば1ミリメートル(mm)など、数ナノメートルの薄さ又はそれ以上の厚さが可能である。
したがって、本発明の手段及び方法は、蒸気相又は液相試薬の供給及び分配のためのシステムの提供において、当技術分野における大きな進歩を達成し、これにより、蒸気相試薬又は液相試薬を選択的に分配する用途において、元々供給されるソース化学物質の体積の95〜98%を使用することが可能となる。2部アンプルの洗浄の容易化により、1部アンプルにより達成され得る事柄を越えて、これらのアンプルの再利用が可能となる。
これに対応して、半導体製品及び超伝導体製品の製造などの作業において、本発明の手段及び方法により、ソース化学物質の無駄を、分配容器内に元々充填されている体積の2〜5%の低いレベルまで削減することが可能となり、アンプルを多数回繰り返して再利用することが可能となる。
したがって、本発明の実施により、ソース化学物質供給及び蒸気相又は液相試薬分配システムと、分配された蒸気相又は液相試薬が使用されるプロセスとの経済性が、著しく改善される。いくつかの例においては、本発明により、実際問題として先行技術の実施の無駄レベル特性によって妨げられていたソース化学物質の費用効果の高い利用が可能となり得る。
本発明のさらなる利点として、蒸気相又は液相試薬分配作業の終わりにおける容器内のソース化学物質の残量を減らすことにより、かかる先行プラクティスに比較して、供給容器からの元々の充填された液体の使用量が増加することによる、供給容器の作動時間がより長くなる結果として、使用済み供給容器がプロセス・システムにより換えられ、さらなる加工のために別の容器と交換される交換時間が、最小限に抑えられ得る。
本発明の様々な修正形態及び変形形態が、当業者には明らかであり、かかる修正形態及び変形形態が、本出願の全範囲と特許請求の範囲の趣旨及び範囲とに含まれることとなることが理解される。
本発明の特定の実施例と見なされるものが、示され、説明されたが、当然ながら、本発明の趣旨及び範囲から逸脱することなく形態又は詳細における様々な修正及び変更を容易に行い得ることが、理解されよう。したがって、本発明は、本明細書において示され説明された正確な形態及び詳細にも限定されず、本明細書において開示され以降において特許請求される本発明の全範囲を下回るいかなるものにも限定されないことが、意図される。

Claims (20)

  1. 複数の容器であって、各容器が、充填レベルまでソース化学物質を保持するための、及び充填レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分が、キャリア・ガス送給入口開口を有し、前記キャリア・ガス送給入口開口を介してキャリア・ガスが充満レベルを超えた前記内方ガス体積内に送給されて、前記ソース化学物質の蒸気が前記キャリア・ガス中に引き込まれて、蒸気相試薬を生成することが可能となり、上壁部材の一部分は、蒸気相試薬出口開口を有し、前記蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能である、複数の容器と、
    複数のキャリア・ガス送給/蒸気相試薬送出マニホルドであって、前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン及び蒸気相試薬排出ラインを備え、前記キャリア・ガス送給ラインは、前記充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、前記上壁部材から上方及び外方に、前記キャリア・ガス送給入口開口から延在し、前記キャリア・ガス送給ラインは、中を通る前記キャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含み、前記蒸気相試薬排出ラインは、前記充満レベルを超えた前記内方ガス体積内から蒸気相試薬を除去するために、前記上壁部材から上方及び外方に、前記蒸気相試薬出口開口から延在し、前記蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを含む、複数のキャリア・ガス送給/蒸気相試薬送出マニホルドと、
    前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置と
    を備える、一体型蒸気相試薬分配装置。
  2. 複数の容器であって、各容器が、充満レベルまでソース化学物質を保持するための、及び前記充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、前記上壁部材の一部分が、バブラ・チューブを備えるキャリア・ガス送給入口開口を有し、前記バブラ・チューブは、内方ガス体積を貫通してソース化学物質中に延在し、前記バブラ・チューブを介して、前記キャリア・ガスを前記ソース化学物質中に気泡として通過させることが可能となり、それにより、ソース化学物質蒸気の少なくとも一部分が、前記キャリア・ガス中に引き込まれて、前記充満レベルを超えた前記内方ガス体積への蒸気相試薬流が生成され、前記バブラ・チューブは、前記上壁部材に隣接する入口端部及び前記下壁部材に隣接する出口端部を有し、前記上壁部材の一部分は、蒸気相試薬出口開口を有し、前記蒸気相試薬出口開口を介して、前記蒸気相試薬を前記容器から分配することが可能となる、複数の容器と、
    複数のキャリア・ガス送給/蒸気相試薬送出マニホルドであって、前記キャリア・ガス送給/蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給/蒸気相試薬送出マニホルドは、キャリア・ガス送給ライン及び蒸気相試薬排出ラインを備え、前記キャリア・ガス送給ラインは、前記充満レベルを超えた前記内方ガス体積内にキャリア・ガスを送出するために、前記上壁部材から上方及び外方に、前記キャリア・ガス送給入口開口から延在し、前記キャリア・ガス送給ラインは、中を通る前記キャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含み、前記蒸気相試薬排出ラインは、前記充満レベルを超えた前記内方ガス体積から蒸気相試薬を除去するために、上壁部材から上方及び外方に、蒸気相試薬出口開口から延在し、前記蒸気相試薬排出ラインは、中を通る前記蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを任意に含む、複数のキャリア・ガス送給/蒸気相試薬送出マニホルドと、
    前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置と
    を備える、一体型蒸気相試薬分配装置。
  3. 複数の供給ガス・マニホルドをさらに備え、前記供給ガス・マニホルドはそれぞれ、互いに相互連結され、各供給ガス・マニホルドは、少なくとも1つのキャリア・ガス送給/蒸気相試薬送出マニホルドに連結され、各供給ガス・マニホルドは、前記キャリア・ガス送給/蒸気相試薬送出マニホルドの前記キャリア・ガス送給ラインに続くキャリア・ガス送給ラインを備え、前記キャリア・ガス送給ラインは、中を通る前記キャリア・ガスの流れを制御するために中に1つ又は複数のキャリア・ガス流制御バルブと、前記供給ガス・マニホルドの圧力を監視及び制御するための圧力変換器とを含む、請求項1及び2に記載の一体型蒸気相試薬分配装置。
  4. 化学気相蒸着チャンバ及び原子層蒸着チャンバから選択される蒸着チャンバと、
    前記蒸着チャンバに前記一体型蒸気相試薬分配装置を連結する、前記蒸気相試薬排出ラインと、
    前記蒸着チャンバ内に収容され、前記蒸気相試薬排出ラインに対して受容関係において配置される、任意の加熱可能なサセプタと、
    前記蒸着チャンバに連結される流出物排出ラインと
    をさらに備え、蒸気相試薬は、前記蒸気相試薬排出ラインを通り前記蒸着チャンバ内に進み、任意には前記加熱サセプタの上にある基板と接触し、あらゆる残留流出物が、前記流出物排出ラインを介して排出される、請求項3に記載の一体型蒸気相試薬分配装置。
  5. 前記制御装置は、前記供給ガス・マニホルドがそれぞれ、互いに独立的に作動可能となり、前記キャリア・ガス送給/蒸気相試薬送出マニホルドがそれぞれ、互いに独立的に作動可能となり、前記容器がそれぞれ、互いに独立的に作動可能となるように、前記供給ガス・マニホルドのそれぞれ、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ、前記容器のそれぞれ、及び前記蒸着チャンバとの通信を導くためのアルゴリズムを有する、請求項4に記載の一体型蒸気相試薬分配装置。
  6. (i)前記制御装置は、前記供給ガス・マニホルドのそれぞれ、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ、及び前記容器のそれぞれから、デジタル入力及びアナログ入力を受領し、動作を実施するために前記デジタル入力及び前記アナログ入力を使用し、(ii)前記制御装置は、前記蒸着チャンバからコマンド入力を受領し、動作を実施するために前記コマンド入力を使用し、前記動作は、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ、前記容器のそれぞれ、及び前記供給ガス・マニホルドのそれぞれにおける別個の温度区域における温度を制御することと、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ及び前記供給ガス・マニホルドのそれぞれの中のバルブを制御することと、前記キャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ、前記容器のそれぞれ、及び前記供給ガス・マニホルドのそれぞれにおけるフィードバックについて、熱電対及びバルブ位置インジケータを監視することと、前記蒸着チャンバから、前記アクティブなキャリア・ガス送給/蒸気相試薬送出マニホルドのそれぞれ及び前記アクティブな供給ガス・マニホルドのそれぞれに、電気的及び空気圧的バルブ作動信号を中継することと、キャビネットの緊急ガス遮断(EGO)、温度警告、温度警報、バルブ位置情報、レベル・センサ情報、及び他の警報を伴い、前記蒸着チャンバに通信することとを含む、請求項4に記載の一体型蒸気相試薬分配装置。
  7. 前記制御装置は、プログラマブル論理制御装置を備え、前記制御装置は、コンピュータに前記デジタル入力及び前記アナログ入力と前記コマンド入力とを中継し、ユーザが前記動作を監視することが可能となる、請求項6に記載の一体型蒸気相試薬分配装置。
  8. (a)請求項1に記載の一体型蒸気相試薬分配装置を提供するステップと、
    (b)1つ又は複数の前記容器にソース化学物質を追加するステップと、
    (c)蒸気相試薬を生成するために前記ソース化学物質を蒸気化するのに十分な温度にまで1つ又は複数の前記容器内の前記ソース化学物質を加熱するステップと、
    (d)前記キャリア・ガス送給ラインを介して前記容器の1つ又は複数の中にキャリア・ガスを送給するステップと、
    (e)前記蒸気相試薬排出ラインを介して、前記容器のいかなる他のものからも独立的に、前記容器の中の1つから前記蒸気相試薬及びキャリア・ガスを引き出すステップと、
    (f)前記蒸着チャンバ中に前記蒸気相試薬及びキャリア・ガスを送給するステップと
    を含む、蒸着チャンバに蒸気相試薬を送出するための方法。
  9. (a)請求項2に記載の一体型蒸気相試薬分配装置を提供するステップと、
    (b)1つ又は複数の前記容器にソース化学物質を追加するステップと、
    (c)蒸気相試薬を生成するために前記ソース化学物質を蒸気化するのに十分な温度にまで1つ又は複数の前記容器内の前記ソース化学物質を加熱するステップと、
    (d)前記キャリア・ガス送給ライン及び前記バブラ・チューブを介して1つ又は複数の前記容器の中にキャリア・ガスを送給するステップと、
    (e)前記蒸気相試薬排出ラインを介して、前記容器のいかなる他のものからも独立的に、前記容器の中の1つから前記蒸気相試薬及びキャリア・ガスを引き出すステップと、
    (f)前記蒸着チャンバ中に前記蒸気相試薬及びキャリア・ガスを送給するステップと
    を含む、蒸着チャンバに蒸気相試薬を送出するための方法。
  10. 前記容器の中の1つから前記蒸着チャンバ内に前記蒸気相試薬及びキャリア・ガスを分配するステップと同時に、前記一体型蒸気相試薬分配装置から低レベルのソース化学物質を含む別の容器を切り離すステップと、前記容器を再充填するステップと、前記一体型蒸気相試薬分配装置内の前記容器を交換するステップとをさらに含む、請求項8及び9に記載の方法。
  11. 複数の容器であって、各容器は、充満レベルまでソース化学物質を保持するための、及び充満レベルを超えた内方ガス体積をさらに画成するための、内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、上壁部材の一部分が、不活性ガス送給入口開口を有し、前記不活性ガス送給入口開口を介して、前記不活性ガスを、前記充満レベルを超えた前記内方ガス体積内に送給して、前記充満レベルを超えた前記内方ガス体積を加圧することが可能となり、前記上壁部材の一部分は、ディップチューブを備える液相試薬出口開口を有し、前記ディップチューブは、前記内方ガス体積を貫通してソース化学物質中に延在し、前記ディップチューブを介して、液相試薬を前記装置から分配することが可能となり、前記ディップチューブは、前記上壁部材に隣接する出口端部及び前記下壁部材に隣接する入口端部を有する、複数の容器と、
    複数の不活性ガス送給/液相試薬送出マニホルドであって、前記不活性ガス送給/液相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つの不活性ガス送給/液相試薬送出マニホルドに連結され、各不活性ガス送給/液相試薬送出マニホルドは、不活性ガス送給ライン及び液相試薬排出ラインを備え、前記不活性ガス送給ラインは、前記充満レベルを超えた前記内方ガス体積内に不活性ガスを送出するために、前記上壁部材から上方及び外方に、前記不活性ガス送給入口開口から延在し、前記不活性ガス送給ラインは、中を通る前記不活性ガスの流れを制御するために、前記不活性ガス送給ライン中に1つ又は複数の不活性ガス流制御バルブを含み、前記液相試薬排出ラインは、前記容器から液相試薬を除去するために、前記上壁部材から上方及び外方に、前記液相試薬出口開口から延在し、前記液相試薬排出ラインは、中を通る前記液相試薬の流れを制御するために、前記液相試薬排出ライン中に1つ又は複数の液相試薬流制御バルブを任意に含む、複数の不活性ガス送給/液相試薬送出マニホルドと、
    前記不活性ガス送給/液相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記不活性ガス送給/液相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置と
    を備える、一体型蒸気相試薬分配装置。
  12. 複数の供給ガス・マニホルドをさらに備え、前記供給ガス・マニホルドはそれぞれ、互いに相互連結され、各供給ガス・マニホルドは、少なくとも1つの不活性ガス送給/液相試薬送出マニホルドに連結され、各供給ガス・マニホルドは、前記不活性ガス送給/液相試薬送出マニホルドの前記不活性ガス送給ラインに続く不活性ガス送給ラインを備え、前記不活性ガス送給ラインは、中を通る前記不活性ガスの流れを制御するために、前記不活性ガス送給ライン中に1つ又は複数の不活性ガス流制御バルブ、及び供給ガス・マニホルドの圧力を監視及び制御するための圧力変換器を含む、請求項11に記載の一体型液相試薬分配装置。
  13. 化学気相蒸着チャンバ及び原子層蒸着チャンバから選択される蒸着チャンバと、
    蒸気化装置に前記一体型蒸気相試薬分配装置を連結する、前記蒸気相試薬排出ラインと、
    キャリア・ガス送給入口開口を有する前記蒸気化装置の一部分であって、前記キャリア・ガス送給入口開口を介して、キャリア・ガスが、前記蒸気化装置内に送給されて、前記液相試薬の蒸気を前記キャリア・ガス中に引き込ませて、蒸気相試薬を生成することが可能となる、前記蒸気化装置の一部分と、
    蒸気相試薬出口開口を有する前記蒸気化装置の一部分であって、前記蒸気相試薬出口開口を介して、前記蒸気相試薬を前記蒸気化装置から分配することが可能となる、前記蒸気化装置の一部分と、
    前記蒸気化装置内にキャリア・ガスを送出するために、前記蒸気化装置から上方及び外方に、前記キャリア・ガス送給入口開口から延在し、中を通る前記キャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含む、キャリア・ガス送給ラインと、
    前記蒸気化装置から前記蒸着チャンバに蒸気相試薬を移動させるために、前記蒸気化装置から上方及び外方に、前記蒸気相試薬出口開口から延在し、中を通る前記蒸気相試薬の流れを制御するために、中に1つ又は複数の1つ又は複数の蒸気相試薬流制御バルブを含む、蒸気相試薬排出ラインと、
    前記蒸着チャンバ内に収容され、前記蒸気相試薬排出ラインに対して受容関係に配置される、任意の加熱可能なサセプタと、
    前記蒸着チャンバに連結される流出物排出ラインと
    をさらに備え、蒸気相試薬は、前記蒸気相試薬排出ラインを通り前記蒸着チャンバ内に進み、任意には前記加熱サセプタの上にある基板と接触し、あらゆる残留流出物が、前記流出物排出ラインを介して排出される、請求項12に記載の一体型蒸気相試薬分配装置。
  14. (a)請求項11に記載の一体型液相試薬分配装置を提供するステップと、
    (b)1つ又は複数の前記容器にソース化学物質を追加するステップと、
    (c)液相試薬を生成するために固体ソース化学物質を溶解するのに十分な温度にまで1つ又は複数の前記容器内の前記固体ソース化学物質を任意に加熱するステップと、
    (d)前記不活性ガス送給ラインを介して前記容器の1つ又は複数の中に不活性ガスを送給するステップと、
    (e)前記ディップチューブ及び前記液相試薬排出ラインを介して、前記容器のいかなる他のものからも独立的に、前記容器の中の1つから液相試薬を引き出すステップと、
    (f)蒸気化装置を提供するステップであって、前記蒸気化装置は、
    前記液相試薬を蒸気化させるために内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備える容器と、
    前記蒸気化装置に一体型液相試薬分配装置を連結する、前記液相試薬排出ラインと、
    キャリア・ガス送給入口開口を有する前記蒸気化装置の一部分であって、前記キャリア・ガス送給入口開口を介して、キャリア・ガスが、前記蒸気化装置内に供給されて、前記液相試薬の蒸気が前記キャリア・ガス中に引き込まれて、蒸気相試薬を生成することが可能となる、前記蒸気化装置の一部分と、
    蒸気相試薬出口開口を有する蒸気化装置の一部分であって、前記蒸気相試薬出口開口を介して、前記蒸気相試薬を前記蒸気化装置から分配することが可能である、蒸気化装置の一部分と、
    前記蒸気化装置内にキャリア・ガスを送出するために、前記蒸気化装置から上方及び外方に、前記キャリア・ガス送給入口開口から延在し、中を通る前記キャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブを含む、キャリア・ガス送給ラインと、
    前記蒸気化装置から前記蒸着チャンバに蒸気相試薬を移動させるために、前記蒸気化装置から上方及び外方に、前記蒸気相試薬出口開口から延在し、中を通る前記蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを含む、蒸気相試薬排出ラインと
    を備えるステップと、
    (g)前記蒸気化装置内に前記液相試薬を送給するステップと、
    (h)前記蒸気相試薬を供給するために、前記液相試薬を蒸気化するのに十分な温度にまで前記蒸気化装置内の前記液相試薬を加熱するステップと、
    (i)前記キャリア・ガス送給ラインを介して前記蒸気化装置内にキャリア・ガスを送給するステップと、
    (j)前記蒸気相試薬排出ラインを介して前記蒸気化装置から前記蒸気相試薬及びキャリア・ガスを引き出すステップと、
    (k)前記蒸着チャンバ内に前記蒸気相試薬及びキャリア・ガスを送給するステップと
    を含む、蒸着チャンバに蒸気相試薬を送出するための方法。
  15. 前記容器の中の1つから前記蒸気化装置内に前記液相試薬を分配するステップと同時に、前記一体型液相試薬分配装置から低レベルのソース化学物質を含む別の容器を切り離すステップと、前記容器を再充填するステップと、前記一体型液相試薬分配装置内の前記容器を交換するステップとをさらに含む、請求項14に記載の方法。
  16. 複数の容器であって、各容器は、ソース化学物質を保持するための内部容器コンパートメントを形成するように構成された、上壁部材、側壁部材、及び下壁部材を備え、前記上壁部材の一部分は、蒸気相試薬出口開口を有し、前記蒸気相試薬出口開口を介して、蒸気相試薬を前記容器から分配することが可能である、複数の容器と、
    複数の蒸気相試薬送出マニホルドであって、前記蒸気相試薬送出マニホルドはそれぞれ、互いに相互連結され、各容器は、少なくとも1つの蒸気相試薬送出マニホルドに連結され、各蒸気相試薬送出マニホルドは、蒸気相試薬ラインを備え、前記蒸気相試薬排出ラインは、前記容器から蒸気相試薬を除去するために、前記上壁部材から上方及び外方に前記蒸気相試薬出口開口から延在し、前記蒸気相試薬排出ラインは、中を通る蒸気相試薬の流れを制御するために、中に1つ又は複数の蒸気相試薬流制御バルブを含む、複数の蒸気相試薬送出マニホルドと、
    前記蒸気相試薬送出マニホルドのそれぞれが、互いに独立的に作動可能であり、前記容器のそれぞれが、互いに独立的に作動可能であるように、前記蒸気相試薬送出マニホルドのそれぞれ及び前記容器のそれぞれとの通信を導くための1つ又は複数の制御装置と
    を備える、一体型蒸気相試薬分配装置。
  17. 複数のキャリア・ガス送給マニホルドをさらに備え、前記キャリア・ガス送給マニホルドはそれぞれ、少なくとも1つの蒸気相試薬送出マニホルドに連結され、各キャリア・ガス送給マニホルドは、キャリア・ガス送給ラインを備え、前記キャリア・ガス送給マニホルドはそれぞれ、キャリア・ガス送給ラインを備え、前記キャリア・ガス送給ラインは、中を通る前記キャリア・ガスの流れを制御するために、中に1つ又は複数のキャリア・ガス流制御バルブと、前記キャリア・ガス送給マニホルドの圧力を監視及び制御するための圧力変換器とを含む、請求項16に記載の一体型蒸気相試薬分配装置。
  18. 化学気相蒸着チャンバ及び原子層蒸着チャンバから選択される蒸着チャンバと、
    前記蒸着チャンバに前記一体型蒸気相試薬分配装置を連結する、前記蒸気相試薬排出ラインと、
    前記蒸着チャンバ内に収容され、前記蒸気相試薬排出ラインに対して受容関係において配置される、任意の加熱可能なサセプタと、
    前記蒸着チャンバに連結される流出物排出ラインと
    をさらに備え、蒸気相試薬は、前記蒸気相試薬排出ラインを通り前記蒸着チャンバ内に進み、任意には前記加熱サセプタの上にある基板と接触し、あらゆる残留流出物が、前記流出物排出ラインを介して排出される、請求項17に記載の一体型蒸気相試薬分配装置。
  19. 蒸着チャンバに蒸気相試薬を送給するための方法であって、
    (a)請求項16に記載の一体型蒸気相試薬分配装置を提供するステップと、
    (b)1つ又は複数の前記容器にソース化学物質を追加するステップと、
    (c)蒸気相試薬を生成するために前記ソース化学物質を蒸気化するのに十分な温度にまで1つ又は複数の前記容器内の前記ソース化学物質を任意に加熱するステップと、
    (d)前記蒸気相試薬排出ラインを介して、前記容器のいずれの他のものからも独立的に、前記容器の中の1つから蒸気相試薬を引き出すステップと、
    (e)前記蒸気相試薬を混合するために、前記キャリア・ガス送給ラインを介して1つ又は複数の前記蒸気相試薬送出マニホルド内にキャリア・ガスを送給するステップと、
    (f)前記蒸着チャンバ内に前記蒸気相試薬及びキャリア・ガスを送給するステップと
    を含む、方法。
  20. 前記容器からの前記蒸気相試薬及び前記キャリア・ガス送給マニホルドの中の1つからのキャリア・ガスを、前記蒸着チャンバ内に分配するステップと同時に、前記一体型蒸気相試薬分配装置から低レベルのソース化学物質を含む別の容器を切り離すステップと、前記容器を再充填するステップと、前記一体型蒸気相試薬分配装置内の前記容器を交換するステップとをさらに含む、請求項19に記載の方法。
JP2010547694A 2008-02-22 2009-02-12 多数アンプル送出システム Withdrawn JP2011513950A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3057808P 2008-02-22 2008-02-22
PCT/US2009/033865 WO2009105376A2 (en) 2008-02-22 2009-02-12 Multiple ampoule delivery systems

Publications (1)

Publication Number Publication Date
JP2011513950A true JP2011513950A (ja) 2011-04-28

Family

ID=40810204

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010547694A Withdrawn JP2011513950A (ja) 2008-02-22 2009-02-12 多数アンプル送出システム

Country Status (6)

Country Link
US (4) US20090214777A1 (ja)
JP (1) JP2011513950A (ja)
KR (1) KR20100126423A (ja)
CN (1) CN101514446A (ja)
TW (1) TW200949123A (ja)
WO (1) WO2009105376A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014519705A (ja) * 2011-05-28 2014-08-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 浄化機能を有する補充可能なアンプル
JP2019513894A (ja) * 2016-03-28 2019-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 堆積後のガス管内部の残留前駆体を除去する装置および方法
WO2020218064A1 (ja) * 2019-04-26 2020-10-29 東京エレクトロン株式会社 原料ガス供給システム及び原料ガス供給方法
JP7478028B2 (ja) 2020-05-27 2024-05-02 大陽日酸株式会社 固体材料供給装置

Families Citing this family (402)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102007003541A1 (de) * 2007-01-24 2008-07-31 Robert Bosch Gmbh Elektronisches Bauteil
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
JP5465954B2 (ja) * 2008-09-29 2014-04-09 株式会社日立国際電気 基板処理装置及び判断プログラムを格納する記憶媒体及び基板処理装置の表示方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
US10689753B1 (en) * 2009-04-21 2020-06-23 Goodrich Corporation System having a cooling element for densifying a substrate
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2501839B1 (en) * 2009-11-16 2016-01-27 FEI Company Gas delivery for beam processing systems
TWI525042B (zh) * 2010-09-16 2016-03-11 首威公司 氟化氫供應單元
WO2012124593A1 (ja) * 2011-03-15 2012-09-20 シャープ株式会社 蒸着粒子射出装置および蒸着装置
US8927066B2 (en) * 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery
KR101599343B1 (ko) 2011-05-10 2016-03-03 가부시키가이샤 후지킨 유량 모니터 부착 압력식 유량 제어 장치
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5755958B2 (ja) 2011-07-08 2015-07-29 株式会社フジキン 半導体製造装置の原料ガス供給装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR102245759B1 (ko) * 2011-07-22 2021-04-27 어플라이드 머티어리얼스, 인코포레이티드 Ald/cvd 프로세스들을 위한 반응물 전달 시스템
JP5652960B2 (ja) * 2011-08-01 2015-01-14 株式会社フジキン 原料気化供給装置
JP5647083B2 (ja) 2011-09-06 2014-12-24 株式会社フジキン 原料濃度検出機構を備えた原料気化供給装置
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130152857A1 (en) * 2011-12-15 2013-06-20 Intermolecular, Inc. Substrate Processing Fluid Delivery System and Method
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9243325B2 (en) * 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140137961A1 (en) * 2012-11-19 2014-05-22 Applied Materials, Inc. Modular chemical delivery system
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9447497B2 (en) * 2013-03-13 2016-09-20 Applied Materials, Inc. Processing chamber gas delivery system with hot-swappable ampoule
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US20150079283A1 (en) * 2013-09-13 2015-03-19 LGS Innovations LLC Apparatus and method to deposit doped films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US20150259797A1 (en) * 2014-03-17 2015-09-17 Jiangsu Nata Opto-electronic Material Co., Ltd. Liquid-Metal Organic Compound Supply System
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9605346B2 (en) * 2014-03-28 2017-03-28 Lam Research Corporation Systems and methods for pressure-based liquid flow control
KR102387359B1 (ko) 2014-04-18 2022-04-14 어플라이드 머티어리얼스, 인코포레이티드 자동-리필 앰풀 및 사용 방법들
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6821327B2 (ja) * 2015-05-22 2021-01-27 ラム リサーチ コーポレーションLam Research Corporation オンデマンド充填アンプルの補充
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
EP3162914A1 (en) * 2015-11-02 2017-05-03 IMEC vzw Apparatus and method for delivering a gaseous precursor to a reaction chamber
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10460960B2 (en) * 2016-05-09 2019-10-29 Applied Materials, Inc. Gas panel apparatus and method for reducing exhaust requirements
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170362701A1 (en) * 2016-06-16 2017-12-21 Veeco Instruments Inc. Central source delivery for chemical vapor deposition systems
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) * 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10947621B2 (en) * 2017-10-23 2021-03-16 Applied Materials, Inc. Low vapor pressure chemical delivery
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190386256A1 (en) * 2018-06-18 2019-12-19 Universal Display Corporation Sequential material sources for thermally challenged OLED materials
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11021792B2 (en) * 2018-08-17 2021-06-01 Lam Research Corporation Symmetric precursor delivery
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN108980633B (zh) * 2018-08-27 2023-09-29 哈尔滨工业大学水资源国家工程研究中心有限公司 水力降温红外热点天线
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109609931B (zh) * 2018-12-27 2021-05-07 北京北方华创微电子装备有限公司 原子层沉积装置及方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129502B (en) * 2019-04-25 2022-03-31 Beneq Oy Feedstock supply cabinet
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP6961161B2 (ja) 2019-05-14 2021-11-05 日本エア・リキード合同会社 固体材料容器用キャビネット
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11661653B2 (en) 2019-12-18 2023-05-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Vapor delivery systems for solid and liquid materials
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR102203976B1 (ko) * 2020-09-14 2021-01-18 포이스주식회사 에어포켓 제거 기능을 갖는 화학약품 공급시스템 및 공급방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112283590A (zh) * 2020-11-17 2021-01-29 江苏雅克福瑞半导体科技有限公司 一种用于制造半导体的化学品供应系统及其工作方法
CN112283587A (zh) * 2020-11-17 2021-01-29 江苏雅克福瑞半导体科技有限公司 一种用于制造半导体的化学品供应系统及其净化方法
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115254815A (zh) * 2022-06-28 2022-11-01 上海至纯系统集成有限公司 一种液态前驱体供液设备
CN116641041A (zh) * 2023-05-06 2023-08-25 拓荆科技(上海)有限公司 一种气体分配装置

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3266311A (en) * 1964-05-12 1966-08-16 Delavan Mfg Company Inc Cryogenic liquid level sensing apparatus
US3329447A (en) * 1965-01-18 1967-07-04 Gifford L Hitz Self-energizing seal for high pressure flanged connections
DE2219870C2 (de) * 1972-04-22 1974-05-02 Troisdorfer Bau- Und Kunststoff Gmbh, 5210 Troisdorf Behälter, insbesondere Kunststoffoder Stahlbehälter
US3826139A (en) * 1973-03-19 1974-07-30 Laval Turbine Liquid level indicating apparatus
US4436674A (en) * 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4676404A (en) * 1983-10-17 1987-06-30 Nippon Zeon Co., Ltd. Method and apparatus for feeding drug liquid from hermetic returnable can
US5102010A (en) * 1988-02-16 1992-04-07 Now Technologies, Inc. Container and dispensing system for liquid chemicals
US4899585A (en) * 1988-07-19 1990-02-13 Semi-Gas Systems, Inc. Liquid level detector and method for a vapor deposition container
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5280012A (en) * 1990-07-06 1994-01-18 Advanced Technology Materials Inc. Method of forming a superconducting oxide layer by MOCVD
DE69218152T2 (de) * 1991-12-26 1997-08-28 Canon K.K., Tokio/Tokyo Herstellungsverfahren einer niedergeschlagenen Schicht mittels CVD, unter Verwendung von flüssigem Rohstoff und dazu geeignete Vorrichtung
EP0555614A1 (en) * 1992-02-13 1993-08-18 International Business Machines Corporation Metal-organic gas supply for MOVPE and MOMBE
JPH06291040A (ja) * 1992-03-03 1994-10-18 Rintetsuku:Kk 液体気化供給方法と液体気化供給器
US5335821A (en) * 1992-09-11 1994-08-09 Now Technologies, Inc. Liquid chemical container and dispensing system
US5526956A (en) * 1992-09-11 1996-06-18 Now Technologies, Inc. Liquid chemical dispensing and recirculating system
US6260588B1 (en) * 1993-04-28 2001-07-17 Advanced Technology Materials, Inc. Bulk chemical delivery system
US6029717A (en) * 1993-04-28 2000-02-29 Advanced Delivery & Chemical Systems, Ltd. High aspect ratio containers for ultrahigh purity chemicals
US5366119A (en) * 1993-05-26 1994-11-22 Kline James B Dispenser bottle with internal pump
US5388574A (en) * 1993-07-29 1995-02-14 Ingebrethsen; Bradley J. Aerosol delivery article
JPH07211646A (ja) * 1994-01-14 1995-08-11 Mitsubishi Electric Corp 材料供給装置
US5366120A (en) * 1994-04-19 1994-11-22 Tonis Tollasepp Paint pump
TW338174B (en) * 1995-01-06 1998-08-11 Tokyo Electron Co Ltd Apparatus for supplying a treatment material
US5749500A (en) * 1996-04-23 1998-05-12 Kraus; Joey Liquid retrieving adaptor for cylindrical containers
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
DE69738136T2 (de) * 1996-12-17 2008-06-12 Advanced Technology Materials, Inc., Danbury Reagenzzuführbehälter für cvd
US6296026B1 (en) * 1997-06-26 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6637475B2 (en) * 1997-07-11 2003-10-28 Advanced Technology Materials, Inc. Bulk chemical delivery system
US6296025B1 (en) * 1997-07-11 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6245151B1 (en) * 1998-07-17 2001-06-12 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
KR100273474B1 (ko) * 1998-09-14 2000-12-15 이경수 화학기상 증착장치의 가스 공급장치와 그 제어방법
US6257446B1 (en) * 1999-02-18 2001-07-10 Advanced Micro Devices, Inc. Liquid chemical container with integrated fluid reservoir
US7011710B2 (en) * 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6604555B2 (en) * 2000-08-04 2003-08-12 Arch Specialty Chemicals, Inc. Automatic refill system for ultra pure or contamination sensitive chemicals
US6609632B2 (en) * 2001-01-17 2003-08-26 Simplus Systems Corporation Removable lid and floating pivot
US6736154B2 (en) * 2001-01-26 2004-05-18 American Air Liquide, Inc. Pressure vessel systems and methods for dispensing liquid chemical compositions
DE10137673A1 (de) * 2001-08-01 2003-02-27 Infineon Technologies Ag Vorrichtung zur Zufuhr von Gasgemischen zu einem CVD-Reaktor
DE10200786B4 (de) * 2002-01-11 2004-11-11 Dockweiler Ag Sicherheitsbehälter
US6953047B2 (en) * 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
JP4556205B2 (ja) * 2003-03-28 2010-10-06 ニチアス株式会社 金属ガスケット
JP4185015B2 (ja) * 2003-05-12 2008-11-19 東京エレクトロン株式会社 気化原料の供給構造、原料気化器及び反応処理装置
US6955198B2 (en) * 2003-09-09 2005-10-18 Advanced Technology Materials, Inc. Auto-switching system for switch-over of gas storage and dispensing vessels in a multi-vessel array
US7334678B2 (en) * 2004-02-13 2008-02-26 Boston Scientific Scimed, Inc. Guidewire hoops and methods pertaining thereto
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US20070175392A1 (en) * 2006-01-27 2007-08-02 American Air Liquide, Inc. Multiple precursor dispensing apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014519705A (ja) * 2011-05-28 2014-08-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 浄化機能を有する補充可能なアンプル
US9347616B2 (en) 2011-05-28 2016-05-24 Entegris, Inc. Refillable ampoule with purge capability
US10551004B2 (en) 2011-05-28 2020-02-04 Entegris, Inc. Refillable ampoule with purge capability
JP2019513894A (ja) * 2016-03-28 2019-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 堆積後のガス管内部の残留前駆体を除去する装置および方法
WO2020218064A1 (ja) * 2019-04-26 2020-10-29 東京エレクトロン株式会社 原料ガス供給システム及び原料ガス供給方法
JP7478028B2 (ja) 2020-05-27 2024-05-02 大陽日酸株式会社 固体材料供給装置

Also Published As

Publication number Publication date
US20090211525A1 (en) 2009-08-27
CN101514446A (zh) 2009-08-26
WO2009105376A2 (en) 2009-08-27
US20090214779A1 (en) 2009-08-27
KR20100126423A (ko) 2010-12-01
WO2009105376A3 (en) 2010-06-03
TW200949123A (en) 2009-12-01
US20090214778A1 (en) 2009-08-27
US20090214777A1 (en) 2009-08-27

Similar Documents

Publication Publication Date Title
JP2011513950A (ja) 多数アンプル送出システム
US8235364B2 (en) Reagent dispensing apparatuses and delivery methods
TWI408250B (zh) 分配裝置及其使用方法
KR101485615B1 (ko) 반응물 분배 장치 및 전달 방법
US20080305014A1 (en) Substrate processing apparatus
KR101585242B1 (ko) 반응물 분배 장치 및 송출 방법
JP2004036004A (ja) 気化液体反応物のパルス的供給の方法および装置
CN101569841B (zh) 试剂分配装置及输送方法
TW201915214A (zh) 用於供薄膜製造的來源化學品之整合的合成、輸送及加工之方法及系統
US20030049933A1 (en) Apparatus for handling liquid precursor material for semiconductor processing
KR20240074489A (ko) 세정 장치를 구비한 기화 시스템 및 이를 세정하는 세정 방법
KR20240074503A (ko) 세정 장치를 구비한 기화 시스템 및 이를 세정하는 세정 방법
TW202338142A (zh) 用於氣相沉積反應器之遠端固體源反應物遞送系統及方法
KR20090108556A (ko) 반응물 분배 장치 및 송출 방법

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20120501