TW201343952A - 矽氧化物薄膜的高溫原子層沉積 - Google Patents

矽氧化物薄膜的高溫原子層沉積 Download PDF

Info

Publication number
TW201343952A
TW201343952A TW102112932A TW102112932A TW201343952A TW 201343952 A TW201343952 A TW 201343952A TW 102112932 A TW102112932 A TW 102112932A TW 102112932 A TW102112932 A TW 102112932A TW 201343952 A TW201343952 A TW 201343952A
Authority
TW
Taiwan
Prior art keywords
decane
dimethyl
group
bis
reactor
Prior art date
Application number
TW102112932A
Other languages
English (en)
Other versions
TWI515325B (zh
Inventor
Haripin Chandra
mei-liang Wang
Manchao Xiao
Xinjian Lei
Ronald Martin Pearlstein
Mark Leonard O'neill
Bing Han
Original Assignee
Air Prod & Chem
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Prod & Chem filed Critical Air Prod & Chem
Publication of TW201343952A publication Critical patent/TW201343952A/zh
Application granted granted Critical
Publication of TWI515325B publication Critical patent/TWI515325B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明揭示藉由>500℃的溫度形成矽氧化物的原子層沉積(ALD)方法。所用的矽前驅物具有下式:I. R1R2mSi(NR3R4)nXp其中R1、R2及R3係各自獨立地選自氫、線性或分支C1至C10烷基及C6至C10芳基;R4係選自線性或分支C1至C10烷基及C6至C10芳基、C3至C10烷基矽烷基;其中R3及R4係連接以形成一環狀環結構或R3及R4沒連接以形成一環狀環結構;X係選自由Cl、Br及I所組成的群組的鹵基;m係0至3;n係0至2;且p係0至2且m+n+p=3;及II. R1R2mSi(OR3)n(OR4)qXp其中R1及R2係各自獨立地選自氫、線性或分支C1至C10烷基及C6至C10芳基;R3及R4係各自獨立地選自線性或分支C1至C10烷基及C6至C10芳基;其中R3及R4係連接以形成一環狀環結構或R3及R4沒連接以形成一環狀環結構;X係選自由Cl、Br及I所組成的群組的鹵基;m係0至3;n係0至2;q係0至2且p係0至2且m+n+q+p=3。

Description

矽氧化物薄膜的高溫原子層沉積 相關申請案之相互參照
本案請求2012年4月12日申請的先前美國臨時申請案序號第61/623,217號的優先權。
本文描述的是用於形成矽氧化物膜的組合物及方法。更明確地說,本文描述的是用於約500℃或更高的一或更多沉積溫度下並使用原子層沉積(ALD)製程形成矽氧化物膜的組合物及方法。
熱氧化是常用來沉積半導體應用中的高純度及高保形性矽氧化物膜例如二氧化矽(SiO2)的製程。然而,此熱氧化製程具有非常低的沉積速率,例如,於700℃下為0.03 Å/s,使其無法實際用於大量製程(參見,舉例來說,Wolf,S.,“Silicon Processing for the VLSI Era Vol.1-Process Technology”,Lattice Press,CA,1986)。
原子層沉積(ALD)及電漿強化原子層沉積(PEALD)係用以於低溫(<500℃)下沉積二氧化矽(SiO2)保形性 膜的製程。在ALD和PEALD製程二者中,以一定數目的循環分開地脈衝前驅物和反應性氣體(例如氧或臭氧)以於每一循環形成一個二氧化矽(SiO2)單層。然而,於低溫下使用這些製程沉積的二氧化矽(SiO2)可含有某程度的對半導體應用不利的雜質例如碳(C)、氮(N)或其二者。為了補救這個,一個可行的解決方法可能是提高沉積溫度例如500℃或更高。然而,於這些較高溫度下,由半導體產業所運用的習用前驅物傾向於起自身反應,熱分解,並且以CVD模式而非ALD模式沉積。該CVD模式沉積比起ALD沉積具有降低的保形性,尤其是於於半導體應用的高縱寬比結構方面。此外,該CVD模式比ALD模式沉積較難以控制膜或材料厚度。
JP2010275602和JP2010225663揭示使用原料藉由化學氣相沉積(CVD)製程於介於300至500℃的溫度下形成含矽薄膜例如,矽氧化物。該原料係有機矽化合物,由此式表示:(a)HSi(CH3)(R1)(NR2R3),R1表示NR4R5或1碳至5碳烷基;R2和R4各自表示1碳至5碳烷基或氫原子;而且R3和R5各自表示1碳至5碳烷基);或(b)HSiCl(NR1R2)(NR3R4),其中R1及R3獨立地表示具有1至4個碳原子的烷基,或氫原子;而且R2及R4獨立地表示具有1至4個碳原子的烷基。該等有機矽化合物含有H-Si鍵。
美國專利第7,084,076號(“該‘076專利”)揭示鹵化矽氧烷例如六氯二矽氧烷(HCDSO),該鹵化矽氧烷與吡啶聯合作為低於500℃的ALD沉積的觸媒以形成二氧化矽。
美國專利第6,992,019號(“該‘019專利”)揭示一 觸媒輔助原子層沉積(ALD)的方法,該方法與相關洗淨方法一起並且循序藉由使用由具有至少二矽原子的矽化合物組成之第一反應物組分,或使用三級脂肪族胺作為觸媒組分,或二者聯合在半導體基材上形成具有優異性質的二氧化矽層。所用的前驅物係六氯二矽烷。沉積溫度係介於25至150℃之間。
因此,需要研發出用於形成高品質、低雜質、高保形性矽氧化物膜的方法,此方法使用原子層沉積(ALD)製程或類似ALD的製程,例如但不限於循環式化學氣相沉積製程,代替以熱力為基礎的沉積製程。再者,吾人所欲為可以研發高溫沉積法(例如於500℃或更高的一或更多溫度下沉積)以便以ALD或類似ALD的製程改良一或更多膜性質,例如純度及或密度。
本文描述的是於高溫,例如於500℃或更高的一或更多溫度下,以原子層沉積(ALD)或類似ALD的製程沉積矽氧化物材料或膜的方法。
一具體實施例提供一種將矽氧化物沉積於基材上之方法,該方法包含以下步驟:a.將基材提供於一反應器中;b.將至少一矽前驅物引進該反應器中;c.以洗淨氣體洗淨反應器;d.將氧來源引進該反應器中;及e.以洗淨氣體洗淨反應器;而且 其中重複進行步驟b至e直到沉積得到所欲厚度的矽氧化物為止;及其中此方法係於介於500至800℃的一或更多溫度及介於50毫托耳(mT)至760托耳的一或更多壓力下進行。
另一具體實施例提供一種沉積矽氧化物之方法,該方法包含以下步驟:a.將基材提供於一反應器中;b.將至少一矽前驅物引進該反應器中;c.以洗淨氣體洗淨反應器;d.將氧來源引進該反應器中;e.以洗淨氣體洗淨反應器;f.將水蒸氣或羥基來源引進該反應器中;g.以洗淨氣體洗淨反應器;及其中重複進行步驟b至e直到沉積得到所欲厚度為止;及其中此製程係於500至800℃的一或更多溫度及於50毫托耳(mT)至760托耳的一或更多壓力下進行。在各個不同具體實施例中,該氧來源係選自由氧、氧電漿、水蒸氣、水蒸氣電漿、過氧化氫、氮氧化物及臭氧所組成的群組。
本文所述的至少一矽前驅物係選自由下列所組成的群組:I. R1R2 mSi(NR3R4)nXp其中R1、R2及R3係各自獨立地選自氫、線性或分支C1至C10烷基及C6至C10芳基;R4係選自線性或分支C1至C10烷基及C6至C10芳基、C3至C10烷基矽烷基;其中R3及R4係連接以形成一環狀環結構或R3及R4沒連接以形成一環狀環結構;X 係選自由Cl、Br及I所組成的群組的鹵基;m係0至3;n係0至2;而且p係0至2而且m+n+p=3;及II. R1R2 mSi(OR3)n(OR4)qXp其中R1及R2係各自獨立地選自氫、線性或分支C1至C10烷基及C6至C10芳基;R3及R4係各自獨立地選自線性或分支C1至C10烷基及C6至C10芳基;其中R3及R4係連接以形成一環狀環結構或R3及R4沒連接以形成一環狀環結構;X係選自由Cl、Br及I所組成的群組的鹵基;m係0至3;n係0至2;而且p係0至2而且m+n+q+p=3。
在上述一或多個具體實施例中,該洗淨氣體係選自由氮、氦及氬所組成的群組。
在上述一或多個具體實施例中,該氧來源係選自由氧、氧電漿、水蒸氣、水蒸氣電漿、過氧化氫、氧化亞氮及臭氧及其組合所組成的群組。
圖1顯示視為溫度函數的二甲基胺基三甲基矽烷(DMATMS)及二乙基胺基三甲基矽烷(DEAMTS)沉積速率,其暗示二前驅物能具有達於650℃的ALD範圍。
圖2提供2,6-二甲基六氫吡啶基三甲基矽烷的質譜。圖3提供於650℃下利用DMATMS對比於熱氧化物沉積的SiO2膜之電流與電場的比較。
本文描述的是關於於500℃或更高的一或更多溫度下,以原子層沉積(ALD)或類似ALD的製程(例如但不限於循環式化學氣相沉積製程(CCVD))形成含矽氧化物的膜(例如氧氮化矽膜)、化學計量或非化學計量矽氧化物膜、矽氧化物膜或其組合的組合物及方法。
在先前技藝中的典型ALD製程直接使用氧來源或氧化劑例如氧、氧電漿、水蒸氣、水蒸氣電漿、過氧化氫或臭氧來源以於介於25至500℃的製程溫度下形成SiO2。沉積步驟包含:a.將基材提供於一反應器中;b.將矽前驅物引進該反應器中;c.以洗淨氣體洗淨反應器;d.將氧來源引進該反應器中;及e.以洗淨氣體洗淨反應器。在此先前技藝製程中,重複進行步驟b至e直到沉積得到所欲厚度的膜為止。
咸相信從膜純度和密度的觀點來看高溫製程,高於500℃,可產生較好的膜品質。ALD製程提供好的膜步階覆蓋率。然而,ALD或PEALD中使用的典型有機矽前驅物僅以ALD模式在一定溫度範圍內沉積膜。當溫度高於此範圍時,前驅物的熱分解發生,造成氣相反應或連續基材表面反應而將該等沉積製程改變為CVD模式,而非所欲的ALD模式。
不欲受理論束縛,關於在高於500℃的一或更多溫度下的ALD或類似ALD的沉積製程,本文所述的矽前驅物分子理應具有至少一投錨官能基,該至少一投錨官能基與該基材表面上的若干反應性部位反應以投錨矽物種的單層。該等投錨官能基可選自鹵(Cl、Br、I)基、胺基或烷氧基,較佳為胺基例如二甲基胺基或二乙基胺基。該矽前驅物應該也具有化學安定性鈍化官能基以便防止進一步表面反應而導致自限性製程。該鈍化官能基係選自不同烷基例如甲基、乙基、苯基,較佳為甲基。表面上的剩餘基團可接著被氧化以形成Si-O-Si鍵聯以及羥基。此外,羥基來源例如H2O或水電漿也可引進該反應器以按照下列圖解1所述方式形成更多羥基作為下一個ALD循環的反應性部位。
有一具體實施例中,該文中所述的至少一矽前驅物係具有下式I的化合物:I. R1R2 mSi(NR3R4)nXp 其中R1、R2及R3係各自獨立地選自氫、線性或分支C1至C10烷基及C6至C10芳基;R4係選自線性或分支C1至C10烷基及C6至C10芳基、C3至C10烷基矽烷基;其中R3及R4係連接以形成一環狀環結構或R3及R4沒連接以形成一環狀環結構;X係選自由Cl、Br及I所組成的群組的鹵基;m係0至3;n係0至2;而且p係0至2而且m+n+p=3。具有式I的前驅物的實例包括但不限於:二乙基胺基三甲基矽烷、二甲基胺基三甲基矽烷、乙基甲基胺基三甲基矽烷、二乙基胺基三乙基矽烷、二甲基胺基三乙基矽烷、乙基甲基胺基三乙基矽烷、第三丁基胺基三乙基矽烷、異丙基胺基三乙基矽烷、二異丙基胺基三乙基矽烷、吡咯啶基三乙基矽烷、第三丁基胺基三甲基矽烷、異丙基胺基三甲基矽烷、二異丙基胺基三甲基矽烷、吡咯啶基三甲基矽烷、二乙基胺基二甲基矽烷、二甲基胺基二甲基矽烷、乙基甲基胺基二甲基矽烷、第三丁基胺基二甲基矽烷、異丙基胺基二甲基矽烷、二異丙基胺基二甲基矽烷、吡咯啶基二甲基矽烷、二乙基胺基二乙基矽烷、二甲基胺基二乙基矽烷、乙基甲基胺基二乙基矽烷、第三丁基胺基二乙基矽烷、異丙基胺基二乙基矽烷、二異丙基胺基二乙基矽烷、吡咯烷酮基二乙基矽烷、雙(二乙基胺基)二甲基矽烷、雙(二甲基胺基)二甲基矽烷、雙(乙基甲基胺基)二甲基矽烷、雙(二異丙基胺基)二甲基矽烷、雙(異丙基胺基)二甲基矽烷、雙(第三丁基胺基)二甲基矽烷、二吡咯啶基二甲基矽烷、雙(二乙基胺基)二乙基矽烷、雙(二甲基胺基)二乙基矽烷、雙(乙基甲基胺基)二乙基矽烷、雙(二異丙基胺基)二乙基矽烷、 雙(異丙基胺基)二乙基矽烷、雙(第三丁基胺基)二乙基矽烷、二吡咯啶基二乙基矽烷、雙(二乙基胺基)甲基乙烯基矽烷、雙(二甲基胺基)甲基乙烯基矽烷、雙(乙基甲基胺基)甲基乙烯基矽烷、雙(二異丙基胺基)甲基乙烯基矽烷、雙(異丙基胺基)甲基乙烯基矽烷、雙(第三丁基胺基)甲基乙烯基矽烷、二吡咯啶基甲基乙烯基矽烷、2,6-二甲基六氫吡啶基甲基矽烷、2,6-二甲基六氫吡啶基二甲基矽烷、2,6-二甲基六氫吡啶基三甲基矽烷、叁(二甲基胺基)苯基矽烷、叁(二甲基胺基)甲基矽烷、叁(二甲基胺基)乙基矽烷及叁(二甲基胺基)氯矽烷。
式I中的R4係C3至C10烷基矽烷基之群組I矽前驅物的其他實例包括但不限於:1,1,1,3,3,3-六甲基二矽氮烷、1,1,1,3,3,3-六乙基二矽氮烷、1,1,3,3-四甲基二矽氮烷、1,1,3,3-四乙基二矽氮烷、1,1,1,2,3,3,3-七甲基二矽氮烷、1,1,1,3,3,3-六乙基-2-甲基二矽氮烷、1,1,2,3,3-五甲基二矽氮烷、1,1,3,3-四乙基-2-甲基二矽氮烷、1,1,1,3,3,3-六甲基-2-乙基二矽氮烷、1,1,1,2,3,3,3-七乙基二矽氮烷、1,1,3,3-四甲基-2-乙基二矽氮烷、1,1,2,3,3-五乙基二矽氮烷、1,1,1,3,3,3-六甲基-2-異丙基二矽氮烷、1,1,1,3,3,3-六乙基-2-異丙基二矽氮烷、1,1,3,3-四甲基-2-異丙基二矽氮烷及1,1,3,3-四乙基-2-異丙基二矽氮烷。
在另一具體實施例中,該本文所述的至少一矽前驅物係具有下列式II的化合物:II. R1R2 mSi(OR3)n(OR4)qXp其中R1及R2係各自獨立地選自氫、線性或分支C1至C10烷 基及C6至C10芳基;R3及R4係各自獨立地選自線性或分支C1至C10烷基及C6至C10芳基;其中R3及R4係連接以形成一環狀環結構或R3及R4沒連接以形成一環狀環結構;X係選自由Cl、Br及I所組成的群組的鹵基;m係0至3;n係0至2;q係0至2;而且p係0至2而且m+n+q+p=3。此群組II矽前驅物的實例包括但不限於:甲氧基三甲基矽烷、乙氧基三甲基矽烷、異丙氧基三甲基矽烷、第三丁氧基三甲基矽烷、第三戊氧基三甲基矽烷、苯氧基三甲基矽烷、乙醯氧基三甲基矽烷、甲氧基三乙基矽烷、乙氧基三乙基矽烷、異丙氧基三乙基矽烷、第三丁氧基三乙基矽烷、第三戊氧基三乙基矽烷、苯氧基三乙基矽烷、乙醯氧基三乙基矽烷、甲氧基二甲基矽烷、乙氧基二甲基矽烷、異丙氧基二甲基矽烷、第三丁氧基二甲基矽烷、第三戊氧基二甲基矽烷、苯氧基二甲基矽烷、乙醯氧基二甲基矽烷、甲氧基二甲基苯基矽烷、乙氧基二甲基苯基矽烷、異丙氧基二甲基苯基矽烷、第三丁氧基二甲基苯基矽烷、第三戊氧基二甲基苯基矽烷、苯氧基二甲基苯基矽烷、乙醯氧基二甲基苯基矽烷、二甲氧基二甲基矽烷、二乙氧基二甲基矽烷、二異丙氧基二甲基矽烷、二第三丁氧基二甲基矽烷、二乙醯氧基二甲基矽烷、二甲氧基二乙基矽烷、二乙氧基二乙基矽烷、二異丙氧基二乙基矽烷、二第三丁氧基二乙基矽烷、二乙醯氧基二乙基矽烷、二甲氧基二異丙基矽烷、二乙氧基二異丙基矽烷、二異丙氧基二異丙基矽烷、二第三丁氧基二異丙基矽烷、二乙醯氧基二異丙基矽烷、二甲氧基甲基乙烯基矽烷、二乙氧基甲基乙烯基矽 烷、二異丙氧基甲基乙烯基矽烷、二第三丁氧基甲基乙烯基矽烷、二乙醯氧基甲基乙烯基矽烷、1,1,3,4-四甲基-1-矽雜-2,5-二氧雜環戊烷及1,1,3,3,4,4-六甲基-1-矽雜-2,5-二氧雜環戊烷。
在以上及整個說明內容的式中,該措辭“烷基”表示具有1至10、3至10或1至6個碳原子的線性或分支官能基。例示性線性烷基包括,但不限於,甲基、乙基、丙基、丁基、戊基及己基。例示性分支烷基包括,但不限於,異丙基、異丁基、第二丁基、第三丁基、異戊基、第三戊基、異己基及新己基。在某些具體實施例中,該烷基可具有一或更多附接於該烷基的官能基例如,但不限於,烷氧基、二烷基胺基或其組合。在其他具體實施例,該烷基沒有一或更多附接於該烷基的官能基。該烷基可能是飽和或,也可以,不飽和。
在以上及整個說明內容的式中,該措辭“芳基”表示具有3至10個碳原子、5至10個碳原子或6至10個碳原子的芳香族環狀官能基。例示性芳基包括,但不限於,苯基、苯甲基、氯苯甲基、甲苯基及鄰-二甲苯基。
在以上及整個說明內容的式中,該措辭“烷氧基”表示連接至氧原子(例如,R-O)並且可具有1至12或1至6個碳原子的烷基。例示性烷氧基包括,但不限於,甲氧基、乙氧基、丙氧基、丁氧基、異丁氧基、第二丁氧基、第三丁氧基、戊氧基、第三戊氧基、異戊氧基、新戊氧基、己氧基及2-乙基己氧基。在以上及整個說明內容的式中,該措辭“胺 基”表示連接至氮原子並且可具有1至12或1至6個碳原子的烷基或芳香族基團(例如,如以上定義的NR3R4)。例示性胺基包括,但不限於,二甲基胺基、二乙基胺基、第三丁基胺基、環己基胺基、六氫吡啶基、經烷基取代的六氫吡啶基(舉例來說2,6-二甲基六氫吡啶基)、吡咯啶基、經烷基取代的吡咯啶基(舉例來說2,5-二甲基吡咯啶基)、吡咯基、經烷基取代的吡咯基、咪唑基及經烷基取代的咪唑基。
在以上及整個說明內容的式中,用於本文時該措辭“不飽和”意指具有一或更多碳雙鍵或叁鍵的官能基、取代基、環或架橋。不飽和環的實例可為,但不限於,芳香族環例如苯基環。該措辭“飽和”意指該官能基、取代基、環或架橋沒有一或更多碳雙鍵或叁鍵。
在以上及整個說明內容的式中,該措辭“烷基矽烷基”表示具有3至10個碳原子的線性或分支官能基。例示性烷基矽烷基包括,但不限於,三甲基矽烷基、三乙基矽烷基、二甲基矽烷基、二乙基矽烷基及二甲基乙基矽烷基。
在某些具體實施例中,式I或式II中的取代基R3及R4可連在一起以形成一環結構。技巧純熟者將會明白,在R3及R4係連在一起以形成一環的情形中,R3包括連接至R4的鍵而且反之亦然。在這些具體實施例中,該環結構可為不飽和的(例如,舉例來說,環狀烷基環)或飽和的(舉例來說,芳基環)。再者,在這些具體實施例中,該環結構也可為經取代或不經取代的。例示性環狀環基團包括,但不限於,吡咯啶基、六氫吡啶基及2,6-二甲基六氫吡啶基。然而,在其他 具體實施例,取代基R3及R4沒有連接。
在某些具體實施例中,使用本文所述的方法沉積的矽膜係於氧存在之下使用氧來源、試劑或包含氧的前驅物形成。氧來源可以至少一氧來源的形式引進該反應器及/或可附帶存在於該沉積方法所用的其他前驅物中。適合的氧來源氣體可包括,舉例來說,水(H2O)(例如,去離子水、純水及/或蒸餾水)、氧(O2)、氧電漿、臭氧(O3)、NO、NO2、一氧化碳(CO)、二氧化碳(CO2)及其組合。在某些具體實施例中,該氧來源包含於介於約1至約2000每分鐘標準立方公分(sccm)或約1至約1000 sccm的流速下引進該反應器的氧來源氣體。該氧來源可被引進歷經介於約0.1至約100秒的時間。在一特定具體實施例中,該氧來源包含具有10℃或更高溫度的水。在藉由ALD或循環式CVD製程沉積該膜的具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝期間,而且該氧來源可具有小於0.01秒的脈衝期間,而該水脈衝期間可具有小於0.01秒的脈衝期間。在又另一具體實施例中,該等脈衝之間的洗淨期間可低到0秒或連續脈衝而於其間沒有洗淨。該氧來源或試藥係以對該矽前驅物1:1比例的分子用量提供,所以原沉積(as deposited)的含矽膜中還保持至少一些碳。
在某些具體實施例中,該矽氧化物膜另外包含氮。在這些具體實施例中,使用文中所述的方法所沉積的膜係於含氮來源存在之下形成。含氮來源可以至少一氮來源的形式引進該反應器及/或可附帶存在於該沉積製程中所用的其他前驅物中。適合的含氮來源氣體可包括,舉例來說,氨、 肼、單烷基肼、二烷基肼、氮、氮/氫、氨電漿、氮電漿、氮/氫電漿及其混合物。在某些具體實施例中,該含氮來源包含氨電漿或氫/氮電漿來源氣體,該來源氣體係於介於約1至約2000每分鐘標準立方公分(sccm)或約1至約1000 sccm的流速下引進該反應器。該含氮來源可被引進歷經介於約0.1至約100秒的時間。在藉由ALD或循環式CVD製程沉積該膜的具體實施例中,該前驅物脈衝可具有大於0.01秒的脈衝期間,而且該含氮來源可具有小於0.01秒的脈衝期間,而該水脈衝期間可具有小於0.01秒的脈衝期間。在又另一具體實施例中,該等脈衝之間的洗淨期間可低到0秒或連續脈衝而於其間沒有洗淨。
在此所揭示的沉積方法可能涉及一或更多洗淨氣體。該洗淨氣體,其係用以洗淨未消耗的反應物及/或反應副產物,為不會與該等前驅物反應的惰性氣體。例示性洗淨氣體包括,但不限於,氬(Ar)、氮(N2)、氦(He)、氖、氫(H2)及其混合物。在某些具體實施例中,洗淨氣體例如Ar係於介於約10至約2000 sccm的流速下歷經約0.1至1000秒供應至該反應器中,藉以洗掉未反應的材料及可能留在該反應器中的任何副產物。
供應該等前驅物、氧來源、該含氮來源及/或其他前驅物、來源氣體及/或試劑的分別步驟可藉由改變供應彼等的時間以改變所得含矽膜的化學計量組成而進行。
將能量施於該矽前驅物、含氧來源或其組合之至少其一以引發反應並且將該介電膜或塗層形成於該基材上。 此能量可經由,但不限於,熱力、電漿、脈衝電漿、螺旋電漿(helicon plasma)、高密度電漿、誘導耦合電漿、X-射線、電子束、光子、遠距電漿方法及其組合來提供。在某些具體實施例中,可使用二次RF頻率來源以改變該基材表面的電漿特性。在沉積涉及電漿的具體實施例中,該電漿產生製程可包含於該反應器中直接產生電漿的直接電漿產生製程,或選擇性地在該反應器外側產生電漿而且供應至該反應器中的遠距電漿產生製程。
該至少一矽前驅物可以各式各樣的方式輸送至該反應艙例如循環式CVD或ALD反應器。有一具體實施例中,可利用液體輸送系統。有一替代具體實施例中,可運用合併液體輸送及閃蒸汽化製程,例如,舉例來說,明尼蘇達州,休爾瓦的MSP有限公司所製造的渦輪汽化器使低揮發性材料能夠以體積輸送,導致可再現的運送及沉積而不會使該前驅物熱分解。在液體輸送配方中,文中所述的前驅物可以純液體形態遞送,或者,可以溶劑配方或包含該前驅物的組合物加以運用。因此,在某些具體實施例中該等前驅物配方可包括指定的最終用途應用可能想要及有益的適合特性的溶劑組分以在基材上形成膜。
關於在包含溶劑和至少一具有本文所述的式I或II的矽前驅物之組合物中使用該至少一具有本文所述的式I或II的矽前驅物之具體實施例,所選擇的溶劑或其混合物無法與該矽前驅物反應。在該組合物中的溶劑量以重量百分比計介於0.5重量%至99.5%或10重量%至75%。在各個不同具 體實施例中,該溶劑具有類似於式I或式II的至少一矽前驅物的沸點之沸點(b.p.)或該溶劑的沸點與該式I或式II的至少一矽前驅物的沸點之間的差異為40℃或更低、30℃或更低或20℃或更低或10℃或更低。也可以,該等沸點之間的差異介於以下端點之任一或多者:0、10、20、30或40℃。沸點差異的適合範圍之實例包括,但不限於,0至40℃、20°至30℃或10°至30℃。該等組合物中的適合溶劑之實例包括,但不限於,醚(例如1,4-二噁烷、二丁基醚)、三級胺(例如吡啶、1-甲基六氫吡啶、1-乙基六氫吡啶、N,N'-二甲基六氫吡嗪、N,N,N',N'-四甲基乙二胺)、腈(例如苯甲腈)、烷(例如辛烷、壬烷、十二烷、乙基環己烷)、芳香族烴(例如甲苯、均三甲苯)、三級胺基醚(例如雙(2-二甲基胺基乙基)醚)或其混合物。
如先前所述,該式I或式II的至少一矽前驅物的純度高到足以為可靠性半導體製造所接受。在某些具體實施例中,文中所述的式I或式II的至少一矽前驅物包含低於2重量%或低於1重量%或低於0.5重量%的以下雜質之一或多者:游離胺類、游離鹵基或鹵離子,及較高分子量物種。較高純度的文中所述的前驅物可透過以下製程之一或多者獲得:純化、吸附及/或蒸餾。
在文中所述的方法之一具體實施例中,循環式沉積製程例如類似ALD、ALD或PEALD均可運用,其中使用該式I或式II的至少一矽前驅物及氧來源進行沉積。該類似ALD的製程係定義為循環式CVD製程而且還提供高保形性矽氧化物膜。
在某些具體實施例中,從該等前驅物藥罐連接到該反應艙的氣體管道係加熱至一或更多溫度,其取決於製程需求,而且使該式I或式II的至少一矽前驅物的容器保持於一或更多溫度下以供起泡。在其他具體實施例中,把包含該式I或式II的至少一矽前驅物的溶液注入保持於一或更多溫度下的汽化器以供直接液體注入。
氬及/或其他氣體流可當作載體氣體以協助在該前驅物脈衝的期間將該式I或式II的至少一矽前驅物的蒸氣輸送至該反應艙。在某些具體實施例中,該反應艙加工壓力為約1托耳。
在典型的ALD或類似ALD的製程例如CCVD製程中,該基材係於一反應艙的加熱器段上加熱,該反應艙最初暴露於該矽前驅物以使該錯合物化學吸附於該基材的表面上。
洗淨氣體例如氬從該加工艙洗掉未被吸附的過量錯合物。經過充分洗淨之後,可將氧來源引進反應艙以與被吸附的表面反應,接著另一氣體洗淨以從該艙移除反應副產物。此加工循環可重複進行以達到所欲的膜厚度。在一些案例中,泵抽能取代以惰性氣體洗淨或同時運用二者以除去未反應的矽前驅物。
在各個不同具體實施例中,咸瞭解文中所述的方法的步驟可以各式各樣的順序進行,可連續地進行,可同時地進行(例如,在另一步驟的至少一部分的期間),及其任何組合。供應該等前驅物及該等氧來源氣體的分別步驟可藉由變 化供應彼等的時期以改變所得介電膜的化學計量組成而進行。
本文所述之將矽氧化物膜沉積於基材上的方法之一特定具體實施例包含以下步驟:a.將基材提供於一反應器中;b.將本文所述之具有式I、II或二者的至少一矽前驅物引進該反應器中;c.以洗淨氣體洗淨反應器;d.將氧來源引進該反應器中;及e.以洗淨氣體洗淨反應器;其中重複進行步驟b至e直到沉積得到所欲厚度的矽氧化物膜為止。
在本文所述的方法和組合物之一特定具體實施例中,該矽前驅物係具有以下式I的化合物:I. R1R2 mSi(NR3R4)nXp其中R1係甲基(Me),R2係甲基,m=2,n=1,p=0,R3係選自氫、線性或分支C1至C10烷基及C6至C10芳基;R4係選自線性或分支C1至C10烷基及C6至C10芳基、C3至C10烷基矽烷基;其中R3及R4係連接以形成一環狀環結構或R3及R4沒連接以形成一環狀環結構。以下表1顯示具有選自鹵原子、胺基或烷氧基的投錨官能基並且具有選自烷基(其較佳為甲基或Me基團)的鈍化官能基之例示性矽前驅物的構造。不受理論所束縛,咸相信該等Si-Me基團於高於500℃的溫度下係安定的並且提供鈍化官能基以防止其他表面反應而導致自限性ALD 或類似ALD的製程。
本文所述的方法的另一具體實施例在氧化步驟之後引進羥基或OH來源例如水蒸氣。此具體實施例的目標在 於重新佈局矽前驅物的投錨官能基或反應性部位,該等投錨官能基或反應性部位投錨於表面上以形成單層。該等沉積步驟包含:a.將基材提供於一反應器中;b.將一上述矽前驅物引進該反應器中;c.以洗淨氣體洗淨反應器;d.將氧化劑引進該反應器中;e.以洗淨氣體洗淨反應器;f.將水蒸氣或羥基來源引進該反應器中;g.以洗淨氣體洗淨反應器;其中重複進行步驟b至e直到沉積得到所欲厚度為止。
在本文所述的方法的另一具體實施例中,該等沉積步驟包含:a.將基材提供於一反應器中;b.將一上述矽前驅物引進該反應器中;c.以洗淨氣體洗淨反應器;d.將氧來源引進該反應器中;e.以洗淨氣體洗淨反應器;f.將水蒸氣或OH來源引進該反應器中;及g.以洗淨氣體洗淨反應器;其中重複進行步驟b至g直到沉積得到所欲厚度為止。
又另一具體實施例運用過氧化氫或氧電漿以移除鈍化官能基或例如甲基的基團。該等沉積步驟如下:a.將基材提供於一反應器中; b.將一上述矽前驅物引進該反應器中;c.以洗淨氣體洗淨反應器;d.將臭氧、過氧化氫或氧電漿引進該反應器中;及e.以洗淨氣體洗淨反應器;其中重複進行步驟b至e直到沉積得到所欲厚度為止。
用於本文所述的方法的製程溫度係介於500℃至1000℃;或500℃至750℃;或600℃至750℃;或600℃至800℃的一或更多溫度。
沉積壓力範圍係介於50毫托耳(mT)至760托耳或500 mT至100托耳的一或更多壓力。洗淨氣體能選自惰性氣體例如氮、氦或氬。氧化劑係選自氧、過氧化物、臭氧或來自電漿製程的分子氧。
實施例 實施例1:藉由二甲基胺基三甲基矽烷進行矽氧化物膜的原子層沉積
矽氧化物膜的原子層沉積係利用下列前驅物進行:二甲基胺基三甲基矽烷(DMATMS)。藉由實驗室規模的ALD處理設備進行沉積。藉由蒸氣汲取器把該矽前驅物遞送至該艙。所有氣體(例如,洗淨及反應物氣體或前驅物及氧來源)在進入沉積區之前先預熱至100℃。以具有高速致動能力的ALD膜片閥控制氣體及前驅物流速。沉積時所用的基材是12吋長的矽條。熱電耦附接於試樣固持器上以確認基材溫度。利用臭氧作為氧來源氣體進行沉積。將沉積參數列於表I 中。
重複進行步驟2至6直到達到所欲的厚度為止。該等膜的厚度及折射率利用FilmTek 2000SE橢圓儀藉由將該膜的反射數據擬合於預設的物理模型(例如,Lorentz Oscillator Model)測得。利用49%氫氟酸(HF)於去離子水中的1%溶液進行濕式蝕刻速率。使用熱氧化物晶圓作為各批次的參考物以確保溶液濃度。關於1% HF水溶液的典型熱氧化物晶圓濕式蝕刻速率係0.5 Å/s。使用蝕刻前後的膜厚度計算濕式蝕刻速率。以動態二次離子質譜儀(SIMS)技術分析膜中的碳和氮濃度。利用下列方程式由6點測量計算不均勻性%:不均勻性%=((最大值-最小值)/(2*平均值))。利用X-射線反射量測術(XRR)敘述膜密度的特徵。表II總結於介於500至650℃的晶圓溫度下利用DMATMS前驅物的固定注入量(8 秒)沉積的SiO2膜性質。
由DMATMS所沉積的矽氧化物的膜密度介於2.08至2.23 g/cc。
圖3描述於650℃下熱氧化物與利用DMATMS沉積的SiO2之間的洩漏電流及擊穿比較,其證明使用DMATMS的矽氧化物具有與熱氧化物相當的電氣性質。於1至5 MV/cm下的洩漏電流係,典型操作電壓,於1個數量級的熱氧化物典型裝置操作電壓。
為了確定ALD模式沉積,在引進臭氧之前使用多重前驅物劑量以確保該沉積為自限性。以下在表III中列舉沉積步驟:
重複進行步驟2a和2b以引進多重劑量的矽前驅物。表IV中記載沉積速率及不均勻性二者。
該等沉積速率顯示自限性作用並且隨著提高前驅物劑量而飽和,其確定於650℃下的ALD模式。
實施例2:利用二乙基胺基三甲基矽烷進行矽氧化物膜的原子層沉積
使用實施例1的表I中列舉的步驟以二乙基胺基 三甲基矽烷(DEATMS)進行矽氧化物膜的原子層沉積。表IV中描述以DEATMS於500至650℃下於固定前驅物劑量(8秒)下沉積的SiO2膜之沉積速率及膜不均勻性。
為了確定ALD模式沉積,在臭氧沉積步驟之前使用多重前驅物劑量以確保該沉積為自限性。以下在表V中列舉沉積步驟:
重複進行步驟2a和2b以模擬多重劑量的矽前驅物。表VI中記載沉積速率及不均勻性二者。
該等沉積速率顯示自限性作用並且隨著提高前驅物劑量而飽和,其確定於650℃下的ALD模式。
實施例3:以DMATMS在圖案化矽基材上進行矽氧化物膜的原子層沉積
以DMATMS在圖案化矽晶圓上沉積SiO2膜。使用臭氧作為該氧來源氣體及於650℃下的8秒前驅物雙重脈衝來進行沉積製程。沉積於該基材上的膜使用場致發射掃描式電子顯微鏡(FESEM)Hitachi S-4800 SEM來測量。試樣安裝於斷面固持器中並且使用於2 kV加速電壓下操作的SEM檢測。於溝槽頂面、側壁和底部進行試樣斷面的SiO2厚度測量。該SiO2膜的SEM斷面檢查指示優良的步階覆蓋率(>96%)並且確 定此製程的確是ALD製程。
實施例4:以二乙基胺基三乙基矽烷(DEATES)進行矽氧化物膜的原子層沉積
使用該矽前驅物二乙基胺基三乙基矽烷(DEATES)及臭氧進行矽氧化物膜的沉積。實施例1的表I中列舉所用的沉積步驟。表VII總結於500至650℃的晶圓溫度下使用DEATES沉積的SiO2膜的沉積速率及不均勻性。
參照表VII,該等沉積速率及膜不均勻性於600℃下提高,表示於600℃下有些CVD反應並且CVD反應於650℃下進一步增強。
實施例5:以甲氧基三甲基矽烷進行矽氧化物膜的原子層沉積
使用該矽前驅物甲氧基三甲基矽烷進行矽氧化 物膜的原子層沉積。使用臭氧於650℃下利用實施例1的表I中列舉的製程步驟進行沉積。基材溫度係設定於650℃。沉積速率係約0.3 Å/循環。
實施例6:以氯三甲基矽烷進行矽氧化物膜的原子層沉積
使用該矽前驅物氯三甲基矽烷進行矽氧化物膜的原子層沉積。使用臭氧作為該氧來源氣體進行沉積而且沉積的製程參數與實施例1的表I中相同。基材溫度係設定於650℃。沉積速率係約0.5 Å/循環。
實施例7:以六甲基二矽氮烷進行矽氧化物膜的原子層沉積
使用該矽前驅物六甲基二矽氮烷進行矽氧化物膜的原子層沉積。使用臭氧於650℃下利用實施例1的表I中列舉的製程步驟進行沉積。沉積速率係約1.3 Å/循環。
實施例8:使用雙(二甲基胺基)二甲基矽烷進行矽氧化物膜的ALD沉積
使用雙(二甲基胺基)二甲基矽烷(BDMADMS)作為該矽前驅物。BDMADMS具有通用結構R1R2 mSi(NR3R4)n,其中R1、R2、R3、R4係甲基,n=2,而且m=1。
藉由實驗室規模的ALD處理設備進行沉積。使用臭氧作為該氧來源氣體進行沉積而且沉積的製程參數與表I中相同。表VIII中總結使用BDMADMS以固定前驅物劑量(8秒)於500至650℃下沉積的矽氧化物膜之沉積速率及膜不 均勻性:
使用雙重前驅物脈衝製程以進一步證明ALD模式。表IX顯示在單次8秒脈衝及雙重8秒脈衝情況下的膜之沉積速率及不均勻性。
如表IX所示,當使用雙重前驅物脈衝而且均勻性降低時該沉積速率提高相當多,暗示有些CVD模式沉積。
實施例9:2,6-二甲基六氫吡啶基三甲基矽烷的合成
在裝配滴液漏斗、冷凝器及機械攪拌子的1000 mL三頸圓底燒瓶中,添加113 g(1.0 mol)2,6-二甲基六氫吡啶及500 ml己烷。配合攪拌,透過該滴液漏斗逐滴添加50.5g(0.5 mol)氯三甲基矽烷。等完成添加之後,使該反應混合物迴流6小時,冷卻至室溫,過濾該混合物。以己烷清洗固體,並且結合該己烷溶液與濾液。藉由蒸餾移除溶劑己烷。藉由分餾獲得134g的2,6-二甲基六氫吡啶基三甲基矽烷。產率為75%。圖2中提供的質譜確定其係為具有185(M)、170(M-15)斷片的二甲基六氫吡啶基三甲基矽烷。

Claims (17)

  1. 一種將矽氧化物膜沉積於基材上之方法,該方法包含以下步驟:a.將基材提供於一反應器中;b.將至少一矽前驅物引進該反應器中;c.以洗淨氣體洗淨反應器;d.將氧來源引進該反應器中;及e.以洗淨氣體洗淨反應器;而且其中重複進行步驟b至e直到沉積得到所欲厚度的矽氧化物為止;及其中此方法係於介於500至800℃的一或更多溫度及介於50毫托耳(mT)至760托耳的一或更多壓力下進行。
  2. 如申請專利範圍第1項之方法,其中該至少一矽前驅物具有選自由下列所組成的群組的化學式:I. R1R2 mSi(NR3R4)nXp其中R1、R2及R3係各自獨立地選自氫、線性或分支C1至C10烷基及C6至C10芳基;R4係選自線性或分支C1至C10烷基及C6至C10芳基、C3至C10烷基矽烷基;其中R3及R4係連接以形成一環狀環結構或R3及R4沒連接以形成一環狀環結構;X係選自由Cl、Br及I所組成的群組的鹵基;m係0至3;n係0至2;而且p係0至2而且m+n+p=3;及 II. R1R2 mSi(OR3)n(OR4)qXp其中R1及R2係各自獨立地選自氫、線性或分支C1至C10烷基及C6至C10芳基;R3及R4係各自獨立地選自線性或分支C1至C10烷基及C6至C10芳基;其中R3及R4係連接以形成一環狀環結構或R3及R4沒連接以形成一環狀環結構;X係選自由Cl、Br及I所組成的群組的鹵基;m係0至3;n係0至2;q係0至2而且p係0至2而且m+n+q+p=3。
  3. 如申請專利範圍第1項之方法,其中該至少一矽前驅物係選自由下列所組成的群組:二乙基胺基三乙基矽烷、二甲基胺基三乙基矽烷、乙基甲基胺基三乙基矽烷、第三丁基胺基三乙基矽烷、異丙基胺基三乙基矽烷、二異丙基胺基三乙基矽烷、吡咯烷酮基三乙基矽烷、二乙基胺基三甲基矽烷、二甲基胺基三甲基矽烷、乙基甲基胺基三甲基矽烷、第三丁基胺基三甲基矽烷、異丙基胺基三甲基矽烷、二異丙基胺基三甲基矽烷、吡咯烷酮基三甲基矽烷、二乙基胺基二甲基矽烷、二甲基胺基二甲基矽烷、乙基甲基胺基二甲基矽烷、第三丁基胺基二甲基矽烷、異丙基胺基二甲基矽烷、二異丙基胺基二甲基矽烷、吡咯啶基二甲基矽烷、二乙基胺基二乙基矽烷、二甲基胺基二乙基矽烷、乙基甲基胺基二乙基矽烷、第三丁基胺基二乙基矽烷、異丙基胺基二乙基矽烷、二異丙基胺基二乙基矽烷、吡咯烷酮基二 乙基矽烷、雙(二乙基胺基)二甲基矽烷、雙(二甲基胺基)二甲基矽烷、雙(乙基甲基胺基)二甲基矽烷、雙(二異丙基胺基)二甲基矽烷、雙(異丙基胺基)二甲基矽烷、雙(第三丁基胺基)二甲基矽烷、二吡咯啶基二甲基矽烷、雙(二乙基胺基)二乙基矽烷、雙(二甲基胺基)二乙基矽烷、雙(乙基甲基胺基)二乙基矽烷、雙(二異丙基胺基)二乙基矽烷、雙(異丙基胺基)二乙基矽烷、雙(第三丁基胺基)二乙基矽烷、二吡咯啶基二乙基矽烷、雙(二乙基胺基)甲基乙烯基矽烷、雙(二甲基胺基)甲基乙烯基矽烷、雙(乙基甲基胺基)甲基乙烯基矽烷、雙(二異丙基胺基)甲基乙烯基矽烷、雙(異丙基胺基)甲基乙烯基矽烷、雙(第三丁基胺基)甲基乙烯基矽烷、二吡咯啶基甲基乙烯基矽烷、2,6-二甲基六氫吡啶基甲基矽烷、2,6-二甲基六氫吡啶基二甲基矽烷、2,6-二甲基六氫吡啶基三甲基矽烷、叁(二甲基胺基)苯基矽烷、叁(二甲基胺基)甲基矽烷、叁(二甲基胺基)乙基矽烷、叁(二甲基胺基)氯矽烷及其混合物。
  4. 如申請專利範圍第1項之方法,其中該至少一矽前驅物係選自由下列所組成的群組:甲氧基三甲基矽烷、乙氧基三甲基矽烷、異丙氧基三甲基矽烷、第三丁氧基三甲基矽烷、第三戊氧基三甲基矽烷、苯氧基三甲基矽烷、乙醯氧基三甲基矽烷、甲氧基三乙基矽烷、乙氧基三乙基矽烷、異丙 氧基三乙基矽烷、第三丁氧基三乙基矽烷、第三戊氧基三乙基矽烷、苯氧基三乙基矽烷、乙醯氧基三乙基矽烷、甲氧基二甲基矽烷、乙氧基二甲基矽烷、異丙氧基二甲基矽烷、第三丁氧基二甲基矽烷、第三戊氧基二甲基矽烷、苯氧基二甲基矽烷、乙醯氧基二甲基矽烷、甲氧基二甲基苯基矽烷、乙氧基二甲基苯基矽烷、異丙氧基二甲基苯基矽烷、第三丁氧基二甲基苯基矽烷、第三戊氧基二甲基苯基矽烷、苯氧基二甲基苯基矽烷、乙醯氧基二甲基苯基矽烷、二甲氧基二甲基矽烷、二乙氧基二甲基矽烷、二異丙氧基二甲基矽烷、二第三丁氧基二甲基矽烷、二乙醯氧基二甲基矽烷、二甲氧基二乙基矽烷、二乙氧基二乙基矽烷、二異丙氧基二乙基矽烷、二第三丁氧基二乙基矽烷、二乙醯氧基二乙基矽烷、二甲氧基二異丙基矽烷、二乙氧基二異丙基矽烷、二異丙氧基二異丙基矽烷、二第三丁氧基二異丙基矽烷、二乙醯氧基二異丙基矽烷、二甲氧基甲基乙烯基矽烷、二乙氧基甲基乙烯基矽烷、二異丙氧基甲基乙烯基矽烷、二第三丁氧基甲基乙烯基矽烷、二乙醯氧基甲基乙烯基矽烷、1,1,3,4-四甲基-1-矽雜-2,5-二氧雜環戊烷、1,1,3,3,4,4-六甲基-1-矽雜-2,5-二氧雜環戊烷及其混合物。
  5. 如申請專利範圍第1項之方法,其中該至少一矽前驅物係選自由下列所組成的群組:1,1,1,3,3,3-六甲基二矽氮烷、 1,1,1,3,3,3-六乙基二矽氮烷、1,1,3,3-四甲基二矽氮烷、1,1,3,3-四乙基二矽氮烷、1,1,1,2,3,3,3-七甲基二矽氮烷、1,1,1,3,3,3-六乙基-2-甲基二矽氮烷、1,1,2,3,3-五甲基二矽氮烷、1,1,3,3-四乙基-2-甲基二矽氮烷、1,1,1,3,3,3-六甲基-2-乙基二矽氮烷、1,1,1,2,3,3,3-七乙基二矽氮烷、1,1,3,3-四甲基-2-乙基二矽氮烷、1,1,2,3,3-五乙基二矽氮烷、1,1,1,3,3,3-六甲基-2-異丙基二矽氮烷、1,1,1,3,3,3-六乙基-2-異丙基二矽氮烷、1,1,3,3-四甲基-2-異丙基二矽氮烷、1,1,3,3-四乙基-2-異丙基二矽氮烷及其混合物。
  6. 如申請專利範圍第1項之方法,其中該洗淨氣體係選自由氮、氦及氬所組成的群組。
  7. 如申請專利範圍第1項之方法,其中該氧來源係選自由氧、過氧化物、氧電漿、水蒸氣、水蒸氣電漿、過氧化氫及臭氧來源所組成的群組。
  8. 如申請專利範圍第1至7項中任一項之方法,其於步驟e之後另外包含步驟f和g:f.將水蒸氣或羥基來源引進該反應器中;g.以洗淨氣體洗淨反應器,其中重複進行步驟b至g直到沉積得到所欲厚度的矽氧化物為止。
  9. 一種沉積矽氧化物之方法,該方法包含以下步驟:a)將基材提供於一反應器中;b)將至少一矽前驅物引進該反應器中;c)以洗淨氣體洗淨反應器;d)將氧來源引進該反應器中;e)以洗淨氣體洗淨反應器;而且其中重複進行步驟b至e直到沉積得到所欲厚度為止;其中製程溫度介於500至800℃而且壓力介於50毫托耳(mT)至760托耳,而且該矽前驅物包含至少一投錨官能基(anchoring functionality)及包含Si-Me基團的鈍化官能基(passivating functionality)。
  10. 如申請專利範圍第9項之方法,其中該氧來源係選自由氧、過氧化物、氧電漿、氧化亞氮、水蒸氣、水蒸氣電漿、過氧化氫及臭氧來源所組成的群組。
  11. 如申請專利範圍第9項之方法,其中該製程溫度介於550至750℃。
  12. 如申請專利範圍第9項之方法,該壓力介於50毫托耳(mT)至100托耳。
  13. 如申請專利範圍第9項之方法,其中該投錨官能基係胺基。
  14. 如申請專利範圍第9項之方法,其中該投錨官能基係選自由Cl、Br及I所組成的群組的鹵基。
  15. 如申請專利範圍第9項之方法,其中該投錨官能基係烷氧基。
  16. 如申請專利範圍第9項之方法,其中該至少一矽前驅物係選自由下列所組成的群組:異丙基胺基三甲基矽烷、第三丁基胺基三甲基矽烷、異丁基胺基三甲基矽烷、環六胺基三甲基矽烷、吡咯啶基三甲基矽烷、2-甲基吡咯啶基三甲基矽烷、2,5-二甲基吡咯啶基三甲基矽烷、六氫吡啶基三甲基矽烷、2,6-二甲基六氫吡啶基三甲基矽烷、1-甲基六氫吡嗪基三甲基矽烷、吡咯基三甲基矽烷、2,5-二甲基吡咯基三甲基矽烷、咪唑基三甲基矽烷、1,1,1,3,3,3-六甲基二矽氮烷、甲氧基三甲基矽烷、乙氧基三甲基矽烷及氯矽烷。
  17. 一種含矽前驅物,其係選自由2,6-二甲基六氫吡啶基三甲基矽烷、2,5-二甲基吡咯啶基三甲基矽烷及2-甲基吡咯啶基三甲基矽烷所組成的群組。
TW102112932A 2012-04-12 2013-04-11 矽氧化物薄膜的高溫原子層沉積 TWI515325B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261623217P 2012-04-12 2012-04-12
US13/857,507 US9460912B2 (en) 2012-04-12 2013-04-05 High temperature atomic layer deposition of silicon oxide thin films

Publications (2)

Publication Number Publication Date
TW201343952A true TW201343952A (zh) 2013-11-01
TWI515325B TWI515325B (zh) 2016-01-01

Family

ID=48182719

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102112932A TWI515325B (zh) 2012-04-12 2013-04-11 矽氧化物薄膜的高溫原子層沉積

Country Status (6)

Country Link
US (3) US9460912B2 (zh)
EP (1) EP2650399B1 (zh)
JP (5) JP2013236073A (zh)
KR (5) KR20130116210A (zh)
CN (1) CN103374708B (zh)
TW (1) TWI515325B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI713789B (zh) * 2016-09-19 2020-12-21 美商慧盛材料美國責任有限公司 用於沉積氧化矽膜的組合物及方法
TWI721588B (zh) * 2018-10-05 2021-03-11 美商慧盛材料美國責任有限公司 含矽膜的高溫原子層沉積
TWI811464B (zh) * 2018-10-04 2023-08-11 美商慧盛材料美國責任有限公司 用於高品質氧化矽薄膜的高溫原子層沉積的組合物

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101720017B1 (ko) * 2014-01-08 2017-03-27 (주)디엔에프 신규한 트리실릴아민 유도체, 이의 제조방법 및 이를 이용한 실리콘 함유 박막
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9583337B2 (en) 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
CN105097500B (zh) * 2014-05-23 2020-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US10113234B2 (en) * 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
CN104120404A (zh) * 2014-07-23 2014-10-29 国家纳米科学中心 一种超薄氧化硅膜材料及其制备方法
CN104099581A (zh) * 2014-07-23 2014-10-15 国家纳米科学中心 一种氧化硅膜材料及其制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104911561B (zh) * 2015-04-14 2017-12-26 中国计量科学研究院 制备高厚度均匀性纳米/亚微米SiO2薄膜的方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
EP3347504A1 (en) * 2015-09-11 2018-07-18 Air Products and Chemicals, Inc. Methods for depositing a conformal metal or metalloid silicon nitride film and resultant films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10283348B2 (en) * 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN105870249B (zh) * 2016-03-24 2017-10-03 江苏微导纳米装备科技有限公司 一种晶硅太阳能电池的制造工艺
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10464953B2 (en) 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102548405B1 (ko) 2017-04-20 2023-06-28 (주)디엔에프 다이실릴아민 화합물을 포함하는 실리콘 함유 박막증착용 조성물 및 이를 이용하는 실리콘 함유 박막의 제조방법
KR102093227B1 (ko) 2017-04-20 2020-03-25 (주)디엔에프 다이실릴아민 화합물, 이의 제조방법 및 이를 포함하는 실리콘 함유 박막증착용 조성물
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
JP6676592B2 (ja) * 2017-08-22 2020-04-08 キヤノン株式会社 液体吐出ヘッドの製造方法
CN107523809B (zh) * 2017-08-23 2019-06-25 江苏菲沃泰纳米科技有限公司 一种有机硅硬质纳米防护涂层的制备方法
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US11049714B2 (en) * 2017-09-19 2021-06-29 Versum Materials Us, Llc Silyl substituted organoamines as precursors for high growth rate silicon-containing films
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102251040B1 (ko) 2017-10-24 2021-05-12 (주)덕산테코피아 아미노실란 전구체 화합물 및 이를 사용하는 박막 형성 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190105970A (ko) 2018-03-07 2019-09-18 (주)덕산테코피아 SiC 전구체 화합물 및 이를 사용하는 박막 형성 방법
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102173384B1 (ko) 2018-03-30 2020-11-03 에스케이트리켐 주식회사 SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20210384029A1 (en) * 2018-04-09 2021-12-09 Lam Research Corporation Modifying hydrophobicity of a wafer surface using an organosilicon precursor
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10985010B2 (en) * 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11567407B2 (en) * 2018-09-28 2023-01-31 Tokyo Electron Limited Method for globally adjusting spacer critical dimension using photo-active self-assembled monolayer
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
JP7087917B2 (ja) 2018-10-31 2022-06-21 住友ゴム工業株式会社 クロスプライタイヤの製造方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
EP3680245A4 (en) * 2018-11-30 2020-07-22 Hansol Chemical Co., Ltd SILICON PRECURSOR AND METHOD FOR PRODUCING A THIN FILM CONTAINING SILICON USING THEREOF
KR102157137B1 (ko) * 2018-11-30 2020-09-17 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210104123A (ko) * 2018-12-21 2021-08-24 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 550℃ 이상의 온도에서 ald를 사용하여 si-함유 막을 증착시키기 위한 전구체 및 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20210111360A (ko) * 2019-02-01 2021-09-10 버슘머트리얼즈 유에스, 엘엘씨 규소 함유 필름을 위한 조성물 및 이의 사용 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
JP2022530419A (ja) * 2019-04-25 2022-06-29 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化ケイ素薄膜の高温原子層堆積のための有機アミノジシラザン
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7065805B2 (ja) * 2019-05-13 2022-05-12 大陽日酸株式会社 ハロゲン化アミノシラン化合物、薄膜形成用組成物およびシリコン含有薄膜
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7023905B2 (ja) 2019-08-30 2022-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
JP2021040060A (ja) * 2019-09-04 2021-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システム、およびプログラム
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114365265A (zh) * 2019-09-10 2022-04-15 弗萨姆材料美国有限责任公司 用于非保形沉积含硅膜的组合物和使用该组合物的方法
WO2021050659A1 (en) * 2019-09-13 2021-03-18 Versum Materials Us, Llc Monoalkoxysilanes and dense organosilica films made therefrom
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
JP7314016B2 (ja) * 2019-10-16 2023-07-25 大陽日酸株式会社 金属酸化薄膜の形成方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
WO2021133774A1 (en) * 2019-12-27 2021-07-01 Versum Materials Us, Llc Method for depositing a film
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR102364476B1 (ko) * 2020-05-08 2022-02-18 주식회사 한솔케미칼 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7123100B2 (ja) 2020-09-24 2022-08-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20240031411A (ko) * 2021-07-19 2024-03-07 램 리써치 코포레이션 옥사이드 막들의 증착 레이트들 상승
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114391A1 (en) * 2021-12-17 2023-06-22 Entegris, Inc. Precursors and related methods

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000077399A (ja) 1998-01-21 2000-03-14 Nippon Steel Corp シリカ系多孔質膜およびその製造方法
JP3633821B2 (ja) * 1999-03-18 2005-03-30 独立行政法人科学技術振興機構 気相からの低誘電率多孔質シリカ膜の形成方法
KR100505668B1 (ko) 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
JP4410497B2 (ja) * 2003-06-17 2010-02-03 東京エレクトロン株式会社 成膜方法
TW200529325A (en) 2003-09-30 2005-09-01 Aviza Tech Inc Growth of high-k dielectrics by atomic layer deposition
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
WO2006025356A1 (ja) 2004-09-01 2006-03-09 Konica Minolta Holdings, Inc. ガスバリア積層体及びその製造方法
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP2006269621A (ja) * 2005-03-23 2006-10-05 Mitsui Eng & Shipbuild Co Ltd Aldによる薄膜形成方法および装置
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4476880B2 (ja) 2005-06-24 2010-06-09 株式会社東芝 絶縁膜の形成方法、半導体装置の製造方法、半導体装置
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
WO2007139379A1 (en) 2006-05-30 2007-12-06 Fujifilm Manufacturing Europe B.V. Method and apparatus for deposition using pulsed atmospheric pressure glow discharge
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
JP4836761B2 (ja) 2006-11-29 2011-12-14 株式会社日立国際電気 半導体デバイスの製造方法
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP5211572B2 (ja) 2007-07-27 2013-06-12 株式会社明電舎 酸化膜形成方法
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP4982457B2 (ja) 2008-09-11 2012-07-25 信越化学工業株式会社 パターン形成方法
KR101293896B1 (ko) 2008-12-03 2013-08-06 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
JP2010275602A (ja) 2009-05-29 2010-12-09 Adeka Corp 化学気相成長用原料とこれを用いたシリコン含有薄膜形成方法
GB0910040D0 (en) * 2009-06-11 2009-07-22 Fujifilm Mfg Europe Bv Substrate structure
JP5011355B2 (ja) * 2009-07-30 2012-08-29 東京エレクトロン株式会社 成膜方法
JP5421736B2 (ja) * 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
EP2363512A1 (en) 2010-02-04 2011-09-07 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8460753B2 (en) 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US8809152B2 (en) * 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI713789B (zh) * 2016-09-19 2020-12-21 美商慧盛材料美國責任有限公司 用於沉積氧化矽膜的組合物及方法
TWI811464B (zh) * 2018-10-04 2023-08-11 美商慧盛材料美國責任有限公司 用於高品質氧化矽薄膜的高溫原子層沉積的組合物
TWI721588B (zh) * 2018-10-05 2021-03-11 美商慧盛材料美國責任有限公司 含矽膜的高溫原子層沉積
TWI816086B (zh) * 2018-10-05 2023-09-21 美商慧盛材料美國責任有限公司 含矽膜的高溫原子層沉積

Also Published As

Publication number Publication date
JP6276360B2 (ja) 2018-02-07
CN103374708B (zh) 2017-05-17
US20160365244A1 (en) 2016-12-15
EP2650399B1 (en) 2019-09-11
EP2650399A3 (en) 2013-10-30
US9460912B2 (en) 2016-10-04
US20130295779A1 (en) 2013-11-07
CN103374708A (zh) 2013-10-30
KR102552319B1 (ko) 2023-07-07
KR20130116210A (ko) 2013-10-23
JP6262702B2 (ja) 2018-01-17
JP2013236073A (ja) 2013-11-21
US20190189431A1 (en) 2019-06-20
JP2018014536A (ja) 2018-01-25
TWI515325B (zh) 2016-01-01
US10242864B2 (en) 2019-03-26
EP2650399A2 (en) 2013-10-16
JP6673886B2 (ja) 2020-03-25
JP2016027674A (ja) 2016-02-18
KR20150091296A (ko) 2015-08-10
KR20200084308A (ko) 2020-07-10
US20170256399A9 (en) 2017-09-07
KR20180026685A (ko) 2018-03-13
US10991571B2 (en) 2021-04-27
JP2017028313A (ja) 2017-02-02
KR20210042072A (ko) 2021-04-16
JP2020038978A (ja) 2020-03-12

Similar Documents

Publication Publication Date Title
TWI515325B (zh) 矽氧化物薄膜的高溫原子層沉積
JP6777680B2 (ja) 有機アミノシラン前駆体およびこれを含む膜の堆積方法
TWI557259B (zh) 用於沉積氧化矽膜的組合物及方法
TWI491760B (zh) 有機胺基矽烷前驅物及其膜的沉積方法
TWI680982B (zh) 作為高成長速率含矽膜的前驅物的官能化環矽氮烷
JP7007377B2 (ja) 高成長速度のケイ素含有膜のための炭素架橋アミノシラン化合物
TW201938567A (zh) 含第四主族金屬元素的化合物、其製備方法、包括其的膜形成用前體組合物以及利用其的膜形成方法
TW202041703A (zh) 用於矽氧化物薄膜的高溫原子層沉積之有機胺基二矽氮烷
JP2022504248A (ja) 高品質酸化ケイ素薄膜の高温原子層堆積のための組成物
JP2022504232A (ja) ケイ素含有膜の高温原子層堆積
KR102173384B1 (ko) SiO2 박막 형성용 전구체 및 이를 이용한 SiO2 박막 형성.
KR20190114874A (ko) 실리콘 박막 형성용 전구체 및 이를 이용한 실리콘 함유 박막 형성 방법 및 상기 실리콘 함유 박막을 포함하는 반도체 소자.
TW201713671A (zh) 有機胺基二矽烷前驅物及其用於沉積膜的方法