JP2011238704A - 半導体装置および半導体装置の製造方法 - Google Patents

半導体装置および半導体装置の製造方法 Download PDF

Info

Publication number
JP2011238704A
JP2011238704A JP2010107698A JP2010107698A JP2011238704A JP 2011238704 A JP2011238704 A JP 2011238704A JP 2010107698 A JP2010107698 A JP 2010107698A JP 2010107698 A JP2010107698 A JP 2010107698A JP 2011238704 A JP2011238704 A JP 2011238704A
Authority
JP
Japan
Prior art keywords
film
insulating film
semiconductor device
gas
organic silica
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010107698A
Other languages
English (en)
Other versions
JP5671253B2 (ja
Inventor
Ippei Kume
一平 久米
Jun Kawahara
潤 川原
Naoya Kotake
直也 古武
Shinobu Saito
忍 齋藤
Yoshihiro Hayashi
喜宏 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2010107698A priority Critical patent/JP5671253B2/ja
Priority to TW100115148A priority patent/TWI528454B/zh
Priority to US13/101,569 priority patent/US8759212B2/en
Priority to CN201110120262.8A priority patent/CN102237272B/zh
Publication of JP2011238704A publication Critical patent/JP2011238704A/ja
Application granted granted Critical
Publication of JP5671253B2 publication Critical patent/JP5671253B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5227Inductive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】ビア深さのバラツキを抑制することができる半導体装置の構造およびその製造方法を提供する。
【解決手段】半導体装置の製造方法は、基板上に、SiおよびCを含むキャップ絶縁膜を形成する工程と、キャップ絶縁膜上に、キャップ絶縁膜と比較して、シリコン原子数に対する炭素原子数の組成比が高い、有機シリカ膜を形成する工程と、不活性ガス、Nを含むガス、フッ化炭素ガスおよび酸化剤ガスを含む混合ガスを用いたプラズマ処理により、有機シリカ膜に、異なる開口径を有する2以上の凹部を形成する工程と、を含む。
【選択図】図10

Description

本発明は、半導体装置および半導体装置の製造方法に関する。
近年、LSIの微細化にともない、配線層間絶縁膜としては、比誘電率が低い絶縁膜が主に用いられている。くわえて、低誘電率化を目的として、エッチングストッパであるキャップ絶縁膜が薄膜化してきている。これにより、ビア配線形成工程においてエッチングストップ性が低下してしまうことが顕在化してきている。さらに、ビア抵抗を低減するために開口径の大きい、スリットビアの導入が求められている。このため、今後のLSI配線においては、通常のビアとビアより大きいスリットビアとを同時に加工する技術の重要性が増してきている。
このような開口径の異なるスリットビアパターンを形成する技術については、例えば特許文献1に記載されている。同文献によれば、SiCNのキャップ絶縁膜上に設けられたSiCOHの層間絶縁膜において、開口径が大きいスリットビアパターン部におけるエッチストッパを、部分的に厚くすることが記載されている。これにより、エッチングストップ性を大きくして、ビア間の深さのバラツキを抑制することができると記載されている。また、特許文献1には、ガス種については記載されていない。
なお、特許文献2には、アスペクト比の異なるトレンチのエッチングレートを制御する技術が記載されている。すなわち、同文献によれば、SiC等のキャップ絶縁膜上に設けられたCF系の層間絶縁膜に対して、CHFガス等の水素含有ガスとNガスを併用して、トレンチを形成する。トレンチ形成工程では、アスペクト比の小さいビアホールにおいては、底部にデポが堆積し、一方、アスペクト比の高いビアポールにおいては、底部にデポが堆積しない。このため、アスペクト比の小さいビアホールにおいて、デポによりエッチレートを小さくすることができると記載されている。
一方、キャップ絶縁膜のエッチングストップ性を改善する技術としては、例えば特許文献3に記載されている。特許文献3においては、SiNのキャップ絶縁膜上に設けられた(HO)SiCHの層間絶縁膜に対して、CFガス/Oガス/Arガスの混合ガスのうち、OガスをNガスに変えて、プラズマ処理を行っている。Nガスは、CF系の堆積膜を化学的エッチングできないため、CF系の堆積膜が存在する層間絶縁膜のCHの引き抜き現象が生じないと記載されている。これにより、サブトレンチの発生を抑制しつつ、SiNに対する選択比を上げられるとされている。
また、非特許文献1には、高炭素含有有機シリカ膜を用いて、エッチングダメージを抑制することができると記載されている。非特許文献1に示すように、この高炭素含有有機シリカ膜の炭素含有量は、上記特許文献1に示すような一般に汎用されている多孔質SiOCH膜の炭素含有量よりも高くなっている。
特開2007−318124号公報 特開2009−105272号公報 特開2008−177596号公報
M.Ueki、IEEE、pp619−622(2008)
しかしながら、キャップ絶縁膜が削られないようにするためには、ガス種として適切なものを選択する必要がある。くわえて、層間絶縁膜としては、低誘電率膜が求められており、各種の膜が存在する。このため、キャップ絶縁膜との選択比を考慮すると、層間絶縁膜の材料やその組み合わせを変える場合には、適用するガス種を変更する必要がある。
本発明によれば、
基板上に、SiおよびCを含む絶縁膜を形成する工程と、
前記絶縁膜上に、前記絶縁膜と比較して、シリコン原子数に対する炭素原子数の組成比が高い、有機シリカ膜を形成する工程と、
不活性ガス、Nを含むガス、フッ化炭素ガスおよび酸化剤ガスを含む混合ガスを用いたプラズマ処理により、前記有機シリカ膜に、異なる開口径を有する2以上の凹部を形成する工程と、を含む半導体装置の製造方法が提供される。
本発明によれば、
上記半導体装置の製造方法で得られた半導体装置が提供される。
Nを含むガス中のNは、励起されると、炭素と反応する。本発明においては、有機シリカ膜中の炭素含有量を多くし、一方、絶縁膜の炭素含有量を少なくしている。これにより、有機シリカ膜の炭素のエッチングレートを上げつつ、絶縁膜の炭素のエッチングレートを下げることができる。このように、Nを含むガスによるエッチング選択比を向上させることができる。そして、エッチング選択比を向上させることにより、開口径が異なるビア間の深さのバラツキを抑制することができる。
本発明によれば、
基板と、
前記基板上に設けられた層間絶縁膜と、
前記層間絶縁膜内に複数の配線溝が設けられており、前記配線溝にそれぞれ埋め込まれた第1の金属膜と、
前記層間絶縁膜上に設けられており、SiおよびCを含む絶縁膜と、
前記絶縁膜上に設けられており、前記絶縁膜と比較して、シリコン原子数に対する炭素原子数の組成比が高い、有機シリカ膜と、
前記有機シリカ膜に第1の凹部と前記第1の凹部より開口径が大きい第2の凹部が設けられており、前記第1の凹部および前記第2の凹部のそれぞれに埋め込まれた第2の金属膜と、を備え、
前記第2の金属膜と前記第1の金属膜とは電気的に接続しており、
前記第1の凹部および前記第2の凹部のそれぞれにおける前記第2の金属膜と前記第1の金属膜との間の前記第1の金属膜の表面において、透過型電子顕微鏡と電子エネルギ損失分光法とにより測定したとき、前記第1の金属膜を構成する金属の酸化物に相当するピークを有しないように構成されている、半導体装置が提供される。
本発明の半導体装置においては、有機シリカ膜中の炭素含有量が多く、一方、絶縁膜の炭素含有量が少ない構成となっている。このため、半導体装置の製造過程において、前述の通り、エッチング選択比を向上させることにより、開口径が異なるビア間の深さのバラツキが抑制される。その結果、第1の凹部および第2の凹部における絶縁膜の残存膜厚のバラツキが抑制され、凹部を形成する際、第1の金属膜の表面を酸化から保護することができる。このため、第1の金属膜の表面において、第1の金属膜を構成する金属の酸化物が実質的に存在しないように構成されている。
本発明によれば、ビア深さのバラツキを抑制することができ、信頼性に優れた半導体装置の構造およびその製造方法を実現することができる。
エッチング選択比とN/Ar比との関係を示す図である。 エッチング速度とCFの流量との関係を示す図である。 本発明の実施形態に係わる半導体装置の製造工程を示す工程断面図である。 本発明の実施形態における成膜装置の概略を示す図である。 本発明に係る実施の形態による効果を説明する図である。 本発明に係る実施の形態のラインとビアの断面図である。 本発明の実施形態に係わる半導体装置の製造工程を示す工程断面図である。 本発明の実施形態に係わる半導体装置の製造工程を示す工程断面図である。 本発明の実施形態に係わる半導体装置の製造工程を示す工程断面図である。 本発明の実施形態に係わる半導体装置の鳥瞰図である。 本発明の実施形態に係わる半導体装置の鳥瞰図である。 本発明の実施形態に係わる半導体装置の鳥瞰図である。 キャップ絶縁膜の残膜と歩留まりとの関係を示す図である。 キャップ絶縁膜の残膜と信頼性との関係を示す図である。 ビアホール下の銅配線の表面および内部における組成成分を示す図である。
以下、本発明の実施の形態について、図面を用いて説明する。尚、すべての図面において、同様な構成要素には同様の符号を付し、適宜説明を省略する。
(半導体装置)
図9(c)は、本実施の形態の半導体装置の模式的な断面図を示す。
本実施の形態の半導体装置は、基板(シリコン基板)と、下層配線構造201と、下層配線構造201中のSiおよびCを含むキャップ絶縁膜201d上に設けられており、キャップ絶縁膜201dと比較して、シリコン原子数に対する炭素原子数の組成比(以下、C/Siと称する)が高い、有機シリカ膜202と、有機シリカ膜202内に第1の凹部(開口部208)および第2の凹部(開口部209)が設けられており、これらの開口部に埋め込まれた第2の金属膜(Cu膜211)とを備える。
開口部208と開口部209との開口径(または開口面積)は異なる。例えば、開口部208の開口径は、開口部209より大きい。そして、開口部208におけるCu膜211およびCu膜201c(第1の金属膜)の界面組成と、開口部209におけるCu膜211およびCu膜201cの界面組成とについては、開口率に依存することなく均質である(だたし、Cu膜211の底部にバリアメタル膜210が形成されている場合には、バリアメタル膜210とCu膜201cと界面組成が均質となるように構成させている)。このため、開口部208および開口部209のそれぞれにおけるCu膜211とCu膜201cとの間のCu膜201cの表面において、Cu膜201cを構成する金属(Cu)の酸化物(CuO)が実質的に存在しないように構成されている。
ここで、酸化物(CuO)が実質的に存在しないとは、開口部208および開口部209のそれぞれにおけるCu膜211とCu膜201cとの間のCu膜201cの表面において、透過型電子顕微鏡と電子エネルギ損失分光法とにより測定した(TEM−EELS)、Cu膜201cを構成する金属(Cu)のピークと比較して突出している、酸化物(CuO)に相当するピークが存在しないことを意味する。
図9(c)に示すように、本実施の形態の半導体装置は、不図示の半導体基板(シリコン基板)上に形成され、配線(銅配線)および絶縁層(層間絶縁膜)により構成された配線層が複数積層された多層配線層を有する。有機シリカ膜201aおよび有機シリカ膜202(第1の層間絶縁膜および第2の層間絶縁膜)には、それぞれ複数の配線溝が形成されている。各配線溝に、Cu膜201c、Cu膜211(第1の金属配線および第2の金属配線)が埋め込まれている。第1の金属配線(Cu膜201c)と第2の金属配線(Cu膜211)とは電気的に接続されている。Cu膜211はデュアルダマシン構造を有してもよいが、シングルダマシン構造を有してもよい。また、上層配線構造220においては、ライン状のCu膜211とビア状(柱状)のCu膜211が形成されている。それぞれのCu膜211の開口径(または開口面積)は異なる。そして、各配線溝内には、Cu膜201c、Cu膜211を覆うようにバリアメタル膜201b、バリアメタル膜210が形成されている。有機シリカ膜201aと有機シリカ膜202との間には、キャップ絶縁膜201dが形成されている。一方、有機シリカ膜202上およびCu膜211上には、キャップ絶縁膜212が形成されている。
本実施の形態の半導体装置においては、開口径(開口面積)の異なる複数のスリットビアパターンを有するCu多層配線の一括形成に際して、十分な加工制御性を有する。この開口部における下層配線の界面組成については、開口率に依存することなく、均質となる。このため、本実施の形態の半導体装置においては、製造プロセスの影響によりデバイス性能を阻害されない多層銅配線が得られる。したがって、本実施の形態においては、信頼性に優れた半導体装置が得られる。
次に、本実施の形態の製造方法について説明する。
本実施の形態の半導体装置の製造方法は、基板上に、SiおよびCを含むキャップ絶縁膜201dを形成する工程と、キャップ絶縁膜201d上に、キャップ絶縁膜201dと比較して、シリコン原子数に対する炭素原子数の組成比が高い、有機シリカ膜202を形成する工程と、不活性ガス、Nを含むガス、フッ化炭素ガスおよび酸化剤ガスを含む混合ガスを用いたプラズマ処理により、有機シリカ膜202に、異なる開口径を有する2以上の凹部(開口部208、開口部209)を形成する工程と、を含む。
本発明者は、有機シリカ膜の高い炭素含有量を利用し、有機シリカ膜/キャップ絶縁膜の高選択性エッチングが可能であることを見出した、これにより、本実施の形態においては、加工制御性に優れた多層配線を実現することができる。
本実施の形態のビアの一括形成の一例として、不活性ガスとしてAr、Nを含むガス(反応促進ガス)としてN、フッ化炭素ガスとしてCF、および酸化剤ガスとしてOを用いる。また、有機シリカ膜としてSiOCH膜、キャップ絶縁膜としてSiC膜を用い、キャップ絶縁膜に対する、有機シリカ膜の(C/Si)が1以上とする。また、ビアとしては、ライン状のビアと、ライン状のビアより開口径が小さいビアホールを用いる。
図1は、キャップ絶縁膜に対する有機シリカ膜のエッチング選択比とN/Ar比との関係を示す。
図1に示すように、Nガスの流量が増加すると、キャップ絶縁膜に対する有機シリカ膜のエッチング選択比(以下、エッチング選択比と略称する)が、増加する。また、不活性ガスを基準に換算すると、N/Ar比が増加すると、エッチング選択比も増加する。
この点について説明する。まず、Nガス中のNは、励起されると、有機シリカ膜中のCと反応する。有機シリカ膜の炭素含有量を多くし、一方キャップ絶縁膜の炭素含有量を少なくする。これにより、有機シリカ膜のエッチレートが増加し、一方キャップ絶縁膜のエッチレートが低下する。このため、上記エッチング選択比が高くなる。
また、Nはイオン化しやすい元素であり、かつArよりも原子番号が小さくイオンボンバードメントが小さいため、高イオン性エッチングに用いてもサブトレンチの形成が抑制できる。そのため、加工均一性の高いエッチングを実現することができる。
/Ar比としては、特に限定されないが、1以上が好ましく、1.5以上がより好ましい。
以上により、Nガスを用いると、キャップ絶縁膜よりも炭素含有量が高い有機シリカ膜において、エッチング選択比を向上させることができる。この点は、Nガスに限らず、Nを含むガスについても言える。そして、エッチング選択比を向上させることにより、開口径が異なるビア間の深さのバラツキを抑制することができる。
したがって、キャップ絶縁膜に対する、有機シリカ膜の(C/Si)を1以上、より好ましくは2以上とすることにより、Nを含むガスによるエッチング選択比を向上させることができる。
また、図2は、エッチング速度とCFの流量との関係を示す。図2中の(i)は、Oガスの流量が15sccmの条件の場合のエッチング速度を示し、一方、(ii)は、Oガスの流量が10sccmの条件の場合のエッチング速度を示す。
図2に示すように、C/Si比が1以上の有機シリカ膜のエッチングにおいては、CFの流量が所定値以上の場合、エッチング速度が飽和し、一定となる。また、Oガスを基準に換算すると、CF/O比が、所定値以上の場合、エッチング速度は飽和し、一定となる。図2から、CF/O比が4以上のとき、エッチング速度は飽和し、一定となることが分かる。
したがって、このようなCF/O比でエッチングを行うと、ビア間の開口径(または開口面積)に依存せずに、エッチング速度が一定となるため、開口径が異なるビア間において、ビア深さを同じにすることができる。
また、エッチング速度が一定となるCF/O比(以下、飽和CF/O比と称する)は、C/Si比で決定され、Cが多いほど飽和CF/O比は小さくなる。また、エッチング速度が一定となるCF流量(以下、飽和CF流量と称する)は、C/Si比で決定され、Cが多いほど飽和CF流量は小さくなる。
本実施の形態においては、CF/O比は、1.0以上、5.0以下が好ましい。CF/O比を下限値以上とすることにより、エッチング速度のバラツキを抑制することができ、一方、上限値以下とすることにより、エッチング選択性が向上し、加工制御性に優れる。
したがって、キャップ絶縁膜に対する、有機シリカ膜の(C/Si)が1以上、より好ましくは2以上とすることにより、フッ化炭素ガスによるエッチング選択比を向上させることができる。
また、高炭素含有有機シリカ膜は、キャップ絶縁膜(エッチストッパ)よりもC/Si比が高いため、CF/O比が低い側でエッチストップ性が最大となる。
この点について補足する。CF流量が小さくなると、Siエッチレートが小さくなる。本実施の形態においては、Si含有量においては、有機シリカ膜では小さく、キャップ絶縁膜では大きい。このため、キャップ絶縁膜のエッチレートが小さくなる。これにより、エッチング選択比を向上させることができる。
また、Siの除去は、CFxラジカルが支配的であることが、パターン間差が生まれる主たる要因である。しかしながら、本実施の形態においては、CF(CFxラジカル)の流量を、飽和CF/O比の飽和CF流量よりも大きくする場合には、エッチング速度が一定となるため、開口径によりCFxラジカル供給量が変化してもエッチング速度は変化しない。つまり、開口径の異なるビア間のエッチング速度を同じにすることができる。このため、エッチング速度のパターン間差を解消することが可能となる。
また、CF流量が、飽和CF/O比の飽和CF流量よりも大きい場合には、ビア加工において、開口径・開口面積に依らず、同時にスリットビアが開口される。このため、キャップ開口後、スリットビア部におけるCu配線へのオーバーエッチング量は同等となる。そして、オーバーエッチングの影響によるCu表面の酸化や付着するエッチング生成物、Cuの変形量等の程度についても、開口径・開口面積に依存せずに、同等とすることができる。そのため、薬液処理による洗浄効果も全てのスリットビアで同等であり、ウエハ面内でビアと下層Cu配線の界面組成および形状は均質となる。本実施の形態においては、ビア/Cu配線界面の組成や形状が均質に制御できるので、ビア抵抗のバラツキを小さくすることが可能であり、ビア信頼性も向上する。
また、高イオン性エッチングでは、イオンボンバードメントにより結合が破壊され、エッチングが促進される。しかし、C/Si比が1よりも大きい高炭素含有有機シリカ膜はキャップ絶縁膜と同様に比較的結合の弱いCH−*(*はSiやC)で形成されており、強固なSi−O結合が少ないため、高Ar流量ではむしろエッチング速度が低下する。つまり、高炭素含有有機シリカ膜のエッチングでは、高Ar流量エッチングでもサブトレンチ形状となりにくい。また、Arに代わるガスとして、Nを使用しても、Nイオンの供給量に依らず、飽和CF/O比によりエッチング速度は一定であるため、同様にサブトレンチ形状とはなりにくい。そのため、高炭素含有有機シリカ膜のエッチングにおいて、高Ar流量かつ高N流量による高イオン性エッチングが可能となる。特にN/Ar比が1以上のとき、高炭素含有有機シリカ膜/キャップ絶縁膜の加工選択性が向上する。
また、N/Ar比が1以上、且つCF/O比が5以下の混合ガスを用いることで、開口径の異なるスリットビアパターンのパターン間差を抑制しつつ、キャップ絶縁膜のエッチングストップ性を実現することが可能となる。このように、本発明者は、高炭素含有有機シリカ膜はイオンボンバードメントによるエッチングレート向上効果が小さいという物性を利用したエッチングにおいて、一定以上のCF/O比により、異なる開口径を有するビア間における有機シリカ膜のエッチングレートを一定に出来るということを見出した。
これにより、開口径が異なるビア間において、ビア深さのバラツキを抑制することができる。また、ビア直下のエッチング絶縁膜の残膜をビア間で同等にできるので、それぞれの開口部における下層配線の界面組成については、開口率に依存することなく、均質とすることができる。
以下、本実施の形態に係る各ガスの成分や有機シリカ膜の材料等について詳述する。
Nを含むガスとしては、窒素ガス、アンモニアガス、第1級アミンガス、第2級アミンガス、第3級アミンガス、または第4級アンモニウム等を用いることができる(ただし、酸化剤ガスを除く)。これらのガスは、プラズマ中で励起されると、有機シリカ膜中のCと反応する反応促進ガスである。
不活性ガスとしては、例えば、ヘリウム、ネオン、アルゴン、クリプトン、キセノン、ラドン等のガスを用いることができる(ただし、窒素を含むガスを除く)。
フッ化炭素ガスとしては、CxHyFzで表されるガスを用いることができる(xとzは、それぞれ同一または異なる自然数、yが0または自然数)。具体的には、CF、CHF、CH,CHF、C,C,C,C、C、C、C、C,C、C、CHF、C,CまたはCF等が挙げられる。
酸化剤ガスとしては、O、CO、CHOH、COH、COH、NO、NO、N,NO、NまたはNガスを用いることができる。
キャップ絶縁膜としては、シリコンカーバイト(SiC)、窒化シリコンカーバイト(SiCN)のいずれか、またはこれらの積層膜である。また、キャップ絶縁膜としては、不飽和炭化水素とアモルファスカーボンと酸素を含む酸素含有シリコンカーバイト(SiCON)、もしくはSiCN、SiCと該酸素含有シリコンカーバイト膜との積層膜である。また、キャップ絶縁膜のC/Si比は、1.0〜1.5であることが好ましい。
本実施の形態に係る有機シリカ膜は、多孔質SiOCH膜である。この多孔質SiOCH膜は、環状有機シロキサンを含む。
環状有機シロキサンは、環状シロキサン構造と、その側鎖に炭化水素基を有する。
環状シロキサンは、Si−O(シロキサン結合)を1ユニットとして数えた場合に、複数のユニットからなる環状構造を有する。この環状シロキサンは、同数のシリコン(Si)原子と酸素(O)原子が交互に連なった環状構造を有する。環状構造としては、例えば、3員環、4員環、5員環が挙げられる。環状構造としては、プロセス安定性の観点から、空孔径が小さい3員環が好ましい。
また、多孔質SiOCH膜は、個々の空孔が接続されていない独立空孔で構成されている。ここで、多孔質SiOCH膜の空孔の形成メカニズムを説明する。多孔質SiOCH膜の膜中の空孔の起源は、環状シロキサン骨格にある。このため、多孔質SiOCH膜は、個々の空孔が接続されていない独立空孔によって多孔質化されている。言い換えると、本実施の形態では、ポロジェンを脱離させて多孔質化するプロセスが不要となる。したがって、本実施の形態に係る多孔質SiOCH膜には、脱離に起因する連続空孔が形成されない。
有機シリカ膜は、下記式(1)で表される構造を有する環状有機シロキサン化合物を用いて得られる。例えば、有機シリカ膜は、プラズマ重合法により得られる。これにより、空孔径が小さい多孔質SiOCH膜が形成される。
Figure 2011238704
式(1)中、R1およびR2は、同一または相異なり、それぞれ炭化水素基を表す。炭化水素基としては、直鎖もしくは分枝のアルキル基、環状のアルキル基、アルケニル基等が挙げられる。
アルキル基としては、例えば、1〜8の炭素数を有するアルキル基である。アルキル基の一例としては、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基等が挙げられる。
アルケニル基としては、例えば、1〜8の炭素数を有するアルケニル基である。アルケニル基の一例としては、ビニル基、アリル基等である。
環状有機シロキサン構造を有する化合物が、R1が不飽和炭化水素基であり、R2が飽和炭化水素基であってもよい。この場合、R1の不飽和炭化水素基のプラズマ重合反応により環状シロキサンを結合させた低誘電率絶縁膜を成長できる。具体的には、R1がビニル基であり、R2が立体障害の大きな分岐構造を有する飽和炭化水素基であり、例えばイソプロピル基(下記式(3)で表される環状有機シロキサン構造)を有する化合物であってもよい。側鎖R2の立体障害が大きいことにより膜密度を減らし、比誘電率を低減させることができるので望ましい。なお、立体障害の大きな分岐構造を有する飽和炭化水素(R2)としては、イソブチル、ターシャリーブチル等でもよいことは自明である。
特に環状シロキサン構造を持つ環状有機シリカ化合物は、少なくとも炭素数3個以上を有する炭化水素基と不飽和炭化水素基の双方を含むことが好ましい。このようにシロキサン構造が不飽和炭化水素基と炭素原子数が3以上の炭化水素基の双方を含むことで、不飽和炭化水素基の強い結合エネルギーにより脱炭素速度を低下させ、かつ、炭素数の多い炭化水素基によって炭化水素成分を多く保ち、炭素組成に富んだ有機シリカ膜とすることができる。
また、有機シリカ膜は、下記式(2)または(3)に示す環状有機シロキサン構造を有する化合物を材料に用いて、プラズマCVD法により形成することができる。このとき、有機シリカ膜は、平均空孔径が1nm未満、例えば、0.3〜0.7nmである小さい空孔構造を有することができる。
平均空孔径は、小角エックス線散乱法や陽電子消滅法、高分解能の電子顕微鏡観察等により測定することができる。
Figure 2011238704
Figure 2011238704
有機シリカ膜の誘電率は、特に限定されないが、例えば2.7以下であり、より好ましくは2.6以下とすることができ、一方、2.0以上であり、2.2以上とすることができる。
また、有機シリカ膜におけるC/Si比率は、例えば、1以上であり、よりこのましくは2以上とすることができ、一方、20以下、5以下とすることができる。また、キャップ絶縁膜に対する、有機シリカ膜の(C/Si)は、1より大きく、2以上であることが好ましい。
このC/Si比率を上記範囲内とすることにより、エッチング選択比の向上とプロセス安定性の両立を実現できる。とくに、C/Si比率が2以上のC含有量の多い有機シリカ膜を用いると、エッチング生成物であるCxFy膜を形成しやすく、飽和CF/O比を利用したエッチングレートのコントロールが容易となる。また、Siを主とするキャップ絶縁膜との組成の相違を大きくすることにより、有機シリカ膜/キャップ絶縁膜のエッチング選択性が向上して、加工選択性が向上する。
次に、本実施の形態の第1例について説明する。
図3は、本実施形態の第1例に係わる半導体装置の製造工程を示す工程断面図である。図4は、本実施形態における成膜装置の概略を示す。図5は、本実施の形態による効果を説明する図である。図6は、本実施の形態のラインとビアの断面図である。
図3(a)に示すように、予め下層配線構造1が形成された半導体基板上に、上層配線用の有機シリカ膜2、ハードマスク3(SiO)を順次形成する。ここで、下層配線構造1は、有機シリカ膜1a、バリアメタル膜1b、Cu膜1cおよびキャップ絶縁膜1dで構成される。
有機シリカ膜2の成膜方法については、プラズマ重合法を用いる。また、ハードマスク3の形成にCVD法を用いても良いが、有機シリカ膜2の形成にもCVD法を用いても良い。このとき、ハードマスク3は、有機シリカ膜2と組成が異なる本実施の形態に係る有機シリカ膜2を用いた積層構造を有してもよい。ハードマスク3上にはレジストマスク4を形成し、これを用いて開口径の異なるライン状のビア5と柱状のビア6とをドライエッチングにより形成する(図1(b))。ビアの形成においては、開口径が異なっていても同等のエッチング速度で、ビアホールをキャップ絶縁膜1dまでエッチングできる。このため、キャップ絶縁膜へのオーバーエッチング量は、開口径・開口面積に依らず同等である。このとき、キャップ絶縁膜の残膜厚も開口径・開口面積に依らず同等である。
ここで、有機シリカ膜を成膜する方法について詳述する。
本実施の形態に係る有機シリカ膜の一例としては、組成比がSi:O:C=1:0.9:2.7の多孔質SiOCH膜を用いることができる。この多孔質SiOCH膜の空孔は、独立空孔であり径が0.8nm以下とすることができる。
図4は、有機シリカ膜の成膜装置の模式図を示す。本実施の形態に係る成膜装置は、リザーバ101、原料圧送部102、キャリアガス供給部103、液体マスフロー104、ガスマスフロー105、気化器106、リアクタ107、RF電源109、排気ポンプ110を備える。
以下、成膜装置の各構成について説明する。
リザーバ101は、モノマー原料を保管・貯蔵する容器である。原料圧送部102は、リザーバ101内の原料を圧送するガスを供給する。圧送ガスには、Heが使われる。キャリアガス供給部103は、モノマー原料を輸送するためのHeを供給する。液体マスフロー104は、供給する原料流量を制御する。ガスマスフロー105は、キャリアガスであるHe流量を制御する。気化器106は、モノマー原料を気化する。気化温度は、原料の沸点や蒸気圧、重合開始温度から決めることが好ましく、一般には50℃〜200℃であり、75℃〜150℃であることが適切である。気化温度が50℃以下と低温の場合、気化が不安定になる場合がある。一方、200℃以上の高温の場合、原料分子の一部が気化前に熱分解あるいは熱重合してしまう場合もある。リアクタ107は、気体となった原料をプラズマ重合により成膜を行う成膜室である。
RF電源109は、高周波電力を供給する。これにより、気体となったモノマー原料やキャリアガスがプラズマ化される。リアクタ107内に載置される基板108上に、化学気相成長により有機シリカ膜が成膜される。排気ポンプ110は、リアクタ107に導入された原料ガスとキャリアガスを排気する。また、リアクタ107には原料とは異なる系統(図示せず)で、励起ガスや酸化性ガスを導入することもできる。励起ガスには、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、キセノン(Xe)などの不活性ガスが用いられるのが一般的であり、好ましくはキャリアガスと同一のガスを選択することが好ましい。また、酸化性ガスには酸素(O)、二酸化炭素(CO)、一酸化炭素(CO)、一酸化窒素(NO)及び二酸化窒素(NO)などを用いることができる。
続いて、本実施の形態に係る成膜装置を用いて、有機シリカ膜を成膜する工程について説明する。ここで、上記一般式(1)に示す環状有機シリカ構造を有する原料を使い成膜を行う。
まず、原料圧送部102が供給するHeガスにより、リザーバ101から原料が送り出される。液体マスフロー104により、原料流量は制御される。一方、キャリアガス供給部103からはHeガスが供給される。Heガス流量は、ガスマスフロー105によって制御される。原料とキャリガスであるHeガスは、気化器106の直前で混合され、気化器106内に導入される。気化器106内には加熱されたヒータブロック(図示せず)が配置されている。気化器106内で、液体モノマー原料は気化され、気化物はキャリアガスと共にリアクタ107に導入される。リアクタ107内では、例えば13.56MHzの高周波により、気化したモノマー原料とキャリアガスがプラズマ化し、基板108上に有機シリカ膜が成膜される。
リアクタ107に導入する原料モノマー流量は、0.1g/min以上10g/min以下であることが好ましく、さらに好ましくは2g/min以下であることが好ましい。キャリアガスであるHe流量は、50sccm以上5000sccm以下であることが好ましく、さらに好ましくは2000sccm以下であることが好ましい。リアクタ107内の圧力は、133〜1333Paであることが好ましい。RF電源109の出力は300mmウエハに対して2000W以下であることが好ましく、さらに好ましくは1000W以下であることが好ましい。
図5は、第1例で示した開口径の異なるビアを同等のレートでドライエッチングする場合のエッチングレートを示している。図5中において、(i)は、有機シリカ膜を示し、(ii)は、キャップ絶縁膜を示す。CFが20〜50sccmの部分で高炭素含有有機シリカ膜のエッチング速度が一定であることが分かる。つまり、パターンによってプラズマからのCFの供給量が2倍以上異なっても、加工レートは同等となる。このときのCF流量以外のエッチング条件としては、例えば、Ar:600sccm、N:200sccm、O:10sccm、圧力:30mtorr、RFパワー:500〜1200Wである。このとき、N/Ar比は3.0、飽和CF/O比は1.5以上である。そして、CF/Oが5.0以下の場合に選択性が大きくなる。Nに代えて、アンモニアガスやメチルアミン、ジメチルアミン、トリメチルアミンのようなアミン系ガスでも、Nと同様の効果が得られる。
図6は、第1例で示した開口径のことなるビアを同時にドライエッチングした場合の断面を示している。図6(a)は、ライン状のビア6を示し、図6(b)は、柱状のビア5を示す。CF/O比が2.5の条件で実際にドライエッチングを行なった場合、開口径の異なるスリット(ライン)パターンとビアパターンでも、ビア深さが同じであることが分かる。すなわち、開口径の異なるスリット間で、エッチングレートが同じであることが分かる。
その後、有機シリカ膜/キャップ絶縁膜選択比の高さを利用し、オーバーエッチングを行う。(図3(c))このときエッチング条件は、例えば、Ar:100〜400sccm、N:400〜800sccm、CF:15〜100sccm、O:3〜20sccm、圧力:15〜30mtorr、RFパワー:500〜1500W、時間:10〜60秒に設定することが好ましい。
このとき、不活性ガスは、Arに代えて、He等の希ガスであっても良い。
反応促進ガスは、Nに代えて、アンモニアガスやメチルアミン、ジメチルアミン、トリメチルアミンのようなアミン系ガスでも良く、炭酸アンモニウムのような第4級アンモニウムであっても良く、これらの複合ガスであっても良い。
また、フッ化炭素ガスは、CFに代えて、CHF、CH,CHF、C,C,C,C、C、C、C、C,C、C、CHF、C,CまたはCF等、またはこれらの複合ガスであっても良い。また、酸化剤ガスは、Oに代えて、CO、CHOH、COH、COH、NO、NO、N,NO、NまたはNの内どれかのガスあっても良く、これらの複合ガスであっても良い。
ビアエッチング後、デュアルダマシンエッチング、薬液処理、メタライズ工程、CMP処理を行い、開口径の異なるビア配線を有する配線構造を形成する。このとき、ビア加工後のキャップ絶縁膜の残膜厚は、開口径・開口面積に依らず同等である。このため、デュアルダマシンエッチング時のビア下のキャップ絶縁膜の開口も、開口径・開口面積に依らず同時となる。デュアルダマシンエッチングには、例えば、Ar:100〜400sccm、CF:50〜400sccm、O:3〜20sccm、圧力:15〜50mtorr、RFパワー:200〜1000W、時間:10〜60秒となるエッチング条件を用いることが好ましい。
また、ビア加工後のキャップ絶縁膜1dの残膜によるCu(Cu膜1c)の保護により、キャップ絶縁膜1dの開口部分のCu(Cu膜1c)を制御性良く管理することができる。例えば、ビア加工後のレジスト剥離にOやCOを使用したアッシング処理が行われ、またキャップ絶縁膜1dには炭素が含まれるため、デュアルダマシンエッチングにOを用いることから、キャップ絶縁膜1dの開口部分のCu(Cu膜1c)は酸化されやすくなっている。図13は、ビアファーストデュアルダマシンプロセスにおける、ビア加工後のキャップ絶縁膜1dとビア歩留りの関係を示す。図13に示すように、ビア加工後のキャップ絶縁膜の残膜が10nm未満の領域ではビア歩留りが劣化することが分かる。また、図14に示すようにEM(Electron Migration)信頼性試験においても、キャップ絶縁膜残膜依存性が見られる。図14に示すように、残膜が15nm(丸形)、5nm(ひし形)、0nm(三角形)のように、残膜が薄いほど、EM寿命は劣化することが分かる。このように、ビア加工後のキャップ絶縁膜の残膜の不足はビア信頼性に大きく影響する。しかしながら、本第1例では、前述の通り、ビア深さのバラツキを容易に低減できるため、ビアの開口径・開口面積に依らず残膜10nm以上の確保は容易となる。このため、本第1例においては、ビア加工後のキャップ絶縁膜1dの残膜は同等であり、酸化等からCu(Cu膜1c)を保護することが可能となる。また、残膜が同等であることから、デュアルダマシンエッチング時のキャップ開口も同時であるため、開口後のCu配線へのオーバーエッチング量、例えばキャップ絶縁膜残膜厚15nmに対して15nm(100%=20秒)のオーバーエッチングは開口径・開口面積に依らず全てのビアで同等となる。つまり、全てのビア下Cu配線(Cu膜1c)の表面において、プラズマに曝される時間が20秒で同じであることから、オーバーエッチングの影響によるCu膜1cの表面の酸化や、さらには付着するエッチング生成物、Cuの変形量等の程度も開口径・開口面積に依存せず、これらのバラツキが抑制される。そのため、薬液処理による洗浄効果も全てのビア間(ビア5やビア6等)で同等となる。
以上により、ビア部へのCu埋設後において、ウエハ面内でビアと下層Cu配線の界面組成および形状は均質となる。ここで、界面組成とは、例えばCu(Cu膜1c)の表面付近の酸素の含有量などを指す。本第1例のおいては、ビアホール(ビア5)とスリットビアパターン(ビア6)とのビア/Cu配線界面の組成分析を行っても、検出される状態は同等となる。
反対に、キャップ絶縁膜でのストップ性が不足した場合、例えばビアホール形成中にキャップ絶縁膜が除去されると、ビアホール下のCu表面が酸化することがある(図15(a))。その結果、図15(b)に示すようにTEM−EELSによりビアホール下の部分のみCuO(CuOに相当するピーク:930〜940(eV))が検出されることとなる。A点においては、このCuOに相当するピークは、Cuに相当するピークに対して突出しているため、CuOが存在することが分かる。一方、B点(参照)においては、Cuに相当するピークに対して突出している、CuOに相当するピークがないため、CuOが存在しないことが分かる。
これに対して、本第1例では、ビア加工後のキャップ絶縁膜の残膜を制御できる。本第1例においては、ビア加工後のキャップ絶縁膜1dの残膜は同程度であり、酸化等からCu(Cu膜1c)を保護することが可能となる。このため、本第1例においては、開口径の異なるビア間のCu配線の表面全体については、TEM−EELSにより測定されるCuに相当するピークに対して突出する、CuOに相当するピークが存在しないように構成されている。すなわち、開口径の異なるビア間のCu配線の表面全体においては、酸化を防止されており、CuOが実質的に存在しない構成となっている。このため、ビア/Cu配線界面の組成や形状がウエハ面内で均質に制御でき、ビア抵抗のバラツキを小さくすることが可能となり、信頼性を向上させることができる。
ここで、第1例の効果の説明についてまとめる。
本実施の形態においては、キャップ絶縁膜に対する、有機シリカ膜の(C/Si)が1以上とすることにより、Nを含むガスによるエッチング選択比を向上させることができる。そして、エッチング選択比を向上させることにより、開口径が異なるビア間の深さのバラツキを抑制することができる。
本実施の形態においては、また、有機シリカ膜の(C/Si)が1以上とすることにより、フッ化炭素ガスによるエッチング選択比を向上させることができる。とくに、飽和CF/O比でエッチングを行うと、ビア間の開口径(または開口面積)に依存せずに、エッチング速度が一定となるため、開口径が異なるビア間において、ビア深さを同じにすることができる。すなわち、N/Ar比が1以上、且つCF/O比が5以下の混合ガスを用いることで、開口径の異なるスリットビアパターンのパターン間差を削減しつつ、キャップ絶縁膜でのエッチングストップ性を実現することが可能となる。この際、不活性ガスと反応促進ガスとフッ化炭素ガスと酸化剤ガスを含む混合ガスを用いることにより、開口面積の異なる複数のビアホールを一括して形成することが可能となる。
一般的にパターン間差の抑制には、高Ar流量化による高イオン性エッチングが有効である。高イオン性エッチングであれば、バイアス電圧の印加によりエッチャントをエッチング面に引き込めるためである。しかし、炭素濃度の低い多孔質有機シリカ膜のエッチングでは、イオンボンバードメントによるエッチングレート向上効果が大きくなりすぎ、多量のArイオンを含む高イオン性エッチングではサブトレンチ形状となる懸念がある。
これに対して、本実施の形態においては、炭素に富んだ有機シリカ膜(例えば、(C/Si)が2以上)を用いることにより、プロセスダメージ抑制することができる。高Ar流量化による高イオン性エッチングを用いつつも、上記懸念を回避することができる。
低炭素多孔質有機シリカ膜((C/Si)<1)に対しOを用いたエッチングを行うと、エッチング中に膜中の炭素が引き抜かれ、ビア側壁などの部分がSiOに近い膜となり、誘電率が上昇してしまうことがある。特に、スリット形状のビアパターンでは、通常のビアパターンに比較して、ビア側壁の面積が大きいため、誘電率の増加の影響が大きくなり、デバイス性能を低下させてしまうことがある。
これに対して、本実施の形態においては、炭素に富んだ有機シリカ膜(例えば、(C/Si)が1以上)を用いることにより、このような弊害を回避することができる。
また、前述の通り、本実施の形態においては、キャップ絶縁膜に対する層間絶縁膜のエッチング選択比を充分得られる。このため、キャップ絶縁膜を厚膜化することにより、エッチングストップ性は向上させることが不要となる。これにより、実効誘電率を下げることができ、LSIの高性能化・低消費電力化を実現することができる。
次に、本実施の形態の第2例について説明する。
図7〜図9は、本実施形態の第2例に係わる半導体装置の製造工程を示す工程断面図である。図10は、本実施形態の第2例に係わる半導体装置の鳥瞰図である。
まず、図7(a)に示すように、シリコン基板(不図示)上に下層配線構造201をシングルダマシン法によって形成する。この下層配線構造201は、有機シリカ膜201a、バリアメタル201膜b、Cu膜201c、キャップ絶縁膜201dで構成される。
続いて、図7(b)に示すように、下層配線構造201上に有機シリカ膜202を形成する。この有機シリカ膜202は、上記式3に示す環状有機シリカ構造化合物を用いて、プラズマ重合法により成膜する。有機シリカ膜202としては、例えば、厚さ230nmで比誘電率が2.5とする。有機シリカ膜202としては、例えば、組成比がSi:O:C=1:0.9:2.7で膜中に空孔をもつ多孔質有機シリカ膜であって、空孔が独立空孔であり、空孔径が0.8nm以下とする。その後、例えば、処理時間15〜30秒のHeプラズマ処理を行い、同一チャンバーにて、SiHをソースガスに用いたプラズマCVD法により、ハードマスク203を成膜する。このハードマスク203は、厚さ80nmのSiO膜とする。ハードマスク203のSiO膜としては、TEOS(テトラエトキシシラン)をソースガスに用いたSiO膜を用いてもよい。また、Heプラズマ処理による表面改質層の形成と、ハードマスク203の成膜とは別チャンバーで行ってもよい。その後、リソグラフィーにより、レジスト204にスリットビアパターンを形成する。このとき、最も開口径の小さいスリットビアパターンは、ビアホールとなる。
続いて、図7(c)に示すように、高均一性/高選択性エッチング条件にて開口径の異なる複数の凹部(ビア205、ビア206)を形成する。すなわち、開口径の大きいスリット状のビア205、開口径の小さいビア206を、ドライエッチングにより同時に形成する。そして、各ビアの底部がキャップ絶縁膜201dに達するまでエッチングを行う(図8(a))。ビア205およびビア206は孤立の疎パターンになってもよいが、それぞれ複数のビアが密集した密ビアパターン郡であってもよい。このときエッチング条件は、例えば、Ar:100〜400sccm、N:400〜800sccm、CF:15〜100sccm、O:3〜20sccm、圧力:15〜30mtorr、RFパワー:500〜1500W、時間:10〜60秒に設定することが好ましい。また、キャップ絶縁膜201dの材料は、前述の材料を用い、C/Si比が1.0〜1.5であることが好ましい。
ビア205およびビア206の形成に際しては、第1例で示したビア5およびビア6と同様の手法により形成してもよい。
続いて、リソグラフィーとドライエッチングによって、レジスト207を用いて、有機シリカ膜202中に配線溝(開口部208、開口部209)を形成する(図8(b)、図8(c))。これらの配線溝を形成するとき、同時にキャップ絶縁膜201dを開口し、下層配線構造201のCu配線201cを露出させる。配線溝のドライエッチングの条件としては、例えば、Ar:100〜400sccm、CF:50〜400sccm、O:3〜20sccm、圧力:15〜50mtorr、RFパワー:200〜1000W、時間:10〜60秒となるエッチング条件を用いることが好ましい。キャップ絶縁膜201dの残膜は、ビアの開口径に依らず同等であるため、キャップ絶縁膜201dの開口径の大きい開口部208と開口径の小さい開口部209とは同時に開口される。このとき、開口部208、開口部209は孤立の疎ビアパターンであっても、密集した密ビアパターン郡であってもよい。
次に、薬液処理にて開口部208および開口部209のCu配線表面のCu酸化物やエッチング生成物などを清浄にする。このとき、薬液はフッ素を含むことが望ましい。フッ素を含有する薬液は、Cu酸化物除去や、エッチング生成物除去に効果が高いためである。前述の通り、開口径・開口面積に依らず、キャップ絶縁膜201dが同時に開口されることから、全てのCu配線表面において、プラズマに曝される時間が同じとなる。このため、オーバーエッチングの影響によるCu表面の酸化や付着するエッチング生成物、Cuの変形量等の程度も、開口径・開口面積に依存せず、同等となる。そのため、薬液処理による洗浄効果も全てのビアで同等となり、ウエハ面内でビアと下層Cu配線の界面組成および形状は均質となる。開口部におけるCu配線の表面組成や表面形状がウエハ面内で均質に制御できれば、ビア抵抗のバラツキを小さくすることができる。
図9(a)に示すように、薬液処理後、基板表面全面にイオン化スパッタ法によって、TaN膜とTa膜のバリアメタル膜210、およびCu薄膜を形成する。そして、このCu薄膜を電極として電界めっき法によってCuまたはCu合金等のCu膜211を形成する。
その後、図9(b)に示すように、Cu粒成長のために窒素雰囲気中で350℃、2分間の熱処理をした後、余剰なCuをCMPにより除去する。そして、スラリー、研磨ヘッドを変えて、余剰なTa、TaN及び、ハードマスク203をCMPにて除去する。有機シリカ膜202の表面を露出させて、CMPを完了する。その後、図9(c)に示すように、Cu膜211および有機シリカ膜202の全面にキャップ絶縁膜212としてSiCN膜を形成する。
以上のようにして、図10に示すような本実施の形態の半導体装置が得られる。
従来の方法では、有機シリカ/キャップ絶縁膜選択比が小さく、パターン間差が大きいビア加工プロセスとなる。その結果、2層配線を形成後において、ビア/下層Cu配線界面の組成は、ビアの開口径・開口面積に依存し、開口径が大きいほど酸素等のCu配線中不純物の検出量が大きくなってしまうことがあった。
これに対して、本実施例ではウエハ面内でビアと下層Cu配線の界面組成および形状は均質となっている。このため、開口径・開口面積に依らず、Cu配線中不純物の検出量は同等となる。これらのビア/下層Cu配線界面の組成は、TEM−EELS等の物理分析手法により検出できる。
本実施の形態においては、有機シリカ膜としてはC/Si原子組成比が2以上とC含有量が多いものが望ましい。C含有量の多い膜はエッチング生成物であるCxFy膜を形成しやすい。これにより、飽和CF/O比を利用したエッチングレートのコントロールが容易となる。また、Siを主とするキャップ絶縁膜との組成の相違が大きくなり、有機シリカ膜/キャップ絶縁膜のエッチング選択性が向上する。このため、加工選択性が向上する。
第2例においても、第1例と同様の効果が得られる。
次に、本実施の形態の第3例について説明する。
図11は、本実施形態の第3例に係わる半導体装置の鳥瞰図である。
第3例の半導体装置においては、アナログ/RF等の信号処理を行う。この場合には、インダクタとしてCu配線を渦形状に形成することができる。
本実施例の半導体装置においては、基板(不図示)上に、ダマシン法によって形成した下層Cu配線301と、Cu配線上に形成されたシリコンカーバイトを主成分とするキャップ絶縁膜(不図示)と、その上に少なくともキャップ絶縁膜よりも大きい(C/Si)を有する有機シリカ膜と、この有機シリカ膜中に形成された上層Cu配線303とを備える。上層Cu配線303は、スリットビア302を介して下層Cu配線301と接続する。抵抗の低いスリットビアを介して、上下配線を接続する。これにより、2層分のCu配線を1本のインダクタとして使用することができる。したがって、第3例によれば、インダクタ素子としての回路機能を十分に発揮させることができる。
下層Cu配線301上に形成された有機シリカ膜とハードマスクの積層構造を形成(不図示)し、第2例と同様の手法により、レジストにスリットビアパターンを形成する。引き続いて、高均一性/高選択性エッチング条件にて、開口径の異なる、開口径の大きいスリットビア302および開口径の小さいビア(不図示)を同時にドライエッチングにより形成し、キャップ絶縁膜まで加工する。開口径が異なっていても同等のエッチング速度でビアホールをキャップ絶縁膜までエッチングできることから、キャップ絶縁膜へのオーバーエッチング量は開口径・開口面積に依らず同等である。このとき、キャップ絶縁膜残膜厚も開口径・開口面積に依らず同等である。このとき、スリットビア302の形成には、例えば、Ar:100〜400sccm、N:400〜800sccm、CF:15〜100sccm、O:3〜20sccm、圧力:15〜30mtorr、RFパワー:500〜1500W、時間:10〜60秒というエッチング条件を使用することが好ましい。スリットビア302の形成に際しては、第1例のビア形成と同様の手法により形成できる。
上下配線を接続するスリットビア302は、開口径および形状の異なる複数のビアであっても良い。また、インダクタとして用いるCu配線層は、3層または4層であっても良い。
第3例においては、微細Cu配線中にインダクタを形成する場合に、配線幅を太くしつつ、配線抵抗を低くすることができる。これにより、インダクタが発熱してパワーロスが大きくなり、デバイスの機能低下が起こることを抑制することができる。
第3例においても、第1例と同様の効果が得られる。
次に、本実施の形態の第4例について説明する。
図12は、本実施形態の第4例に係わる半導体装置の鳥瞰図である。
第4例の半導体装置のおいては、アナログ信号とデジタル信号を変換するA/Dコンバータにおいて、ローカル配線の配線間容量を、平行平板の容量素子の容量として用いる構成を有する。
本実施例の半導体装置においては、基板(不図示)上に、ダマシン法によって形成した下層Cu配線401と、Cu配線上に形成されたシリコンカーバイトを主成分とするキャップ絶縁膜(不図示)と、その上に少なくともキャップ絶縁膜の(C/Si)よりも大きい(C/Si)を有する有機シリカ膜と、この有機シリカ膜中に形成された上層Cu配線403とを備える。上層Cu配線403は、スリットビア402を介して下層Cu配線401と接続する。抵抗の低いスリットビア402を介して、上下配線を接続する。これにより、ローカル配線を、Cu配線中の容量素子としての機能させることができる。
スリットビア402の形成に際しては、第3例で示したスリットビア302と同様の手法により形成する。開口径の異なる、開口径の大きいスリットビア402および開口径の小さいビア(不図示)を同時にドライエッチングにより形成する。スリットビア402の加工条件についても、第1例と同様である。ビア加工後のキャップ絶縁膜の残膜厚は、前述の通り、開口径・開口面積に依らず同等である。このため、デュアルダマシンエッチング時のビア下のキャップ絶縁膜の開口も開口径・開口面積に依らず同時となる。したがって、開口後の全てのビア下Cu配線表面において、プラズマに曝される時間が同じになる。このことから、オーバーエッチングの影響によるCu表面の酸化や付着するエッチング生成物、Cuの変形量等の程度も開口径・開口面積に依存せず、同等となる。そのため、薬液処理による洗浄効果も全てのビアで同等となり、ビア中へのCu埋設後もウエハ面内でビアと下層Cu配線の界面組成および形状は均質となる。
スリットビア402を隣接する配線間で最端距離となるように設置することで、配線間の寄生容量を大きくすることができ、容量素子としてのバラツキを抑制することが可能となる。
ただし、上層Cu配線403については、図12に示す構造に限定されるものではなく、スリットビア402よりも太い配線幅であっても良いし、同等の配線幅であっても良い。また、スリットビア402は開口径または形状の異なる複数のビアであっても良い。
なお、当然ながら、上述した実施の形態および複数の変形例は、その内容が相反しない範囲で組み合わせることができる。また、上述した実施の形態および変形例では、各部の構造などを具体的に説明したが、その構造などは本願発明を満足する範囲で各種に変更することができる。
本実施の形態においては、縦横比が2未満のビアホールと縦横比が2以上のビアホールが混在しもよい。
本実施の形態においては、スリット型のビア構造と、円筒型のスルーホールビアとを混載することができる。スリット型のビア構造を用いることにより、接触面積を増加させて、抵抗を小さくできる。このため、本実施の形態においては、高速アナログ/オンチップインダクタ等の動作速度低下や特性劣化を回避することができる。
本実施の形態においては、開口径の異なるスリットビアパターンのパターン間差が無いように加工できることから、有機シリカ膜とキャップ絶縁膜との界面において、オーバーエッチングによるビア加工深さ調整を行わないトレンチファーストプロセスについても、本実施の形態のビアエッチングプロセスに使用することが出来る。本実施の形態においては、エッチング選択比が大きいプロセスを実現できるため、ビア加工のプロセスマージンを大きく取ることができる。さらに、選択性を利用し、ビア加工時のハードマスクには、キャップ絶縁膜を使用できる。このため、本実施の形態においては、取り扱いが難しいメタルハードマスク等を用いる従来のトレンチファーストプロセスよりも制御性良く加工を行うことも可能となる。
また、本実施の形態においては、エッチング選択比を向上させることができるので、ビアファースト・デュアルダマシン加工法を適用することができる。
ここで、スルーホールビアをトレンチ配線より先に形成する、いわゆるビアファースト・デュアルダマシン加工法では、銅配線上に形成されているキャップ絶縁膜上でエッチングをストップさせ、さらに、オーバーエッチングを行うことで、エッチング速度のパターン間差や面内バラツキ等を吸収している。さらに、このキャップ絶縁膜によって下層Cu配線が保護された状態であることにより、配線層間絶縁膜にトレンチ配線形成を行う次工程における、下層Cu配線層の酸化などの表面変質による接続歩留まり低下や信頼性劣化を防止している。そのため、ビアファースト・デュアルダマシン加工法は、ビア加工深さバラツキが小さく、ビア下の開口制御が容易であることから、ビア加工を途中で止めるトレンチファースト・デュアルダマシン加工法よりも加工制御性が高いプロセスとされている。
以下、本願明細書の用語について補足する。
絶縁膜とは、例えば配線材を絶縁分離する膜(層間絶縁膜)であり、低誘電率絶縁膜とは、半導体素子を接続する多層配線間の容量を低減するために使用される、シリコン酸化膜(比誘電率3.9〜4.5)よりも比誘電率の低い材料を指す。特に、多孔質絶縁膜としては、例えば、シリコン酸化膜を多孔質化して、比誘電率を小さくした材料や、HSQ(ハイドロゲンシルセスキオキサン(Hydrogen Silsesquioxane))膜、もしくは有機シリカ膜、SiOC(例えば、Black DiamondTM、CORALTM、AuroraTM)などを多孔質化して、比誘電率を小さくした材料などがある。これらの膜のさらなる低誘電率化が望まれているところである。
本実施の形態において、金属配線材とは、Cuを主成分とする。金属配線材の信頼性を向上させるため、Cu以外の金属元素がCuからなる部材に含まれていても良く、Cu以外の金属元素がCuの上面や側面などに形成されていても良い。
ダマシン配線とは、あらかじめ形成された層間絶縁膜の溝に、金属配線材を埋め込み、溝内以外の余剰な金属を、例えばCMPなどにより除去することで形成される埋め込み配線をさす。Cuによりダマシン配線を形成する場合には、Cu配線の側面および外周をバリアメタルで覆い、Cu配線の上面を絶縁性バリア膜で覆う配線構造が一般に用いられる。
CMP(Chemical Mechanical Polishing)法とは、多層配線形成プロセス中に生じるウエハ表面の凹凸を、研磨液をウエハ表面に流しながら回転させた研磨パッドに接触させて研磨することによって平坦化する方法である。ダマシン法による配線形成においては、特に、配線溝あるいはビアホールに対し金属を埋設した後に、余剰の金属部分を除去し、平坦な配線表面を得るために用いる。
バリアメタルとは、配線を構成する金属元素が層間絶縁膜や下層へ拡散することを防止するために、配線の側面および底面を被覆する、バリア性を有する導電性膜を示す。例えば、配線がCuを主成分とする金属元素からなる場合には、タンタル(Ta)、窒化タンタル(TaN)、窒化チタン(TiN)、炭窒化タングステン(WCN)のような高融点金属やその窒化物等、またはそれらの積層膜が使用される。
キャップ絶縁膜とはCu配線の上面に形成され、Cuの酸化や絶縁膜中へのCuの拡散を防ぐ機能、および加工時にエッチングストッパ層としての役割を有する。配線信号伝達遅延の改善には、より低誘電率な絶縁性バリア膜の導入が望まれているところである。キャップ絶縁膜は、バリア絶縁膜とも呼ばれる。
半導体基板とは、多層銅配線が構成された基板であり、特に単結晶シリコン基板上に作られたものだけでなく、SOI(Silicon on Insulator)基板やTFT(Thin film transistor)、液晶製造用基板などの基板も含む。
ハードマスクとは、層間絶縁膜の低誘電率化による強度低下により、直接CMPを行うのが困難な場合に、層間絶縁膜上に積層し、保護する役割の絶縁膜をさす。
パッシベーション膜とは、半導体素子の最上層に形成され、外部からの水分などから半導体素子を保護する役割を有する。一般的にプラズマCVD法で形成したシリコン酸窒素化膜(SiON)や、ポリイミド膜などが用いられる。
プラズマCVD法とは、例えば、気体状の原料を減圧下の反応室に連続的に供給し、プラズマエネルギーによって、分子を励起状態にし、気相反応、あるいは基板表面反応などによって基板上に連続膜を形成する手法である。
PVD法とは、通常のスパッタリング法のほか、埋め込み特性の向上や、膜質の向上や、膜厚のウエハ面内均一性を図る上では、例えばロングスロースパッタリング法やコリメートスパッタリング法、イオナイズドスパッタリング法、などの指向性の高いスパッタリング法を用いることもできる。合金をスパッタする場合には、あらかじめ金属ターゲット内に主成分以外の金属を固溶限以下で含有させることで、成膜された金属膜を合金膜とすることができる。本発明中では、主にダマシンCu配線を形成する際のCuシード層やCu合金シード層、及びバリアメタル層を形成する際に使用することができる。
1 下層配線構造
1a 有機シリカ膜
1b バリアメタル膜
1c Cu膜
1d キャップ絶縁膜
2 有機シリカ膜
3 ハードマスク
4 レジスト
5 ビア
6 ビア
101 リザーバ
102 原料圧送部
103 キャリアガス供給部
104 液体マスフロー
105 ガスマスフロー
106 気化器
107 リアクタ
108 基板
109 RF電源
110 排気ポンプ
201 下層配線構造
201a 有機シリカ膜
201b バリアメタル膜
201c Cu膜
201d キャップ絶縁膜
202 有機シリカ膜
203 ハードマスク
204 レジスト
205 ビア
206 ビア
207 レジスト
208 開口部
209 開口部
210 バリアメタル膜
211 Cu膜
212 キャップ絶縁膜
220 上層配線構造
301 下層Cu配線
302 スリットビア
303 上層Cu配線
401 下層Cu配線
402 スリットビア
403 上層Cu配線

Claims (19)

  1. 基板上に、SiおよびCを含む絶縁膜を形成する工程と、
    前記絶縁膜上に、前記絶縁膜と比較して、シリコン原子数に対する炭素原子数の組成比が高い、有機シリカ膜を形成する工程と、
    不活性ガス、Nを含むガス、フッ化炭素ガスおよび酸化剤ガスを含む混合ガスを用いたプラズマ処理により、前記有機シリカ膜に、異なる開口径を有する2以上の凹部を形成する工程と、を含む半導体装置の製造方法。
  2. 前記不活性ガスの流量に対する前記Nを含むガスの流量の比が、1以上である、請求項1に記載の半導体装置の製造棒法。
  3. 前記酸化剤ガスの流量に対する前記フッ化炭素ガスの流量の比が、1以上5以下である、請求項1または2に記載の半導体装置の製造方法。
  4. 前記絶縁膜に対する、前記有機シリカ膜の前記組成比が2以上である、請求項1から3のいずれか1項に記載の半導体装置の製造方法。
  5. 前記Nを含むガスが、窒素ガス、アンモニアガス、アミンガスからなる群から選択される少なくとも一種を含む、請求項1から4のいずれか1項に記載の半導体装置の製造方法。
  6. 前記フッ化炭素ガスは、CF、CHF、CH,CHF、C,C,C,C、C、C、C、C,C、C、CHF、C,CあるいはCFからなる群から選択される少なくとも一種を含む、請求項1から5のいずれか1項に記載の半導体装置の製造方法。
  7. 前記酸化剤ガスが、O、CO、CHOH、COH、COH、NO、NO、N,NO、NおよびNからなる群から選択される少なくとも一種を含む、請求項1から6のいずれか1項に記載の半導体装置の製造方法。
  8. 前記絶縁膜が、SiC、SiCN、またはSiOCN、を含む、請求項1から7のいずれか1項に記載の半導体装置の製造方法。
  9. 前記有機シリカ膜が、多孔質絶縁膜であり、前記多孔質絶縁膜の平均空孔径が1nm未満である、請求項1から8のいずれか1項に記載の半導体装置の製造方法。
  10. 前記有機シリカ膜は、下記一般式(1)で表される環状有機シロキサン構造を有する化合物を含む、請求項1から9のいずれか1項に記載の半導体装置の製造方法。
    Figure 2011238704
    (式中、R1およびR2は、同一または相異なり、それぞれ炭化水素基を表す。)
  11. 前記環状有機シロキサン構造を有する化合物は、下記式(2)または下記式(3)で表される、請求項10に記載の半導体装置の製造方法。
    Figure 2011238704
    Figure 2011238704
  12. 請求項1から11のいずれか1項に記載の半導体装置の製造方法で得られた半導体装置。
  13. 基板と、
    前記基板上に設けられた層間絶縁膜と、
    前記層間絶縁膜内に複数の配線溝が設けられており、前記配線溝にそれぞれ埋め込まれた第1の金属膜と、
    前記層間絶縁膜上に設けられており、SiおよびCを含む絶縁膜と、
    前記絶縁膜上に設けられており、前記絶縁膜と比較して、シリコン原子数に対する炭素原子数の組成比が高い、有機シリカ膜と、
    前記有機シリカ膜に第1の凹部と前記第1の凹部より開口径が大きい第2の凹部が設けられており、前記第1の凹部および前記第2の凹部のそれぞれに埋め込まれた第2の金属膜と、を備え、
    前記第2の金属膜と前記第1の金属膜とは電気的に接続しており、
    前記第1の凹部および前記第2の凹部のそれぞれにおける前記第2の金属膜と前記第1の金属膜との間の前記第1の金属膜の表面において、透過型電子顕微鏡と電子エネルギ損失分光法とにより測定したとき、前記第1の金属膜を構成する金属の酸化物に相当するピークを有しないように構成されている、半導体装置。
  14. 前記絶縁膜に対する、前記有機シリカ膜の前記組成比が2以上である、請求項13に記載の半導体装置。
  15. 前記絶縁膜が、SiC、SiCN、またはSiOCN、を含む、請求項13または14に記載の半導体装置。
  16. 前記有機シリカ膜が、多孔質絶縁膜であり、前記多孔質絶縁膜の平均空孔径が1nm未満である、請求項13から15のいずれか1項に記載の半導体装置。
  17. 前記有機シリカ膜は、下記一般式(1)で表される環状有機シロキサン構造を有する化合物を含む、請求項13から16のいずれか1項に記載の半導体装置。
    Figure 2011238704
    (式中、R1およびR2は、同一または相異なり、それぞれ炭化水素基を表す。)
  18. 前記環状有機シロキサン構造を有する化合物は、下記式(2)または下記式(3)で表される、請求項17に記載の半導体装置。
    Figure 2011238704
    Figure 2011238704
  19. 前記金属がCuであり、前記酸化物がCuOである、請求項13から18のいずれか1項に記載の半導体装置。
JP2010107698A 2010-05-07 2010-05-07 半導体装置の製造方法 Expired - Fee Related JP5671253B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2010107698A JP5671253B2 (ja) 2010-05-07 2010-05-07 半導体装置の製造方法
TW100115148A TWI528454B (zh) 2010-05-07 2011-04-29 半導體裝置及半導體裝置之製造方法
US13/101,569 US8759212B2 (en) 2010-05-07 2011-05-05 Semiconductor device and method of manufacturing semiconductor device
CN201110120262.8A CN102237272B (zh) 2010-05-07 2011-05-09 半导体装置和半导体装置制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010107698A JP5671253B2 (ja) 2010-05-07 2010-05-07 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2011238704A true JP2011238704A (ja) 2011-11-24
JP5671253B2 JP5671253B2 (ja) 2015-02-18

Family

ID=44887802

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010107698A Expired - Fee Related JP5671253B2 (ja) 2010-05-07 2010-05-07 半導体装置の製造方法

Country Status (4)

Country Link
US (1) US8759212B2 (ja)
JP (1) JP5671253B2 (ja)
CN (1) CN102237272B (ja)
TW (1) TWI528454B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014090022A (ja) * 2012-10-29 2014-05-15 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
KR20190076868A (ko) * 2017-12-21 2019-07-02 도쿄엘렉트론가부시키가이샤 제거 방법 및 처리 방법
JP2020096174A (ja) * 2018-12-06 2020-06-18 東京エレクトロン株式会社 エッチング処理方法及び基板処理装置
JP2022517363A (ja) * 2019-01-18 2022-03-08 インターナショナル・ビジネス・マシーンズ・コーポレーション 高炭素含有量流動性誘電体膜の低加工損傷での形成

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102623396B (zh) * 2012-04-17 2014-05-14 上海华力微电子有限公司 连接孔的形成方法
JP5925611B2 (ja) * 2012-06-21 2016-05-25 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN102779780B (zh) * 2012-07-25 2014-10-29 上海华力微电子有限公司 一种形成无负载效应大尺寸沟槽的方法
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9865798B2 (en) 2015-02-24 2018-01-09 Qualcomm Incorporated Electrode structure for resistive memory device
KR20160139420A (ko) * 2015-05-27 2016-12-07 삼성전자주식회사 반도체 소자의 제조 방법
KR102344996B1 (ko) * 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10381263B1 (en) 2018-05-04 2019-08-13 International Business Machines Corporation Method of forming via contact with resistance control
US10373866B1 (en) 2018-05-04 2019-08-06 International Business Machines Corporation Method of forming metal insulator metal capacitor with extended capacitor plates
KR102672436B1 (ko) * 2019-01-10 2024-06-04 삼성전자주식회사 반도체 장치 제조 방법
JP7244394B2 (ja) 2019-09-18 2023-03-22 株式会社東芝 デジタルアイソレータ

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0722393A (ja) * 1993-06-23 1995-01-24 Toshiba Corp ドライエッチング装置及びドライエッチング方法
WO2007132879A1 (ja) * 2006-05-17 2007-11-22 Nec Corporation 半導体装置、半導体装置の製造方法及び半導体製造装置
WO2007142172A1 (ja) * 2006-06-09 2007-12-13 Nec Corporation 多層配線製造方法と多層配線構造と多層配線製造装置
JP2010093235A (ja) * 2008-09-11 2010-04-22 Nec Electronics Corp 半導体装置および半導体装置の製造方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3398173A (en) * 1964-10-01 1968-08-20 Gen Electric Process for producing siloxanes
EP0596730A1 (en) * 1992-11-06 1994-05-11 Shin-Etsu Chemical Co., Ltd. Cyclotrisiloxane and process for producing the same
US5241097A (en) * 1992-12-21 1993-08-31 Allied-Signal Inc. Process for the preparation of cyclic siloxane
US5412135A (en) * 1993-04-21 1995-05-02 Shin-Etsu Chemical Co., Ltd. Organic silicon compounds and curable organopolysiloxane compositions
SG71147A1 (en) * 1997-08-29 2000-03-21 Dow Corning Toray Silicone Method for forming insulating thin films
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US5914420A (en) * 1998-05-29 1999-06-22 Pcr, Inc. Perfluorinated organo substituted cyylosiloxanes and copolymers prepared from these cyclosiloxahes
US6160150A (en) * 1998-12-21 2000-12-12 Dow Corning Corporation Cyclic organosilicon endcapper having one silicon-bonded hydrogen atom
US6858898B1 (en) * 1999-03-23 2005-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
KR100768363B1 (ko) 1999-06-24 2007-10-17 가부시키가이샤 히타치세이사쿠쇼 반도체 집적회로장치의 제조방법 및 반도체 집적회로장치
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
JP2001284347A (ja) * 2000-03-31 2001-10-12 Canon Sales Co Inc 成膜方法及び半導体装置の製造方法
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6572923B2 (en) * 2001-01-12 2003-06-03 The Boc Group, Inc. Asymmetric organocyclosiloxanes and their use for making organosilicon polymer low-k dielectric film
JP2003142579A (ja) * 2001-11-07 2003-05-16 Hitachi Ltd 半導体装置の製造方法および半導体装置
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US6656840B2 (en) * 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
JP4217870B2 (ja) 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
JP4173374B2 (ja) * 2003-01-08 2008-10-29 株式会社ルネサステクノロジ 半導体装置の製造方法
JP3898133B2 (ja) * 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
JP4454242B2 (ja) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
WO2005013356A1 (ja) * 2003-07-18 2005-02-10 Nec Corporation 溝配線を有する半導体装置および半導体装置の製造方法
JP4492947B2 (ja) * 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7285853B2 (en) * 2005-02-17 2007-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same
US7790630B2 (en) * 2005-04-12 2010-09-07 Intel Corporation Silicon-doped carbon dielectrics
JP4628257B2 (ja) * 2005-11-15 2011-02-09 三井化学株式会社 多孔質膜の形成方法
JP4563927B2 (ja) * 2005-12-02 2010-10-20 信越化学工業株式会社 基板及びその製造方法、並びにそれを用いたパターン形成方法
US20070287301A1 (en) * 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7476971B2 (en) 2006-05-11 2009-01-13 Toshiba America Electronic Components, Inc. Via line barrier and etch stop structure
JP5072531B2 (ja) 2007-10-24 2012-11-14 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
US20100087062A1 (en) * 2008-10-06 2010-04-08 Applied Materials, Inc. High temperature bd development for memory applications
JP2010153824A (ja) * 2008-11-18 2010-07-08 Renesas Electronics Corp 多孔質絶縁膜の製造方法、半導体装置の製造方法、及び半導体装置
JP2010245235A (ja) * 2009-04-03 2010-10-28 Panasonic Corp 半導体装置及びその製造方法
US8606207B2 (en) * 2009-12-18 2013-12-10 Broadcom Corporation Fractal curve based filter

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0722393A (ja) * 1993-06-23 1995-01-24 Toshiba Corp ドライエッチング装置及びドライエッチング方法
WO2007132879A1 (ja) * 2006-05-17 2007-11-22 Nec Corporation 半導体装置、半導体装置の製造方法及び半導体製造装置
WO2007142172A1 (ja) * 2006-06-09 2007-12-13 Nec Corporation 多層配線製造方法と多層配線構造と多層配線製造装置
JP2010093235A (ja) * 2008-09-11 2010-04-22 Nec Electronics Corp 半導体装置および半導体装置の製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014090022A (ja) * 2012-10-29 2014-05-15 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
KR20190076868A (ko) * 2017-12-21 2019-07-02 도쿄엘렉트론가부시키가이샤 제거 방법 및 처리 방법
KR102167479B1 (ko) * 2017-12-21 2020-10-20 도쿄엘렉트론가부시키가이샤 제거 방법 및 처리 방법
JP2020096174A (ja) * 2018-12-06 2020-06-18 東京エレクトロン株式会社 エッチング処理方法及び基板処理装置
JP7346218B2 (ja) 2018-12-06 2023-09-19 東京エレクトロン株式会社 エッチング処理方法及び基板処理装置
JP2022517363A (ja) * 2019-01-18 2022-03-08 インターナショナル・ビジネス・マシーンズ・コーポレーション 高炭素含有量流動性誘電体膜の低加工損傷での形成
US11756786B2 (en) 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage

Also Published As

Publication number Publication date
TWI528454B (zh) 2016-04-01
CN102237272A (zh) 2011-11-09
TW201205672A (en) 2012-02-01
US20110272813A1 (en) 2011-11-10
US8759212B2 (en) 2014-06-24
CN102237272B (zh) 2015-09-16
JP5671253B2 (ja) 2015-02-18

Similar Documents

Publication Publication Date Title
JP5671253B2 (ja) 半導体装置の製造方法
US8043957B2 (en) Semiconductor device, method for manufacturing semiconductor device and apparatus for manufacturing semiconductor
US7538353B2 (en) Composite barrier/etch stop layer comprising oxygen doped SiC and SiC for interconnect structures
US7851384B2 (en) Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
JP5554951B2 (ja) 半導体装置の製造方法
US7439171B2 (en) Method for manufacturing electronic device
US7176571B2 (en) Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
JP5093479B2 (ja) 多孔質絶縁膜の形成方法
US8105935B2 (en) Method of manufacturing a semiconductor device
US7193325B2 (en) Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
US20090093100A1 (en) Method for forming an air gap in multilevel interconnect structure
CN107564888B (zh) 互连结构及其制造方法
JP2009194072A (ja) 半導体装置の製造方法
JP2001223269A (ja) 半導体装置およびその製造方法
JP4492949B2 (ja) 電子デバイスの製造方法
JP2006128542A (ja) 電子デバイスの製造方法
JP2011009556A (ja) 半導体装置の製造方法及び半導体装置
US20080264901A1 (en) Chemical Mechanical Polishing Process for Planarizing Copper Surface
JP2005260060A (ja) レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
JP2004119539A (ja) レジストパターンの除去方法
TWI282602B (en) Dual damascene process
JP4643975B2 (ja) 半導体装置の製造方法
JP2006059848A (ja) レジスト除去方法及び半導体装置の製造方法
JP2010287653A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131022

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140625

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141219

R150 Certificate of patent or registration of utility model

Ref document number: 5671253

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees