WO2007132879A1 - 半導体装置、半導体装置の製造方法及び半導体製造装置 - Google Patents

半導体装置、半導体装置の製造方法及び半導体製造装置 Download PDF

Info

Publication number
WO2007132879A1
WO2007132879A1 PCT/JP2007/060012 JP2007060012W WO2007132879A1 WO 2007132879 A1 WO2007132879 A1 WO 2007132879A1 JP 2007060012 W JP2007060012 W JP 2007060012W WO 2007132879 A1 WO2007132879 A1 WO 2007132879A1
Authority
WO
WIPO (PCT)
Prior art keywords
insulating film
film
wiring
semiconductor device
modified layer
Prior art date
Application number
PCT/JP2007/060012
Other languages
English (en)
French (fr)
Inventor
Munehiro Tada
Hiroto Ohtake
Fuminori Ito
Yoshihiro Hayashi
Hironori Yamamoto
Original Assignee
Nec Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=38693968&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=WO2007132879(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Nec Corporation filed Critical Nec Corporation
Priority to JP2008515581A priority Critical patent/JP5168142B2/ja
Priority to US12/299,375 priority patent/US8043957B2/en
Publication of WO2007132879A1 publication Critical patent/WO2007132879A1/ja
Priority to US13/238,796 priority patent/US8278763B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a semiconductor device having a multilayer wiring, a method for manufacturing a semiconductor device, and a semiconductor manufacturing apparatus, and more particularly to a semiconductor device configured with a damascene wiring structure mainly composed of Cu.
  • LSIs silicon semiconductor integrated circuits
  • A1 aluminum
  • A1 alloy has been widely used as a conductive material.
  • copper (Cu) has come to be used as a conductive material in order to reduce wiring resistance in wiring and achieve high reliability. Since this Cu easily diffuses into the silicon oxide film, a conductive barrier metal film that prevents Cu diffusion is used on the side and bottom of the Cu wiring, and an insulating barrier is formed on the upper surface of the Cu wiring. A membrane is used.
  • the low dielectric constant insulating film include an HSQ (hydrogen silsesquioxane) film, a CDO (carbon doped oxide) film, and an organic film. These low dielectric constant insulating films are formed by a spin coating method or a gas phase method.
  • Japanese Unexamined Patent Application Publication No. 2003-309173 discloses an NF plasma treatment for an organic siloxane film.
  • Japanese Patent Application Laid-Open No. 2006-24641 discloses a modified organic siloxane film by reducing treatment. Techniques for forming a porous layer and protecting an organosiloxane film are described.
  • JP-T-2002-526916 describes a technique for forming a porous insulating film using a cyclic organosiloxane raw material.
  • Japanese Patent Application No. 2003-400683 discloses a technique for forming a porous insulating film using a three-membered cyclic organosiloxane raw material.
  • the modified layer is formed by plasma treatment of the organosiloxane film.
  • an organosiloxane film having a relative dielectric constant of 2.5 or less is formed by the technique described in Japanese Patent Application Laid-Open No. 2006-24641
  • the modified layer is formed thick, resulting in an increase in the relative dielectric constant and wiring. There was a problem that the capacity increased during this period.
  • low dielectric constant insulating films that can achieve a relative dielectric constant of 2.5 or less are disclosed in Japanese Patent Application Publication No. 2002-526916 and Japanese Patent Application No. 2003-400683. It can be formed by using a cyclic organosiloxane raw material.
  • this low dielectric constant insulating film is applied to a wiring interlayer insulating film in order to achieve a low wiring capacitance, There is a problem that leakage current between wirings increases. That is, although the capacitance between wires can be reduced, the power consumption of the entire chip due to the leakage current between wires increases, and the insulation reliability between wires deteriorates. It was.
  • the present invention has been made to solve the above problems, and provides a multilayer wiring technique having high adhesion and high insulation reliability between wirings while maintaining effective low wiring capacitance. It is something.
  • the modified layer is formed on at least one of the interface between the first insulating film and the metal and the interface between the first insulating film and the second insulating film.
  • the modified layer is characterized in that the number of carbon atoms per unit volume is smaller than that in the first insulating film and the number of oxygen atoms is increased.
  • the number of carbon atoms per unit volume in the first insulating film including the siloxane structure is larger than the number of oxygen atoms.
  • this modified layer is a layer having excellent adhesion and insulating properties.
  • Figure 1 shows the Raman spectrum of a three-membered cyclic SiOCH film as an example of the Raman spectrum. 580 cm _1 and spectrum of 3-membered cyclic siloxane are detected near reflected the raw material siloxane structure I may insulating film having a cyclic Si- O backbone is possible to form Chikararu. Thus, the analysis of the siloxane structure can be performed by using the Raman analysis.
  • Table 1 shows compositions at the time of forming each insulating film, measured by RBSZHFS analysis.
  • the ratio of carbon atoms to silicon atoms in the film (CZSi) is expressed by the following formula (3) (random type SiOCH film) formula (2) (four-membered ring type SiOCH film) formula (1) (three-membered ring type SiOCH film) ) In order of increase.
  • Random type 1 1 0.7 SiOCH Figure 2 is a plot of the change in the dielectric constant of the insulating film with the acid treatment time for the above three films.
  • O plasma irradiation is a parallel plate type in-situ assembly.
  • the substrate distance (GAP) 30 mm, pressure 10 mTorr, upper electrode frequency 60 MHz, upper electrode power 600 W, lower electrode frequency 13.56 MHz, lower electrode powerlO 0W, and processing is performed only with oxygen gas.
  • the increase in the relative permittivity with the acid-sodium treatment time is shown by the following formula (3) (random type SiOCH film), formula (2) (four-membered ring type SiOCH film), formula (1) (3 It turns out that it becomes small in order of the member ring type SiOCH film).
  • the thickness of the modified layer formed on the surface was estimated by XPS (X-ray Photoelectron Spectroscopy) depth direction analysis, and 40 nm (random SiOCH film)> 20 nm (4-membered ring-type SiOCH film)> It was found that the thickness decreased in the order of 10 nm (3-membered ring-type Si OCH film).
  • the density of the modified layer formed on the surface of the three-membered ring-type SiOCH film was measured by XRR (X-Ray Reflection: reflectivity measurement) and found to be 2. OgZcm 3 or more.
  • a film composition having a larger number of carbon atoms than the number of silicon atoms is preferred because the dielectric constant of the insulating film itself is lowered by the increase of carbon, which is a light element. .
  • the densification reaction proceeds with the carbon substitution reaction, and a high-density reformed layer with a nano-level thickness can be formed. It is.
  • the siloxane structure preferably includes both a hydrocarbon group having at least 3 carbon atoms and an unsaturated hydrocarbon group.
  • the siloxane structure contains both unsaturated hydrocarbon groups and hydrocarbon groups having 3 or more carbon atoms, so that the decarbonization rate is reduced by the strong bond energy of the unsaturated hydrocarbon groups, and the carbon number A large amount of hydrocarbon groups can keep a large amount of hydrocarbon components in the film.
  • the cyclic siloxane structure is lower than the random siloxane structure, the high-density modified layer can be formed even though the specific dielectric constant of the film is low. This is because the bonding angle of O—Si—O is smaller as the structure is formed, and thus a high-density SiO structure is easily formed.
  • the density of corsite (4-membered ring; 2.92 g / cm 3 ) is higher than that of quartz (6-membered ring; 2.65 g / cm 3 ). Therefore, oxygen substituted for carbon, which is easy to form a high-density O-Si-o structure as an intensified skeleton and preferably contains cyclic siloxane in the insulating film, is a continuous unit of cyclic units. And can easily form a high-density and thin 1% modified layer.
  • any of the wiring interlayer insulating film, the hard mask film, and the via interlayer insulating film in contact with the metal wiring and the connection plug is used.
  • a first insulating film containing a siloxane structure containing at least silicon, oxygen, and carbon is used.
  • each insulating film includes a siloxane structure including both a side chain having 3 or more carbon atoms and a vinyl group.
  • the wiring interlayer insulation film, the hard mask film, and the via interlayer insulation film can be formed separately.
  • a via interlayer insulating film is formed by setting a low voltage dividing condition
  • a wiring interlayer insulating film is formed by setting a high voltage dividing condition
  • a relatively low adhesion condition and film strength are set by using a low pressure condition.
  • Excellent hardware A mask film and a via interlayer insulating film can be formed.
  • the above-mentioned wiring interlayer insulating film, hard A mask film and a via interlayer insulating film can be formed separately.
  • the CZSi ratio of the via interlayer insulation film is about 1.4 and the relative dielectric constant 2.7
  • the dielectric interlayer dielectric of the wiring interlayer insulation film is about 2.9 and the relative dielectric constant 2.
  • a SiOCH film with a CZSi ratio of about 1.2 and a relative dielectric constant of 3.0 can be formed.
  • the via interlayer insulating film has a slightly larger number of carbon atoms. Decrease the dielectric constant.
  • the wiring interlayer insulating film contains a large number of carbon atoms in order to reduce the relative dielectric constant most. Therefore, when all of the hard mask film, wiring interlayer insulating film, and via interlayer insulating film are insulating films containing a siloxane structure containing at least silicon, oxygen, and carbon, the number of carbon atoms per unit volume in the insulating film.
  • the wiring interlayer insulating film> By using the via interlayer insulating film> hard mask film, the adhesion can be further improved.
  • the number of carbon atoms per unit volume in the modified layer depends on the modified layer between the wiring interlayer insulating film and the metal, the modified layer between the via interlayer insulating film and the metal, and between the hard mask film and the metal. By decreasing the number of the modified layers in order, the adhesion between these layers can be improved.
  • the density inside the first insulating film is typically 1.2 g / cm 3 or less.
  • the present invention has the following configuration.
  • a wiring groove and a via hole are formed in an insulating film on a semiconductor substrate, and the wiring groove and the via hole are respectively filled with a metal and a connection plug, and at least a part of the insulating film is a first insulating film.
  • a multilayer wiring composed of a film and a second insulating film;
  • the first insulating film includes at least a siloxane structure containing silicon, oxygen, and carbon. Both are one or more insulating films,
  • the siloxane structure inside the first insulating film has more carbon atoms than silicon atoms, the interface between the first insulating film and the metal, and the interface between the first insulating film and the second insulating film.
  • a semiconductor device characterized in that a modified layer having a smaller number of carbon atoms per unit volume and a larger number of oxygen atoms is formed in at least one of them than in the first insulating film.
  • siloxane structure includes both a hydrocarbon group having 3 or more carbon atoms and an unsaturated hydrocarbon group.
  • siloxane structure includes a cyclic siloxane structure including an oxygen atom and a silicon atom.
  • the first insulating film is composed of a via interlayer insulating film in a via plug portion, a wiring interlayer insulating film in a wiring portion, and a hard mask film in a wiring portion, which are sequentially stacked from the semiconductor substrate side.
  • Each of the hard mask film, the wiring interlayer insulating film, and the via interlayer insulating film is an insulating film including a siloxane structure containing silicon, oxygen, and carbon,
  • the modified layer is formed at the interface with the metal in any of the hard mask film, the wiring interlayer insulating film, and the via interlayer insulating film,
  • the modified layer has a smaller number of carbon atoms and a larger number of oxygen atoms per unit volume than in the corresponding first insulating film.
  • the number of carbon atoms per unit volume in the modified layer is determined as follows: the modified layer between the wiring interlayer insulating film and the metal> the modified layer between the via interlayer insulating film and the metal> the modified layer between the hard mask film and the metal. 11. The semiconductor device as described in any one of 1 to 10 above, wherein the number of layers is smaller in order.
  • a wiring groove and a via hole are formed in the insulating film on the semiconductor substrate, and the wiring groove and the via hole are respectively filled with a metal and a connection plug, and at least a part of the insulating film is the first. It has a multilayer wiring composed of an insulating film of
  • the first insulating film is an insulating film having at least one layer including a siloxane structure containing silicon, oxygen, and carbon,
  • the siloxane structure inside the first insulating film has more carbon atoms than the number of silicon atoms, and carbon per unit volume at the interface between the first insulating film and the metal than in the first insulating film.
  • a reforming step for forming the modified layer By modifying the wiring trench and via hole side surface in the first insulating film, A reforming step for forming the modified layer;
  • a method for manufacturing a semiconductor device comprising:
  • a wiring groove and a via hole are formed in the insulating film on the semiconductor substrate, and the wiring groove and the via hole are respectively filled with a metal and a connection plug, and at least a part of the insulating film is the first.
  • the first insulating film is an insulating film having at least one layer including a siloxane structure containing silicon, oxygen, and carbon,
  • the siloxane structure inside the first insulating film has more carbon atoms than silicon atoms at the interface between the first insulating film and the metal and at the interface between the first insulating film and the second insulating film.
  • a modification step of forming the modified layer by performing a modification process on the side surfaces of the wiring grooves and via holes in the first and second insulating films; Forming wirings and connection plugs by filling the wiring trenches and via holes with metal, respectively;
  • a method for manufacturing a semiconductor device comprising:
  • Etching gas force of the dry etching contains at least Ar, N, O and CF
  • a semiconductor manufacturing apparatus comprising: a control unit including a microcomputer storing a program for controlling the groove forming step, the photoresist removing step, and the modifying step.
  • the control means further includes a plasma processing sequence in the insulating film forming step.
  • the semiconductor manufacturing apparatus By using the semiconductor device manufacturing method, the semiconductor manufacturing apparatus, and the semiconductor device of the present invention, it is possible to simultaneously achieve a low dielectric constant and a high insulation reliability of the interlayer insulating film. In addition, by having high adhesion, it is possible to improve the performance of the wiring and to form a high-speed, low-power-consuming LSI with high reliability.
  • the modification treatment in the “step of forming a modified layer on the surface of the second insulating film and forming the modified layer” and the modification step is an oxidation treatment. Further, in the above “13”, it is preferable that the reforming process is performed by nitrogen plasma in the “step of modifying the surface of the second insulating film to form the modified layer” and the modifying step. That's right.
  • FIG. 1 is a diagram showing a Raman spectrum of a 3-membered cyclic SiOCH film.
  • FIG. 2 is a diagram showing a change in relative dielectric constant of an insulating film depending on an acid treatment time.
  • FIG. 3 is a diagram illustrating a manufacturing example of the semiconductor device according to the first embodiment of the present invention.
  • FIG. 4 is a diagram showing a comparison result of leakage current between wirings of a semiconductor device with a modified layer and without a modified layer.
  • FIG. 5 A plot (RC plot) of wiring resistance and wiring capacity of a semiconductor device with and without a modified layer.
  • FIG. 6 is a diagram showing the results of a composition analysis of the semiconductor device without the modified layer and the first embodiment by TEM-EELS.
  • FIG. 7 shows each modification of the structure of the dual damascene wiring in the first embodiment.
  • FIG. 8 shows each modification of the structure of the dual damascene wiring in the first embodiment.
  • FIG. 9 shows each modification of the structure of the dual damascene wiring of the first embodiment.
  • FIG. 10 is a diagram illustrating a manufacturing example of the semiconductor device according to the second embodiment of the present invention.
  • FIG. 11 is a diagram showing each modification of the structure of the dual damascene wiring of the second embodiment.
  • FIG. 12 shows each modification of the structure of the dual damascene wiring of the second embodiment.
  • FIG. 13 is a diagram showing a result of composition analysis between wirings of the multilayer wiring of Comparative Example 1 by TEM-EELS.
  • FIG. 14 is a diagram illustrating a manufacturing example of the semiconductor device according to the first embodiment of the invention.
  • FIG. 15 is a diagram showing an example of a semiconductor device according to Example 2 of the present invention.
  • Low dielectric constant insulating film '' is, for example, a film (interlayer insulating film) that insulates and separates wiring materials, and a silicon oxide film (relative dielectric constant) in order to reduce the capacitance between multilayer wirings connecting semiconductor elements 4.
  • the porous insulating film for example, a material in which a silicon oxide film is made porous to reduce the relative dielectric constant, an HSQ (Hydrogen Silsesquioxane) film, or a SiOCH, SiOC (for example, , Black Diamond (Trade Mark), CORAL (Trade Mark), Aurora (Trade Mark)), etc. are made porous to reduce the dielectric constant.
  • a film forming technique using a cyclic siloxane material is being studied.
  • the "siloxane structure” means a structure composed of at least a silicon atom, an oxygen atom, and a carbon atom among compounds containing a Si-O bond, and a film skeleton formed by these atoms. . Further, depending on the siloxane structure, it may have a hydrogen atom. In the present invention, if the number of carbon atoms is too large, a skeleton as a siloxane structure cannot be formed. Therefore, in the present invention, the number of carbon atoms is a silicon atom. The number is preferably 5 times or less.
  • Cyclic siloxane refers to a cyclic structure composed of a plurality of units when Si—O is counted as one unit.
  • a three-membered ring means a hexagonal molecular structure with (SiO) force.
  • the four-membered ring means an octagonal molecular structure that also has (SiO) force.
  • Cyclic organosiloxane means a molecular structure having a hydrocarbon group in the side chain of the cyclic siloxane structure.
  • hydrocarbon group include a methyl group, an ethyl group, a propyl group, an isopropyl group, and a vinyl group.
  • Such a cyclic siloxane raw material is effective for forming a porous insulating film.
  • the insulating film is not necessarily limited to a porous film.
  • the composition of the insulating film is the ratio of the number of atoms contained per unit volume.
  • “Plasma vapor phase epitaxy” refers to, for example, supplying gaseous raw material continuously to a reaction chamber under reduced pressure, causing molecules to be excited by plasma energy, and performing gas phase reaction or substrate surface. This is a technique for forming a continuous film on a substrate by reaction or the like.
  • the case where the reactivity of the monomer is improved by introducing a side chain having a high reactivity such as a vinyl group in advance of the raw material molecule is sometimes called a plasma polymerization method.
  • Damascene wiring is formed by embedding a metal wiring in a groove of a pre-formed interlayer insulating film and removing excess metal other than in the groove by, for example, CMP. This refers to embedded wiring.
  • a wiring structure is generally used in which the side and outer periphery of the Cu wiring are covered with a barrier metal, and the upper surface of the Cu wiring is covered with an insulating barrier film.
  • Metal wiring has Cu as a main component.
  • metal elements other than Cu may be included in the member made of Cu.
  • Metal elements other than Cu may be formed on the upper surface or side surfaces of Cu. .
  • the "CMP (Chemical Mechanical Polishing) method” is a method in which unevenness on the wafer surface that occurs during the multilayer wiring formation process is polished by bringing it into contact with a polishing pad that is rotated while flowing a polishing liquid over the wafer surface. It is a method of flattening. In wiring formation by the damascene method, it is used to obtain a flat wiring surface by removing excess metal after embedding metal in wiring grooves or via holes.
  • “Barrier metal” refers to a conductive film having a barrier property that covers the side and bottom surfaces of a wiring in order to prevent a metal element constituting the wiring from diffusing into an interlayer insulating film or a lower layer. .
  • the wiring is made of a metal element whose main component is Cu, tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), Titan (WTi), tungsten carbonitride (WCN), etc.
  • Ta tantalum
  • TaN tantalum nitride
  • TiN titanium nitride
  • Ti Titan
  • WCN tungsten carbonitride
  • a refractory metal, a nitride thereof, or a laminated film thereof is used.
  • An "insulating barrier film” is a film formed on the upper surface of a Cu wiring, which has a function of preventing Cu from diffusing into a copper oxide insulating film and a role as an etching stop layer during processing. Show. For example, SiC film, SiCN film, SiN film, etc. are used.
  • a “semiconductor substrate” is a substrate on which a semiconductor device is configured.
  • a semiconductor device is configured.
  • substrates such as manufacturing substrates.
  • Hard mask refers to a low dielectric constant that is deposited on the interlayer insulating film when it is difficult to perform CMP directly due to a decrease in strength due to the low dielectric constant of the interlayer insulating film.
  • Passivation film refers to a film that is formed in the uppermost layer of a semiconductor element and has a role of protecting the semiconductor element from external moisture and the like.
  • a silicon oxynitride film (SiON) formed by a plasma CVD method, a polyimide film, or the like is used.
  • Resist poisoning means that the chemical amplification group of the resist is deactivated by the amine component occluded in the low dielectric constant insulating film or at the interface of these insulating films, and the pattern This is a phenomenon that causes malfunction.
  • PVD Physical Vapor Deposition
  • a normal sputtering method can be used.
  • long throw sputtering method collimated sputtering method, ionized sputtering method, etc.
  • the metal film formed can be made into an alloy film by preferentially containing a metal other than the main component in the metal target below the solid solubility limit.
  • this method can be used mainly when forming a Cu seed layer or a barrier metal layer when forming a damascene Cu wiring.
  • TDDB (Time Dependent Dielectric Breakdown) life is a technique for predicting the time until dielectric breakdown by an accelerated test.
  • a comb-shaped TEG Thermal Anneadvant
  • the measurement condition at a predetermined temperature for example, 125 ° C
  • Apply a high electric field By monitoring the leakage current flowing between the wires and measuring the time until the electric field application start time force breakdown, the superiority and inferiority of the TDDB life can be compared.
  • m-ELT test is an abbreviation for modified Edge Liftoff Test. An epoxy layer is applied to a sample, and after curing at about 120 ° C, the sample is cooled. At this time, a peeling force is applied to the end face of each layer of the sample due to the residual stress of the epoxy layer generated by cooling, and a peeling portion is generated. This peeling location is detected by image processing, and the temperature at that time is recorded.
  • m-ELT test it is assumed that the energy released during peeling is approximately equal to the elastic energy stored in the epoxy layer.
  • this is a method for calculating the stress strength (peeling strength) applied to the test thin film. It can be judged that the larger the stress value at peeling obtained by this m-ELT test, the better the adhesion.
  • the "siloxane structure" can be analyzed by Raman analysis.
  • the “thickness of the modified layer” can be measured by a depth direction analysis of XPS (X-ray Photoelectron Spectroscopy).
  • the “modified layer density” can be measured by XRR (X-Ray Reflection).
  • the modified layer and the inside of the first insulating film can be discriminated by analysis using TEM-EELS. Furthermore, the composition (atomic ratio) per unit volume in the first insulating film and in the modified layer can be analyzed by this TEM-EELS analysis.
  • the interface between the first insulating film and the metal and the interface between the first insulating film and the second insulating film has a modified layer. Should be formed.
  • the first insulating film and the second insulating film are formed continuously. However, it may be formed through a clear interface.
  • the second insulating film may contain a siloxane structure containing silicon, oxygen and carbon, and a modified layer may be formed at the interface between the second insulating film and the metal.
  • the hard mask, the via interlayer insulating film, and the wiring interlayer insulating film may or may not become the first insulating film.
  • a cyclic organosiloxane raw material is supplied to the reaction chamber to form a low dielectric constant insulating film suitable as an interlayer insulating film. Then, a suitable modified layer is formed by plasma vapor phase epitaxy on the portion of the insulating film containing the cyclic siloxane structure that becomes the interface with the metal wiring, thereby reducing the capacitance between the wirings and insulating. It is possible to ensure both reliability and reliability.
  • the multilayer wiring structure on the semiconductor substrate formed with the semiconductor element formed according to the present embodiment will be described in detail below.
  • a noria metal 310a, a metal wiring 311a, an insulating barrier film 31 2b are formed on a semiconductor substrate (not shown) on which a semiconductor element is formed.
  • a via interlayer insulating film 313, a wiring interlayer insulating film 314, and a hard mask film 315 are formed thereon.
  • the first insulating film is the wiring interlayer insulating film 314, and the second insulating film is the via interlayer insulating film 313.
  • Metal wiring is mainly composed of Cu, and metal elements other than Cu may be included to improve the reliability of metal wiring. Metal elements other than Cu are present on the top and side surfaces of Cu. It may be formed. This metal wiring can be formed by a sputtering method using a Cu target, a CVD method, or an electrolytic plating method using a Cu film formed by these methods as an electrode.
  • the metal element other than the main component it is also effective to add at least one metal selected from the group force including aluminum, tin, titanium, tungsten, silver, zirconium, indium, and magnesium force. .
  • a metal other than Cu for example, tungsten (W) or a compound such as CoWP, is used as an adhesion layer and inserted between the Cu wiring and the insulating barrier film. It is also effective to do.
  • the insulating barrier film is made of SiN, SiCN, SiC film, etc., and has a film thickness of 200 to 500 A, and is formed by the plasma CVD method.
  • the rare metal can be formed by using a sputtering method, a CVD method, an ALCVD (Atomic Layer Chemical Vapor Deposition) method, or the like.
  • barrier metals include tantalum (Ta), tantalum nitride (TaN), titanium nitride (TiN), titan (WTi), titan nitride (WTiN), refractory metals such as tungsten carbonitride (WCN), and nitrides thereof.
  • WCN tungsten carbonitride
  • WCN tungsten carbonitride
  • TaZTaN upper Z lower layer laminated film for Noria metal.
  • the via interlayer insulating film 313 is an insulating film containing at least silicon, oxygen, carbon and hydrogen, and has a film thickness of about 1000 to 3000 A and a relative dielectric constant of 3.0 or less. Is preferred.
  • the via interlayer insulating film 313 may be an insulating film containing a cyclic siloxane structure containing at least silicon, oxygen, carbon, and hydrogen.
  • Examples of the via interlayer insulating film 313 include, for example, an HSQ (Nydrogen Silsesquioxane; Hydrogen Silsesquioxane) film (for example, Typel2 (Trade Mark)), an MSQ (Methyl Silsesquioxane) film.
  • HSQ Hydrogen Silsesquioxane
  • Hydrogen Silsesquioxane Hydrogen Silsesquioxane
  • MSQ Metal Silsesquioxane
  • JSR-LKD Trade Mark
  • ALCAP Trade Mark
  • NCS Trade Mark
  • IPS Trade Mark
  • HOSP Trade Mark
  • organic polymer film SiLK (Trade Mark), Flare (Trade Mark)
  • SiOCH SiOC
  • Black Diamond Trade Mark
  • C ORAL Trade Mark
  • Aurora ULK Trade Mark
  • Orion Traffic Mark
  • insulating films containing organic substances Typical examples thereof include a film in which a plurality of these insulating films are stacked, or a film in which the composition and density of these insulating films are changed in the film thickness direction.
  • the wiring interlayer insulating film 314 is a low dielectric constant insulating film using a cyclic organic siloxane raw material containing at least silicon, oxygen, carbon, and hydrogen.
  • the thickness of the wiring interlayer insulating film 314 is preferably about 500 to 2000A.
  • a layer having excellent adhesion may be inserted into the wiring interlayer insulating film 314 by changing the raw material partial pressure during plasma excitation.
  • a SiOCH layer that may be exposed during Cu-CMP with a low carbon content may be inserted on top.
  • the wiring interlayer insulating film 3114 is formed using the three-membered cyclic organosiloxane of the formula (1).
  • SiO, SiON, SiC, SiCN, etc. can be used for the hard mask film.
  • the film thickness is preferably about 500-2000A.
  • SiH, TEOS, trimethylsilane, or an acid gas added thereto is added.
  • via holes 316 are formed in the via interlayer insulating film 313, the wiring interlayer insulating film 314, and the node mask 315 by patterning and dry etching using a photoresist. To do.
  • wiring grooves 317 are transferred and formed in the hard mask 315 by patterning and dry etching using a photoresist.
  • the plasma ashing process is efficiently and completely performed by using O plasma ashing.
  • the photoresist can be removed. At this time, since the wiring interlayer insulating film 314 is not etched, the side wall of the wiring trench to be formed later is exposed to O plasma.
  • a wiring groove 318 is formed in the wiring interlayer insulating film 314 by dry etching using the hard mask film 315 as a mask.
  • the dry etching method for the wiring interlayer insulating film at this time will be described in detail below.
  • CF 3 tetrafluorocarbon
  • Ar argon
  • N 2 nitrogen
  • O 2 oxygen
  • Etching can be performed using a parallel plate type dry etching apparatus using an etching gas mixed at an arbitrary ratio. Specifically, using a parallel plate type 8-inch etching device, the gas flow ratio ArZN / CF / O
  • GAP substrate distance
  • pressure 50mTorr 50mTorr
  • upper electrode frequency 60MHz 60MHz
  • upper electrode powerl000W lower electrode frequency 13.56MHz
  • lower electrode powerlOOW lower electrode powerlOOW.
  • the modified layer 319 is formed by performing an oxidation treatment on the etched side wall.
  • the photoresist for groove patterning has already been completely removed. Therefore, the modification treatment can be performed under conditions preferable to modification.
  • the treatment time it is preferable to set the treatment time to a force of 5 to 15 seconds, depending on the plasma conditions. Excessive oxidation treatment is preferable because it promotes oxidation of the trench sidewall.
  • the distance between substrates (GAP) 30mm, pressure 10mTorr, upper electrode frequency 60MHz, upper electrode power 600W, lower electrode frequency 13.56MHz, lower electrode power 100W, treatment with oxygen gas only for 5 seconds be able to.
  • a mixed gas such as O ZAr may be used as a gas system for performing O plasma irradiation.
  • the condition is that the pressure is 20 mTorr, the upper electrode frequency is 60 MHz, the upper electrode power is 500 W, the lower electrode frequency is 13.56 MHz, and the lower electrode powerlOOW is 5 seconds only with oxygen gas.
  • UV treatment using ozone or annealing treatment using oxygen gas may be used.
  • a diagram in which the modified layer is formed only on the side wall of the wiring interlayer insulating film 314 is illustrated as a typical example, but the modified layer is formed in other portions. It's okay.
  • a modified layer may also be formed on the via interlayer insulating film at the bottom of the trench, the sidewall of the via hole, or the like.
  • the modified layer formed on the trench side wall is thinly formed with a high density of 20 nm or less.
  • the wiring interlayer insulating film 314 does not contain any other siloxane structure.
  • a modified layer as thick as 30 to 50 nm is formed. This result also shows that it is preferable to apply the first insulating film of the present invention to the wiring layer insulating film 314 in order to make the first insulating film have a low dielectric constant and high insulating properties. .
  • the modified layer is formed at the connection interface between the first insulating film and the metal wiring as described above, the adhesion between the metal wiring and the wiring interlayer insulating film can be improved. This is because there is no modified layer and the adhesion of the noria metal to the modified layer is improved compared to the structure. Moreover, in the composition of the modified layer, the number of oxygen atoms per unit volume is larger than the number of carbon atoms, so that the adhesion with the noria metal is improved. Since the modified layer is formed as described above, it does not contain fluorine.
  • This oxidation process is performed in a state where Cu under the via interlayer insulating film is exposed.
  • perform oxidation treatment without opening the insulating barrier film at the bottom of the via interlayer insulation film, and then etch back. It is also possible to perform an opening with.
  • the noble metal 310b and the metal wiring 31 lb are embedded in the dual damascene trench, and the redundant wiring is removed by CMP to form a dual damascene wiring.
  • FIG. 5 shows a wiring resistance wiring capacity plot (RC plot).
  • the R–C plots for “with modified layer” and “without modified layer” are almost the same, indicating that the wiring performance is the same regardless of the presence or absence of the modified layer. That is, by using a cyclic SiOCH film having more carbon atoms than silicon atoms as a raw material, the leakage current can inevitably be reduced, and by forming the modified layer of the present invention, insulation reliability can be maintained while maintaining wiring performance. It can be seen that the sex can be secured.
  • FIG. 6 shows the result of the compositional analysis between the wirings of the multilayer wiring fabricated in this way from TEM-EELS (Transmission Electron Microscop- er Electron Energy Loss Spectroscopy).
  • This TEM-EELS can detect many elements from Li and B to 3d elements such as Cu.
  • the elemental mapping of the EELS spectrum which also releases the sample force, is performed on the TEM cross section, and the modification formed at the interface between the first insulating film and the wiring metal or the interface between the first insulating film and the second insulating film The composition of the layer can be confirmed.
  • a field emission electron microscope (JEM2100F, manufactured by JEOL Ltd.) EELS: GATAN GIF “Tridiem” is used as the TEM-EELS measuring apparatus.
  • the measurement conditions were an acceleration voltage of 200kV, a sample absorption current of 10-9A, and a beam spot size of lnm ⁇ , and analysis was performed using spectral imaging in STEM (scanning TEM) mode.
  • Fig. 6 (a) (with modified layer), peaks with increased O intensity (au) were observed at 50 nm and -50 nm, whereas in Fig. 6 (b) (without modified layer). , Such peaks are not observed at the 50 nm and 150 nm positions. From this result, it can be seen that when the modified layer is formed, a modified layer of about 15 nm is formed on the side wall.
  • a wiring interlayer insulation film is formed on a silicon substrate, and a pseudo oxidation treatment is performed to evaluate the modified layer formed on the surface.
  • XRR X-Ray Reflection: X-ray reflectivity measurement
  • the inside of the wiring interlayer insulating film at this time is an insulating film including a cyclic siloxane structure containing at least silicon, oxygen, and carbon. From the result of TEM-EELS, the insulating film per unit volume It was found that the carbon atom weight of the carbon was more than twice the oxygen atom weight. On the other hand, from the result of TEM-EELS, it was found that the ratio of the carbon atom weight and the oxygen atom weight was reversed in the modified layer of the first embodiment.
  • the semiconductor device manufacturing method and the semiconductor device of the present invention it is possible to achieve a reduction in the dielectric constant of the interlayer insulating film and an excellent insulation reliability at the same time.
  • the high adhesion makes it possible to improve the performance of the wiring and to form a high-speed, low-power consumption LSI with high reliability.
  • FIGS. Examples of the structure of the dual damascene wiring thus formed are shown in FIGS.
  • the lower layer metal wiring is composed of Ding & 7 Ding & Normetal 310 & and CuAl wiring 311a.
  • the CuAl wiring 311a contains Cu as a main component and contains A1 of 1.2 atm% or less inside.
  • the upper surface of the lower metal wiring is covered with an insulating barrier film 312a.
  • a SiCN film having a relative dielectric constant of 4.9 formed by a plasma CVD method is used.
  • the via interlayer insulating films 313a and 313b Aurora-ULK (Trade Mark) or Black Diamond (Trade Mark) formed by the plasma CVD method is used.
  • the wiring interlayer insulating film 314 an annular SiOCH film having a relative dielectric constant of 2.37 as shown in the formation method in the first embodiment is used.
  • the upper layer wiring material has a TaZTaN noria metal 310b and CuAl wiring 31 lb force.
  • This TaZTaN noria metal is formed by PVD method.
  • Cu A1 wiring 3 l ib is mainly composed of Cu and contains A1 of 1.2 atm% or less inside.
  • the upper surface of the upper wiring layer is covered with an insulating barrier film 312b.
  • the insulating barrier film 312b was made of a SiCN film having a relative dielectric constant of 4.9 formed by a plasma CVD method.
  • the modified layer 319 is formed on the side wall of the groove and is formed so as to surround the periphery with metal wiring.
  • FIG. 7B shows a structure in which a hard mask film 315 for protecting the surface of the wiring interlayer insulating film at the time of Cu-CMP is further inserted in the structure of FIG. 7A.
  • the hard mask film include a silicon oxide film, a silicon carbide film, a silicon carbon nitrogen film, and the like, and a film having a higher relative dielectric constant than the insulating film 214 between wiring layers and excellent in mechanical strength is preferable. Therefore, as the hard mask film 315, an SiOCH film having a relative dielectric constant of about 3.0 may be used.
  • the rest of the structure is the same as in Fig. 7 (a), and is omitted.
  • FIG. 7 (c) shows a structure in which etch stop films 320a and 320b are further inserted into the structure of FIG. 7 (b).
  • the etch stop film is a film provided to improve the workability of the dual damascene-shaped wiring grooves and via holes. By using such an etch stop film, it is possible to reduce variations in the wiring groove depth. become.
  • the material of the etch stop film may be appropriately changed depending on the processed! / And material.
  • SiO film, SiN film, SiC film, SiCN film, SiOC At least one of a film, a SiOCH film, a film containing an organic substance in these films, a film containing an organic substance as a main component, and a film containing SiO as a main component containing an organic substance can be used.
  • FIG. 8 (a) shows an adhesive layer 321a, 321b formed by utilizing the partial pressure dependence of the cyclic organic siloxane raw material in the interlayer insulating film 314 of the structure of FIG. 7 (a). It is a structure in which is inserted.
  • This adhesion layer is an insulating film containing silicon, oxygen, carbon, and hydrogen, which is made of a layer having a low hydrocarbon component formed under a low partial pressure condition, and has a thickness of about 500 to 3000 A.
  • the dielectric constant is preferably 3.0 or less.
  • the wiring interlayer insulating film 314 is a low dielectric constant insulating film containing a siloxane structure containing at least silicon, oxygen, carbon, and hydrogen.
  • FIG. 8B shows a structure in which a hard mask film 315 for protecting the surface of the wiring interlayer insulating film at the time of Cu-CMP is further inserted in the structure of FIG. 8A.
  • the hard mask film there are a silicon oxide film, a silicon carbide film, a silicon carbon nitrogen film, etc., and a film having a higher relative dielectric constant and superior mechanical strength than the inter-wiring insulating film 314 is preferable. Therefore, as the hard mask film 315, an SiOCH film having a relative dielectric constant of about 3.0 may be used.
  • the rest of the structure is the same as in Fig. 8 (a), and is omitted.
  • FIG. 8 (c) shows a structure in which etch stop films 320a and 320b are inserted into the structure of FIG. 8 (b).
  • the etch stop film is a film provided to improve the dual damascene wiring trench and via hole additivity.
  • the etch stop film can be appropriately changed according to the material to be processed.
  • At least one of two films, SiN film, SiC film, and SiCN film can be used.
  • FIG. 9 (a) shows that the via interlayer insulating films 313a and 313b are SiOCH films containing at least silicon, oxygen, carbon and hydrogen, and the via interlayer insulating film 313b and the wiring interlayer insulating film 314 are formed.
  • the structure formed continuously is shown.
  • the via interlayer insulating film 313b is formed by changing the raw material partial pressure during plasma excitation and setting a low partial pressure condition
  • the wiring interlayer insulating film 314 is formed by setting the high partial pressure condition.
  • the via interlayer insulating film 313b and the wiring interlayer insulating film 314 in FIG. 9A can have a continuous structure.
  • the via interlayer insulating film and the wiring interlayer insulating film are formed by using two or more types of siloxane raw materials containing both side chains having 3 or more carbon atoms and bull groups, and changing the ratios of the respective raw materials.
  • the via interlayer insulation films 313a and b have a CZSi ratio of about 1.4 and a dielectric constant of 2.7
  • the wiring interlayer insulation film has a CZSi ratio of about 2.9 and a dielectric constant of 2.4. can do .
  • the modified layer is formed so as to completely surround the periphery of the wiring except the upper surface.
  • each of the wiring interlayer insulating film and the via interlayer insulating film is an insulating film including a siloxane structure containing at least silicon, oxygen, and carbon, and the number of carbon atoms in the insulating layer is equal to the wiring interlayer insulating film 314, It is characterized in that the via interlayer insulating film 313 decreases in order.
  • FIG. 9B shows a structure in which a hard mask film 315 for protecting the surface of the wiring interlayer insulating film at the time of Cu-CMP is further inserted in the structure of FIG. 9A.
  • the hard mask film there are a silicon oxide film, a silicon carbide film, a silicon carbon nitrogen film, etc., and a film having a higher relative dielectric constant and superior mechanical strength than the inter-wiring insulating film 314 is preferable. Therefore, as the hard mask film 315, an SiOCH film having a relative dielectric constant of about 3.0 may be used.
  • the via interlayer insulating film, the wiring interlayer insulating film, and the hard mask film use two or more types of siloxane raw materials containing both a side chain having 3 or more carbon atoms and a vinyl group, and changing the ratio of each raw material. Form a film.
  • the via interlayer insulating film 313 has a CZSi ratio of about 1.4 and a relative dielectric constant of 2.7
  • the wiring interlayer insulating film has a CZSi ratio of about 2.9 and a relative dielectric constant of 2.4. be able to.
  • an SiOCH film having a CZSi ratio of 1.2 and a relative dielectric constant of 3.0 can be formed as the hard mask film 315.
  • the modified layer is formed so as to surround the entire periphery of the wiring except the upper surface.
  • all of the wiring interlayer insulating film, via interlayer insulating film, and hard mask film are insulating films including a siloxane structure including at least silicon, oxygen, and carbon, and the number of carbon atoms in the insulating layer
  • insulating films including a siloxane structure including at least silicon, oxygen, and carbon are insulating films including a siloxane structure including at least silicon, oxygen, and carbon, and the number of carbon atoms in the insulating layer.
  • FIG. 9 (c) shows a structure in which etch stop films 320a and 320b are inserted into the structure of FIG. 9 (b).
  • the etch stop film is a film provided to improve the dual damascene wiring trench and via hole additivity.
  • the etch stop film is the material you want to process Depending on the situation, the material should be changed as appropriate. For example, as an etch stop film, SiO
  • At least one of 2 films, SiN films, SiC films, and SiCN films can be used.
  • At least a cyclic organosiloxane raw material is supplied to a reaction chamber, and an insulating film including a cyclic siloxane structure is formed by plasma vapor deposition. Then, by forming a suitable modified layer for this insulating film, the adhesion between the insulating film and the metal wiring can be improved.
  • the multilayer wiring structure formed on the semiconductor substrate formed with the semiconductor element according to the second embodiment will be described in detail.
  • a barrier metal 310a, a metal wiring 311a, and an insulating barrier film 312 are laminated on a semiconductor substrate (not shown) on which a semiconductor element is formed, and via interlayer insulation is formed thereon.
  • An edge film 313 is formed.
  • the via interlayer insulating film 313 is an insulating film including a cyclic organosiloxane structure containing at least silicon, oxygen, carbon, and hydrogen.
  • a modified layer 319a is formed by subjecting the via interlayer insulating film 313 to surface oxidation treatment.
  • a preferable oxidation treatment condition As a preferable oxidation treatment condition,
  • the O plasma irradiation uses a parallel plate type plasma CVD apparatus, and is performed between the substrates.
  • GAP 10mm, pressure 2 ⁇ 7Torr, upper electrode frequency 13 ⁇ 56MHz, upper electrode power 200W, oxygen gas alone for 5 seconds. At this time, O plasma ashing is performed.
  • the O-based system uses a mixed gas of rare gas such as He, Ar, Ne, Xe, and Rn and O, which can be O alone.
  • oxidation treatment conditions other than this may be the same method as described in the first embodiment.
  • a wiring interlayer insulating film 314 is formed on the via interlayer insulating film 313.
  • a hard mask film 315 is formed.
  • the wiring interlayer insulating film 314 an insulating film containing a cyclic organosiloxane structure containing at least silicon, oxygen, carbon, and hydrogen is used, and the film thickness is preferably about 500 to 2000A.
  • a layer having excellent adhesion may be inserted into the wiring interlayer insulating film 314 by changing the raw material partial pressure during plasma excitation.
  • a SiOCH layer with a low carbon component which may be exposed during Cu CMP, may be inserted on top.
  • this cyclic organosiloxane one having a structure having a three-membered ring or a four-membered ring force is used, and an inert carrier gas such as He, Ar, Ne, Xe, Rn or the like is used in the reaction chamber. Film formation can be performed by supplying and applying high frequency power.
  • the wiring interlayer insulating film 314 is formed using the three-membered cyclic organosiloxane of the formula (1).
  • SiO, SiON, SiC, SiCN, etc. can be used for the hard mask film
  • the film thickness is preferably about 500-2000A.
  • the first insulating film is the wiring interlayer insulating film 314, and the second insulating film is the via interlayer insulating film 313. Further, by forming the modified layer 319a as described above, the adhesion between the via interlayer insulating film 313 and the wiring interlayer insulating film 314 can be improved.
  • a dual damascene trench 318 is formed in the wiring interlayer insulating film 314 and the via interlayer insulating film 313 by patterning and dry etching using a photoresist.
  • the etching conditions at this time are the same as those described in the first embodiment, and the force to be omitted is formed. Since the modified layer 319a is formed, the modified layer serves as an etching stop layer. It becomes possible to reduce the variation of the wiring groove depth in the wafer surface.
  • the modified layer 319b is formed by performing an oxidation treatment on the etched side wall.
  • the oxidation treatment can be performed under conditions favorable for modification.
  • the noble metal 310b and the metal wiring 31 lb are embedded in the dual damascene trench, and the surplus wiring is removed by CMP to form a dual damascene wiring. .
  • the inside of the wiring interlayer insulating film 314 has a ring containing at least silicon, oxygen, and carbon. It is an insulating film containing a siloxane structure. From the results of the TEM-EELS analysis, the modified layer 319a is formed at the interface between the wiring interlayer insulating film 314 and the via interlayer insulating film 313, and the modified layer 319b is formed at the interface between the wiring interlayer insulating film 314 and the metal wiring 31 lb. I was able to confirm. It was also found that the amount of oxygen atoms per unit volume in the modified layers 319a and 319b was more than twice the amount of carbon atoms. In the second embodiment, the thickness of the modified layers 319a and 319b is 20 nm or less.
  • the method for manufacturing a semiconductor device of the present invention and the semiconductor device it was possible to simultaneously achieve a low dielectric constant and a high insulation reliability of the interlayer insulating film.
  • the high adhesion has improved the wiring performance, and it has become possible to form high-speed, low-power consumption LSIs with high reliability.
  • the lower layer metal wiring is composed of TaZTaN barrier metal 310 & and 0 ⁇ 1 wiring 311a.
  • the CuAl wiring 311a contains Cu as a main component and contains A1 of 1.2 atm% or less inside.
  • the upper surface of the lower metal wiring is covered with an insulating barrier film 312a.
  • a SiCN film having a relative dielectric constant of 4.9 formed by a plasma CVD method is used.
  • Via interlayer insulating films 313a and 313b are insulating films including a cyclic organosiloxane structure containing at least silicon, oxygen, carbon, and hydrogen, and include a siloxane structure consisting of a three-membered ring or a four-membered ring. It is a membrane.
  • a cyclic SiOCH film having a relative dielectric constant of 2.37 formed using a siloxane structure of the formula (1) as a raw material is used.
  • the upper layer wiring material has TaZTaN noria metal 3101) and 0 ⁇ 1 wiring 31 lb force, and the Ta / TaN noria metal is formed by the PVD method.
  • This CuAl wiring 31 lb is mainly composed of Cu and contains A1 of 1.2 atm% or less inside.
  • the upper surface of the upper wiring is covered with an insulating barrier film 312b, and here, a SiCN film having a relative dielectric constant of 4.9 formed by a plasma CVD method is used.
  • the modified layer 319a The modified layer 319b is formed at the interface between the via interlayer insulating film and the wiring interlayer insulating film on the trench sidewall and the trench bottom.
  • FIG. 11 (b) shows a structure in which a hard mask film 315 for protecting the surface of the wiring interlayer insulating film is further inserted in the structure of FIG. 11 (a) during Cu-CMP.
  • the hard mask film there are a silicon oxide film, a silicon carbide film, a silicon carbon nitrogen film, etc., and a film having a relative dielectric constant higher than that of the wiring interlayer insulating film 214 and excellent in mechanical strength is preferable. Therefore, as the hard mask film 315, a SiOCH film having a relative dielectric constant of about 3.0 may be used.
  • the other structures are the same as those in FIG.
  • FIG. 12 (a) shows an adhesion layer 321a, 321b formed by utilizing the partial pressure dependence of the cyclic organic siloxane raw material in the interlayer insulating film 314 of the structure of FIG. 11 (a).
  • the adhesion layer is an insulating film containing silicon, oxygen, carbon, and hydrogen, which is formed of a low hydrocarbon component layer formed under a low partial pressure condition, and has a film thickness of about 1000 to 3000 A and a relative dielectric constant of 3. It is preferably 0 or less.
  • FIG. 12 (b) shows a structure in which a hard mask film 315 for protecting the surface of the wiring interlayer insulating film is further inserted in the structure of FIG. 12 (a) during Cu-CMP.
  • the hard mask film there are a silicon oxide film, a silicon carbide film, a silicon carbon nitrogen film, etc., and a film having a relative dielectric constant higher than that of the wiring interlayer insulating film 314 and excellent in mechanical strength is preferable. Therefore, as the hard mask film 315, a SiOCH film having a relative dielectric constant of about 3.0 may be used.
  • Other structures are the same as those in FIG.
  • a metal 310a, a metal wiring 311a, and an insulating barrier film 312b were stacked on the semiconductor substrate (not shown) on which the semiconductor element is formed. Further, a via interlayer insulating film 313, a wiring interlayer insulating film 314, and hard mask films 315a and 315b were formed on the insulating barrier film 312b.
  • the first insulating film is the wiring interlayer insulating film 314, and the second insulating film is the via interlayer insulating film 313.
  • the metal wiring 311a was formed by forming a seed layer by sputtering using a CuAl (Al: 1.2 atm%) target and embedding Cu by an electrolytic plating method.
  • the insulating barrier film 312b is a SiCN film having a relative dielectric constant of 4.9 formed by a plasma CVD method, and its thickness is 300A. Prior to the formation of the insulating barrier film 312b, plasma NH irradiation or SiH gas irradiation was performed as a reduction treatment of the copper surface.
  • the via interlayer insulating film 313 is an insulating film containing at least silicon, oxygen, and carbon, and has a thickness of 1200 A.
  • the material used was AuroraULK (Trade Mark) with a high relative dielectric constant of 2.8.
  • the via interlayer insulating film 313 includes, for example, NCS (Trade Mark), IP S (Trade Mark), HOSP (Trade Mark), Black Diamond (Trade Mark), C ORAL (Trade Mark ) Etc. may be used.
  • the film forming conditions may be changed or a process such as UV curing or EB curing may be used to increase the strength.
  • the wiring interlayer insulating film 314 is an insulating film formed by a plasma CVD method using a He carrier gas, using a raw material having a siloxane structure of the formula (1), and its film thickness is 1100 A.
  • 4 nm adhesion was inserted into the lower layer by changing the raw material partial pressure during plasma excitation.
  • the raw material partial pressure at the time of forming the adhesion layer was set to lOPa, whereas at the time of forming the wiring interlayer insulating film 314, it was set to 50 Pa.
  • the hard mask film 315a is an insulating film containing at least silicon, oxygen, and carbon, and has a film thickness of 300A. In this embodiment, specifically, the hard mask film 315a has a relative dielectric constant. A Black Diamond (Trade Mark) with a rate of 3.0 was used.
  • the hard mask film 315a is not limited to this. For example, NCS (Trade Mark), IPS (Trade Mark), HOSP (Trade Mark), Black Diamond (Trade Mark), CORAL (Trade Mark) ⁇ AuroraULK (Trade Mark) may be used.
  • the film forming conditions may be changed to increase the strength, or a process such as UV curing or EB curing may be used.
  • the hard mask film 315b is an SiO film formed by a plasma CVD method, and its film thickness is
  • Each of the hard mask films 315a and 315b was irradiated with plasma He in order to improve the adhesion with the lower layer film.
  • plasma treatment with an inert gas may be appropriately performed as necessary in order to improve the adhesion between the insulating films.
  • via holes were formed in the via interlayer insulating film 313, the wiring interlayer insulating film 314, and the hard mask films 315a and 315b by patterning and dry etching using a photoresist.
  • the via hole was cleaned by an organic cleaning process, and then heat-treated at 300 ° C for 1 minute. This is because the organic amine component incorporated into the insulating film is removed by heat treatment to reduce the deterioration of the embedded organic film and resist boiling.
  • the organic film 5000A is embedded in the via hole, flattened by etch back once, and then the organic film 321 is again applied 2500A, 300 Curing treatment was performed for 1 minute at ° C.
  • a low-temperature oxide film 322 was formed thereon.
  • the low-temperature oxide film 322 was formed by the plasma CVD method, and the substrate temperature at that time was set to 200 ° C. or lower.
  • an antireflection film (ARC) 323 and a photoresist 324 were formed. By adopting such a structure, resist boiling can be avoided even when an ArF photoresist is used.
  • wiring grooves 317 were transferred and formed in the hard mask film 315 by patterning and dry etching using a photoresist.
  • photo cash register Stroke 324 and the like were completely removed by an ashing process using O plasma ashing.
  • a wiring trench 318 was formed in the wiring interlayer insulating film 314 by dry etching using the hard mask film 315 as a mask.
  • P) 35mm, pressure 50mTorr, upper electrode frequency 60MHz, upper electrode powerlOOOW, lower electrode frequency 13.56MHz, lower electrode powerlOOW.
  • a modified layer 319 was formed by performing an oxidation treatment on the etched side wall.
  • the oxidation treatment conditions at this time were performed by O plasma irradiation.
  • the modified layer on the trench side wall has a high density. From TEM-EELS, a modified layer of about lOnm is formed. It was confirmed that In addition, it was confirmed that the modified layer had fewer carbon atoms and more oxygen atoms per unit volume than the wiring interlayer insulating film 314. On the other hand, it was confirmed that a modified layer of about 40 nm was formed on the side wall of the via interlayer insulating film 313 at the bottom of the wiring trench.
  • a noble metal 310b and a metal wiring 31 lb were embedded in the dual damascene trench, and excess wiring was removed by CMP to form a dual damascene wiring.
  • a semiconductor substrate on which a semiconductor element is formed (see FIG. A noria metal 221a, a metal wiring 220a, and an insulating barrier film 218a are formed on (not shown), and a wiring interlayer insulating film 219a is formed thereon.
  • the first insulating film and the second insulating film are integrated into the wiring interlayer insulating films 219a to 219e.
  • the wiring interlayer insulating film 219a includes a siloxane structure containing silicon, oxygen, and carbon, and the number of carbon atoms in the siloxane structure is larger than the number of silicon atoms. Further, a modified layer 319a having a smaller number of carbon atoms per unit volume and a larger number of oxygen atoms is formed at the interface with the wiring interlayer insulating film 219a in contact with the metal wiring than in the wiring interlayer insulating film. The thickness is controlled to about 10 to 20 nm.
  • the metal wiring material is mainly composed of Cu, and metal elements other than Cu may be included in the member made of Cu in order to improve the reliability of the metal wiring material.
  • Metal other than Cu The element may be formed on the upper surface or side surface of Cu.
  • Cu was formed by the electrolytic plating method using a 400 A thick Cu layer formed by the PVD method as a seed layer.
  • the Cu layer formed by this PVD method contains less than 1.2atm% of A1 inside.
  • the insulating barrier film is composed of a SiCN film, and the film thickness is 300 A, which is formed by the plasma CVD method.
  • the wiring interlayer insulating film 219a is a cyclic SiOCH film formed by plasma vapor deposition using the cyclic organosiloxane raw material of formula (1), and has a relative dielectric constant of 2.4 and a thickness of It was 2500 A including the via interlayer.
  • the metal wiring 220a and the barrier metal 221a are embedded in the dual damascene trench that also forms such an interlayer insulating film force.
  • Ta (15 nm) / TaN (5 nm) (formed by PVD method) is applied to the noria metal film 221a.
  • the metal wiring member was formed by PVD using a Cu target containing 1.2 atm% A1, and Cu was formed by plating.
  • each wiring layer is 170 nm for Ml (the sign of each constituent member is a) to M5 (the sign of each constituent member is e), and 300 nm is M6 (the sign of each constituent member is f). did.
  • the noria metals 221b to 221e have the same configuration as the barrier metal 221a
  • the metal wirings 220b to 220e have the same configuration as the metal wiring 220a.
  • the insulating barrier films 218b to e have the same configuration as the insulating barrier film 218a
  • the wiring interlayer insulating films 219b to e have the same configuration as the wiring interlayer insulating film 219a
  • the modified layers 319b to e Break It is the same composition as the stratified layer 319a.
  • a structure in which a hard mask film or the like is inserted may be used.
  • the hard mask film a silicon oxide film, a silicon carbide film, a silicon carbon nitrogen film, or the like can be used, and a film having a higher relative dielectric constant than the wiring interlayer insulating film 213 and excellent in mechanical strength is used. It is preferable. Therefore, a SiOCH film having a relative dielectric constant of about 3.0 may be used as the hard mask film.
  • A1 was used for the upper layer wiring, and Ti / TiN225a, Al-Cu226, and TiZTiN225b were formed by the PVD method.
  • the thickness of each metal film was TiZTiN225 force of about 0.3 ⁇ m, Al—Cu226 force of 5 m, and TiZTiN225b force of 3 ⁇ m. At this time, metal was continuously embedded in the grooved via hole.
  • the upper layer was covered with a passivation film.
  • the wafer was diced, the chip was cut out, mounted on a ceramic package, and sealed with grease.
  • the chip size was 25 mm x 25 mm, and a temperature cycle test from -65 ° C to 150 ° C was conducted up to 1000 cycles.
  • adhesion was improved by the modified layer, and no peeling was observed in 50 chips.
  • the semiconductor device in which the modified layer was not formed it was confirmed that 2 chips were generated in the sample in which the angular force of the chip slightly peeled off due to poor adhesion.
  • Example 3 An embodiment in which multilayer wiring is formed on a semiconductor substrate on which a semiconductor element is formed and a modification process using nitrogen plasma is performed on the insulating film will be described in detail below. Note that the manufacturing method of the semiconductor device is the same as that in Example 1 except for the reforming process conditions, and is omitted.
  • the first insulating film is the wiring interlayer insulating film 314, and the second insulating film is the via interlayer insulating film 313.
  • distance between substrates (GAP) 30 mm, pressure 10 mTorr, upper electrode frequency 60 MHz, upper electrode power 600 W, lower electrode frequency 13.5 6 MHz, lower electrode powerlOOW, only nitrogen gas And processed for 5 seconds.
  • the modified layer on the trench side wall has a high density. From TEM-EELS, a modified layer of about 10 nm is formed. It was confirmed that The reformed layer is composed of a SiOCN film, which has fewer carbon atoms per unit volume and more oxygen atoms than the wiring interlayer insulating film 314. Decreased carbon content and replaced with nitrogen
  • Comparative Example 1 the state of the sidewall when a semiconductor device is formed by using a raw material of linear SiOCH of formula (3) by plasma vapor deposition using oxygen-oxidized plasma with oxygen will be described. .
  • the number of carbon atoms in the siloxane structure inside the wiring interlayer insulating film and via interlayer insulating film is approximately the same as the number of silicon atoms.
  • FIG. 13 shows the result of TEM-E ELS analysis of the composition of the copper multilayer wiring formed on the linear SiOCH film.
  • a modified layer having a thickness of about 40 nm is formed on the side wall, which is twice or more thicker than the modified layer of the present invention.
  • the reason for this is that the elimination of carbon rapidly progressed because the film did not contain vinyl groups or hydrocarbon groups having 3 or more carbon atoms.
  • the film structure is a random Si—O structure, it is dense and nano-order. This is because a thickness of the modified layer cannot be formed.
  • a suitable oxidation condition is selected, a high-density modified layer is not formed, and the oxidation proceeds to the inside of the film.
  • N ZO ZC F is mixed as the etching gas for the annular SiOCH film
  • Wiring grooves were formed with a lower electrode frequency of 60MHz, an upper electrode powerl000W, a lower electrode frequency of 13.56MHz, and a lower electrode powerl50W.
  • the modified layer is formed in the thus formed wiring trench by O plasma treatment.
  • the reason for this is that the modification of the trench sidewall cannot be sufficiently performed due to deposits during etching. That is, in this case, the modified layer of the present invention was not formed. Therefore, when the etching conditions are changed, suitable reforming conditions change as appropriate, and can be dealt with by changing the reforming conditions.
  • Example 1 As Reference Example 2, in Example 1, a wiring groove is opened by using the groove resist as a mask, and the wiring layer is formed by simultaneously performing the ashing treatment of the groove resist and the modification treatment of the groove side wall with O plasma.
  • the resist ashing treatment conditions at this time were performed by O plasma irradiation.
  • O plasma irradiation was performed by O plasma irradiation.
  • the groove side wall is the same as in Example 1.
  • the oxygen plasma is excessively irradiated for 8 times the processing time.
  • the present invention relates to a wiring structure of multilayer wiring that requires both improved capacitance between wiring and high insulation reliability by forming a modified layer, and a manufacturing method thereof. For example, it can be applied to anything and is not limited in any way.
  • CMOS circuit which is a field of use as the background of the invention made by the present inventors, has been described in detail, but the present invention is not limited to this.
  • DRAM Dynamic Random Access Memory
  • SRAM Static Random Access Memory
  • flash memory FRAM (Ferro Electric Random Access Memory)
  • MRAM Magnetic Random Access Memory
  • resistance change memory etc.
  • the present invention can also be applied to a semiconductor device having a logic circuit such as a microprocessor, a microprocessor, or a mixed-type semiconductor device in which they are listed simultaneously.
  • the present invention can also be applied to a semiconductor device, an electronic circuit device, an optical circuit device, a quantum circuit device, a micromachine, etc. having an embedded alloy wiring structure at least partially.
  • the modified layer according to the present invention can also be confirmed from the finished product. Specifically, the composition of the modified layer of the wiring interlayer film can be confirmed by analyzing the wiring interlayer insulating film around the metal wiring by TEM-EELS measurement. Similarly, it can be confirmed by analyzing the interface between the via interlayer insulating film and the wiring interlayer insulating film by TEM-EELS measurement.
  • the semiconductor manufacturing apparatus of the present invention includes, for example, first and second insulating films, hard mask films, and the like.
  • Film forming means capable of forming a film
  • vacuum chamber capable of modifying an insulating film
  • etching means capable of etching treatment
  • photolithographic means capable of photolithography processing
  • control of each process are possible Control means.
  • control means preferably includes a microcomputer in which a program for controlling the groove forming step, the photoresist removing step, and the modifying step is stored.
  • control means preferably further stores a program for forming the first insulating film by the plasma processing sequence in the insulating film forming step.
  • This plasma treatment sequence is preferably an oxygen plasma treatment sequence or a nitrogen plasma treatment sequence.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

 実効的な低配線間容量を維持しつつ、高密着性かつ高い配線間絶縁信頼性を有する多層配線技術を提供する。  第一の絶縁膜は、シリコン、酸素及び炭素を含むシロキサン構造を含む少なくとも1層以上の絶縁膜であり、第一の絶縁膜内部のシロキサン構造は炭素原子数がシリコン原子数よりも多く、第一の絶縁膜と金属との界面及び第一の絶縁膜と第二の絶縁膜との界面のうち少なくとも何れか一方に、第一の絶縁膜内部よりも単位体積当たりの炭素原子数が少なく、且つ酸素原子数が多い改質層が形成されていることを特徴とする半導体装置。

Description

明 細 書
半導体装置、半導体装置の製造方法及び半導体製造装置
技術分野
[0001] 本発明は、多層配線を有する半導体装置と半導体装置の製造方法、半導体製造 装置に関し、特に、 Cuを主成分とするダマシン配線構造で構成される半導体装置等 に関する。
背景技術
[0002] シリコン半導体集積回路 (LSI)において、従来、導電材料には、アルミニウム (A1) または A1合金が広く用いられてきた。そして、 LSIの製造方法の微細化の進行に伴 い、配線における配線抵抗の低減と高信頼ィ匕のために、導電材料に銅 (Cu)が使用 されるようになつてきた。この Cuはシリコン酸ィ匕膜中に容易に拡散するため、 Cu配線 の側面及び底面には、 Cuの拡散を防止する導電性バリアメタル膜が用いられ、 Cu 配線の上面には、絶縁性バリア膜が用いられている。
[0003] ところで、近年の LSIの微細化の進展に伴って、配線寸法の微細化が更に進み、 配線間容量の増大が問題となってきており、層間絶縁膜への低誘電率絶縁膜の導 入が進められている。これは半導体素子に多層配線を用いることで高速かつ低電力 で接続するために、微細化だけでなぐ層間絶縁膜の低誘電率ィ匕が有効であり、こ れら双方を両立することが求められて 、たためである。
[0004] このように配線間の実効的な容量を低減するためには、層間絶縁膜 (この場合、シ リコン酸化膜 (k=4. 2) )の低誘電率化が必要とされていた。この低誘電率絶縁膜と しては、例えば、 HSQ (ノヽイドロゲンシルセスキォキサン; Hydrogen Silsesquioxa ne)膜、 CDO (カーボンドープトオキサイド; Carbon doped oxide)あるいは有機 膜などを挙げることができる。そして、これらの低誘電率絶縁膜は、回転塗布法や気 相法などにより形成される。
[0005] 特開 2003— 309173号公報には、有機シロキサン膜に対して、 NFプラズマ処理
3
を行うことで改質層を形成し、密着性を向上する技術が記載されている。
特開 2006— 24641号公報には、有機シロキサン膜に対して還元性処理によって改 質層を形成し、有機シロキサン膜を保護する技術が記載されて ヽる。
[0006] 特表 2002— 526916号公報には、環状有機シロキサン原料を用いて多孔質絶縁 膜を形成する技術が記載されて ヽる。
特願 2003— 400683号公報には、 3員環状有機シロキサン原料を用いて多孔質絶 縁膜を形成する技術が記載されて!ヽる。
発明の開示
[0007] 前述の文献に記載のように、従来技術では、有機シロキサン膜を用いることで、比 誘電率 2. 5以下の多孔質絶縁膜を形成しているが、これと同時に、実際の低配線間 容量、高密着性、高配線信頼性を両立できる多層配線が望まれていた。
[0008] ここで、有機シロキサン膜の集積ィ匕技術としては、有機シロキサン膜に改質層を形 成し、プロセス耐性を向上する手法が用いられている。し力しながら、改質層は炭素 が脱離して形成されるため比誘電率が高ぐ配線間の容量が上昇してしまうという問 題を有していた。したがって、改質層を利用する場合には、改質層の比誘電率を低く 、かつ薄く形成することが望まれていた。
[0009] 特開 2003— 309173号公報に記載の技術では、有機シロキサン膜表面をプラズ マ処理することで改質層を形成し、特に NFプラズマで処理を行う技術が記載されて
3
いる。この技術では、表面を NFプラズマ処理することで密着性を向上できる力 逆
3
に膜内部に取り込まれたフッ素によって耐熱性が劣化するという問題を有していた。 すなわち、形成される改質層は安定である必要があった。
[0010] 特開 2006— 24641号公報に記載の技術では、有機シロキサン膜をプラズマ処理 することで改質層を形成している。しかし、特開 2006— 24641号公報に記載の技術 により比誘電率が 2. 5以下の有機シロキサン膜を形成すると改質層が厚く形成され てしまい、結果的に比誘電率が上昇して配線間の容量が増加するという問題を有し ていた。
[0011] 一方、このように比誘電率 2. 5以下を実現することのできる低誘電率絶縁膜は、特 表 2002— 526916号公報及び特願 2003— 400683号公報【こ記載のよう【こ、環状 型の有機シロキサン原料を用いることにより形成することができる。し力しながら、この 低誘電率絶縁膜を、低配線間容量を達成するべく配線層間絶縁膜に適用した場合 、配線間リーク電流が増加してしまうという問題を有していた。すなわち、配線間の容 量は低減することができるものの、配線間のリーク電流によるチップ全体の消費電力 を上昇させてしまうとともに、配線間の絶縁信頼性を劣化させるため、実用上、大きな 課題となっていた。
[0012] 本発明は、上記課題を解決するためになされたものあり、実効的な低配線間容量を 維持しつつ、高密着性かつ高!ヽ配線間絶縁信頼性を有する多層配線技術を提供す るものである。
[0013] 以上のように、配線間の容量を低く維持し、かつ密着性と絶縁特性に優れる改質層 を形成するためには、できだけ改質層を薄く形成する必要がある。このためには改質 処理プロセスのアプローチのみではなぐ改質されるシロキサン膜の構造'組成'材料 からのアプローチが必要であり、双方を勘案したプロセスフロー設計を行う必要があ つた o
[0014] そこで、本願の発明者がシロキサン原料を用いた半導体装置に関して検討を行つ た結果、好適な効果を有する改質層を有する半導体装置を見出した。
すなわち、本発明は、第一の絶縁膜と金属との界面、及び第一の絶縁膜と第二の絶 縁膜との界面のうち少なくとも何れか一方に、改質層を形成する。そして、改質層は、 第一の絶縁膜内部よりも単位体積当たりの炭素原子数が少なぐ且つ酸素原子数を 多くする点に特徴を有する。
[0015] このような改質層を形成することで、薄く絶縁性に優れた改質層とすることができ、 低配線間容量を維持したまま配線間のリーク電流を低減することができるようになる。 このような配線間のリーク電流の低減は、本発明による改質層が見出されるまでは、 予想しえなかった効果である。
[0016] また、シロキサン構造を含む第一の絶縁膜内部の単位体積当たりの炭素原子数が 酸素原子数よりも多いことが好ましい。このような改質層を形成することで、シロキサン 構造を含む第一の絶縁膜と第二の絶縁膜、及び第一の絶縁膜と金属配線との密着 性を向上できるようになる。
[0017] この理由は、好適なシロキサン構造を含む絶縁膜に好適な改質処理を行うことで、 炭素を置換した酸素が高密度なシロキサンユニットを連続的に結合させて高密度か つナノレベルの薄い改質層が形成されるためである。また、これに加えて、この改質 層が密着性と絶縁特性に優れる層であるためである。このような改質層を、側壁保護 層、密着層、エッチストップ層、として利用することで、加工制御性、密着性、絶縁信 頼性などを向上できるようになる。
[0018] ここで、本発明の効果を確認するため、異なる構造'組成を有する SiOCH膜に対し て、酸素プラズマ照射を行った実験の結果につ!ヽて示す。
まず、 3員環状有機シロキサン原料 (下記化学式 (1) )を用いてプラズマ気相成長法 により 3員環状型 SiOCH膜、 4員環状有機シロキサン原料 (下記化学式 (2) )を用い て環状型 SiOCH膜、及び直鎖型有機シロキサン原料 (下記化学式 (3) )を用いてラ ンダム型 SiOCH膜を形成した。そして、 Oプラズマ照射による比誘電率の変化を測
2
定した。それぞれの膜の比誘電率は、式(1)は k= 2. 4、式(2)は k= 2. 6、式(3)は k= 2. 7、であった。
[0019] [化 1]
Figure imgf000006_0001
[0020] [化 2]
Figure imgf000007_0001
[0021] [化 3]
H3C OC2H5
H3C-C-^i-OC2H5
H3C OC2H5
図 1にラマンスペクトルの一例として、 3員環状型 SiOCH膜のラマンスペクトルを示 す。 580cm_1付近に 3員環状シロキサンのスペクトルが検出されており、原料シロキ サン構造を反映した、環状 Si— O骨格を有した絶縁膜が形成できていることがわ力る 。このようにラマン分析を用いることでシロキサン構造の分析を行うことができる。
[0022] 表 1に RBSZHFS分析によって測定した、各絶縁膜形成時の組成を示す。膜内の シリコン原子に対する炭素原子の比 (CZSi)は、式 (3) (ランダム型 SiOCH膜)く式 (2) (4員環状型 SiOCH膜)く式(1) (3員環状型 SiOCH膜)の順に大きくなることが ゎカゝる。
[0023] [表 1] Si 0 C
3員環状型
1 1 3
SiOCH
4員環状型
1 1 2.2
SiOCH
ランダム型 1 1 0.7 SiOCH 図 2は、上記 3つの膜についての酸ィ匕処理時間による絶縁膜の比誘電率の変化を プロットしたものである。ここで、 Oプラズマ照射は、平行平板型の in— situアツシン
2
グ装置を用い、基板間距離 (GAP) = 30mm、圧力 10mTorr、上部電極周波数 60 MHz,上部電極 power600W、下部電極周波数 13. 56MHz、下部電極 powerlO 0Wとし、酸素ガスのみで処理を行っている。
[0024] 図 2から、酸ィ匕処理時間による比誘電率の上昇は、式(3) (ランダム型 SiOCH膜)、 式 (2) (4員環状型 SiOCH膜)、式(1) (3員環状型 SiOCH膜)の順に小さくなること がわかる。また、 XPS (X線光電子分光分析; X—ray Photoelectron Spectrosc opy)の深さ方向分析により、表面に形成された改質層の厚さを見積もったところ、 40 nm (ランダム型 SiOCH膜) > 20nm (4員環状型 SiOCH膜)〉 10nm(3員環状型 Si OCH膜)の順で小さくなることがわかった。また、 XRR (X— Ray Reflection: 反射率測定)により、 3員環状型 SiOCH膜の表面に形成された改質層の密度を測定 したところ、 2. OgZcm3以上となることがわかった。
[0025] また、上記式 (2) (4員環状型 SiOCH膜)、式(1) (3員環状型 SiOCH膜)の結果 に示されるように、炭素原子数がシリコン原子数よりも多いシロキサン構造を有する絶 縁膜に改質処理を行った結果が良好な結果となっていることが分かる。すなわち、こ のような好適なシロキサン構造を含む絶縁膜に対して、好適な改質処理によって炭 素を酸素又は窒素で置換し、高密度な改質層を薄く形成して膜内部への改質が進 まないようにすることが、本発明の特徴である。 [0026] このシロキサン構造において、シリコン原子数よりも、炭素原子数の多い膜組成が 好ま 、理由は、軽元素である炭素が増えることで絶縁膜自体の比誘電率が低くな るためである。また、これにカ卩えて、改質処理による急激な炭素の引き抜きを抑制で きるため、炭素の置換反応に伴って緻密化反応が進み、ナノレベル厚の高密度な改 質層が形成できるためである。
[0027] 特に、シロキサン構造は、少なくとも炭素数 3個以上を有する炭化水素基と不飽和 炭化水素基の双方を含むことが好まし 、。このようにシロキサン構造が不飽和炭化水 素基と炭素原子数が 3以上の炭化水素基の双方を含むことで、不飽和炭化水素基 の強い結合エネルギーにより脱炭素速度を低下させ、かつ炭素数の多い炭化水素 基によって膜内の炭化水素成分を多く保つことができるようになる。
[0028] また、ランダム型シロキサン構造に比べて環状シロキサン構造にぉ 、て、膜の比誘 電率が低いにも関わらず、高密度な改質層が形成できるのは、員数の少ない環状構 造ほど O— Si— Oの結合角度が小さ 、ため、高密度な SiO構造が形成され易 、ため である。
[0029] 例えば、石英(6員環; 2. 65g/cm3)に対して、コーサイト (4員環; 2. 92g/cm3) が高密度であることが例として挙げられる。したがって、あら力じめ骨格として、高密度 な O— Si— o構造を形成し易い、環状シロキサンが絶縁膜の内部に含まれていること が好ましぐ炭素を置換した酸素が環状ユニットを連続的に結合させ、容易に高密度 かつ薄 1ヽ改質層が形成できる。
[0030] また、金属配線及び接続プラグの周囲を囲むように改質層を形成するためには、金 属配線及び接続プラグと接する配線層間絶縁膜、ハードマスク膜、ビア層間絶縁膜 のいずれもが少なくともシリコン、酸素、炭素を含むシロキサン構造を含む第一の絶 縁膜とすることが好ましい。また、いずれの絶縁膜も炭素数 3以上の側鎖とビニル基 の双方を含むシロキサン構造を含むことが好ま U、。
[0031] 改質処理であるプラズマ励起中に原料分圧を変化させることで、上記配線層間絶 縁膜、ハードマスク膜、ビア層間絶縁膜を作り分けることができる。例えば、低分圧条 件とすることによりビア層間絶縁膜を形成し、高分圧条件とすることにより配線層間絶 縁膜を形成し、低圧条件とすることにより比較的、密着性と膜強度に優れるハードマ スク膜とビア層間絶縁膜を形成することができる。
[0032] また、炭素数 3以上の側鎖とビニル基の双方を含むシロキサン原料を 2種類以上用 い、これらの原料の比率を変化させて成膜することで、上記配線層間絶縁膜、ハード マスク膜、ビア層間絶縁膜を作り分けることができる。この場合、原料比率の変化によ り、ビア層間絶縁膜は CZSi比が 1. 4程度で比誘電率 2. 7、配線層間絶縁膜は CZ Si比が 2. 9程度で比誘電率 2. 4、ハードマスク膜は CZSi比が 1. 2程度で比誘電 率 3. 0とした SiOCH膜を形成することができる。そして、これら何れの絶縁膜にも本 発明による改質層を形成することで、改質層は配線の上面を除いて配線を囲むよう に形成され、より密着性の改善ができるようになる。
[0033] この配線構造の場合、ハードマスク膜は CMPに曝されるため、比誘電率の比較的 、高い膜で強度を確保し、ビア層間絶縁膜は、それよりも若干、炭素原子数を少なく することで比誘電率を低減する。配線層間絶縁膜は、比誘電率を最も低減するため 、炭素原子数を多く含有する。従って、ハードマスク膜、配線層間絶縁膜、ビア層間 絶縁膜の何れもが、少なくともシリコン、酸素、炭素を含むシロキサン構造を含む絶縁 膜である場合に、絶縁膜内の単位体積当たりの炭素原子数を、配線層間絶縁膜〉 ビア層間絶縁膜 >ハードマスク膜とすることで更に密着性を向上させることができる。 また、改質層内の単位体積当たりの炭素原子数が、配線層間絶縁膜と金属の間の 改質層 >ビア層間絶縁膜と金属の間の改質層 >ハードマスク膜と金属の間の改質 層の順に少なくなつていることにより、これらの層間の密着性を向上させることができ る。
また、第一の絶縁膜内部のシロキサン構造は炭素原子数がシリコン原子数よりも多く なっているため、典型的には第一の絶縁膜内部の密度は 1. 2g/cm3以下となる。
[0034] そこで、本発明は以下の構成を有する。
1.半導体基板上の絶縁膜に配線溝及びビアホールが形成され、前記配線溝及び ビアホールにそれぞれ金属を充填させてなる配線及び接続プラグを有し、前記絶縁 膜の少なくとも一部が第一の絶縁膜及び第二の絶縁膜から構成された、多層配線を 有し、
前記第一の絶縁膜は、シリコン、酸素及び炭素を含むシロキサン構造を含む少なく とも 1層以上の絶縁膜であり、
前記第一の絶縁膜内部のシロキサン構造は炭素原子数がシリコン原子数よりも多く 前記第一の絶縁膜と前記金属との界面、及び前記第一の絶縁膜と第二の絶縁膜と の界面のうち少なくとも何れか一方に、第一の絶縁膜内部よりも単位体積当たりの炭 素原子数が少なぐ且つ酸素原子数が多い改質層が形成されていることを特徴とす る半導体装置。
[0035] 2.前記シロキサン構造を含む第一の絶縁膜内部の単位体積当たりの炭素原子数 が酸素原子数よりも多いことを特徴とする上記 1に記載の半導体装置。
3.前記シロキサン構造は、少なくとも炭素数が 3個以上の炭化水素基と不飽和炭化 水素基の双方を含むことを特徴とする上記 1又は 2に記載の半導体装置。
[0036] 4.前記シロキサン構造は、酸素原子とシリコン原子とを含む環状シロキサン構造を 含むことを特徴とする上記 1乃至 3の何れか一項に記載の半導体装置。
5.前記環状シロキサン構造は、酸素原子とシリコン原子とからなる Si— Oユニットを 3 つ有する 3員環構造力 なることを特徴とする上記 4に記載の半導体装置。
[0037] 6.前記改質層は、単位体積当たりの炭素原子数よりも酸素原子数が多いことを特 徴とする上記 1乃至 5の何れか一項に記載の半導体装置。
7.前記改質層は、単位体積当たりの炭素原子数よりも酸素原子数と窒素原子数の 和数が多いことを特徴とする上記 1乃至 5の何れか一項に記載の半導体装置。
[0038] 8.前記改質層の厚さが 20nm以下であることを特徴とする上記 1乃至 7の何れか一 項に記載の半導体装置。
9.前記改質層の密度が 2. OgZcm3以上であることを特徴とする上記 1乃至 8の何 れか一項に記載の半導体装置。
[0039] 10.前記第一の絶縁膜内部の密度が 1. 2gZcm3以下であることを特徴とする上 記 1乃至 9の何れか一項に記載の半導体装置。
11.前記第一の絶縁膜が、半導体基板側から順に積層された、ビアプラグ部位のビ ァ層間絶縁膜と、配線部位の配線層間絶縁膜と、配線部位のハードマスク膜とから 構成され、 前記ハードマスク膜と、前記配線層間絶縁膜と、前記ビア層間絶縁膜との何れもが 、シリコン、酸素及び炭素を含むシロキサン構造を含む絶縁膜であり、
前記ハードマスク膜、前記配線層間絶縁膜及び前記ビア層間絶縁膜の何れにも、 前記金属との界面に前記改質層が形成され、
前記改質層の組成が、それぞれ対応する前記第一の絶縁膜の内部よりも、単位体 積当たりの炭素原子数が少なぐ且つ酸素原子数が多ぐ
前記改質層内の単位体積当たりの炭素原子数が、配線層間絶縁膜と金属の間の 改質層 >ビア層間絶縁膜と金属の間の改質層 >ハードマスク膜と金属の間の改質 層の順に少ないことを特徴とする上記 1乃至 10の何れか一項に記載の半導体装置。
12.半導体基板上の絶縁膜に配線溝及びビアホールが形成され、前記配線溝及 びビアホールにそれぞれ金属を充填させてなる配線及び接続プラグを有し、前記絶 縁膜の少なくとも一部が第一の絶縁膜から構成された、多層配線を有し、
前記第一の絶縁膜は、シリコン、酸素及び炭素を含むシロキサン構造を含む少なく とも 1層以上の絶縁膜であり、
前記第一の絶縁膜内部のシロキサン構造は炭素原子数がシリコン原子数よりも多く 前記第一の絶縁膜と前記金属との界面に、第一の絶縁膜内部よりも単位体積当た りの炭素原子数が少なぐ且つ酸素原子数が多い改質層を有する半導体装置の製 造方法であって、
前記シロキサン構造を含む第一の絶縁膜を形成する絶縁膜形成工程と、 前記第一の絶縁膜上にハードマスク膜を形成する工程と、
前記ハードマスク膜上にフォトレジストを塗布した後、溝パターユングをする工程と、 前記フォトレジストをマスクに用いてドライエッチングによって、前記ハードマスク膜 内に溝を形成することによりマスクパターンを形成する工程と、
酸素アツシングによって前記フォトレジストを除去するフォトレジスト除去工程と、 前記マスクパターンをマスクに用いてドライエッチングにより、前記第一の絶縁膜内 に配線溝及びビアホールを形成する溝形成工程と、
前記第一の絶縁膜内の配線溝及びビアホール側面の改質処理を行うことにより前 記改質層を形成する改質工程と、
前記配線溝及びビアホール内に金属を充填することにより、それぞれ配線及び接 続プラグを形成する工程と、
を有することを特徴とする半導体装置の製造方法。
13.半導体基板上の絶縁膜に配線溝及びビアホールが形成され、前記配線溝及 びビアホールにそれぞれ金属を充填させてなる配線及び接続プラグを有し、前記絶 縁膜の少なくとも一部が第一の絶縁膜及び第二の絶縁膜から構成された、多層配線 を有し、
前記第一の絶縁膜は、シリコン、酸素及び炭素を含むシロキサン構造を含む少なく とも 1層以上の絶縁膜であり、
前記第一の絶縁膜内部のシロキサン構造は炭素原子数がシリコン原子数よりも多く 前記第一の絶縁膜と前記金属との界面及び前記第一の絶縁膜と第二の絶縁膜と の界面に、第一の絶縁膜内部よりも単位体積当たりの炭素原子数が少なぐ且つ酸 素原子数が多い改質層を有する半導体装置の製造方法であって、
シリコン、酸素及び炭素を含む環状シロキサン構造を含む第二の絶縁膜を形成す る工程と、
前記第二の絶縁膜の表面に改質処理を行い、前記改質層を形成する工程と、 前記改質層上に、前記第一の絶縁膜を形成する絶縁膜形成工程と、
前記第一の絶縁膜上にハードマスク膜を形成する工程と、
前記ハードマスク膜上にフォトレジストを塗布した後、溝パターユングをする工程と、 前記フォトレジストをマスクに用いてドライエッチングによって、前記ハードマスク膜 内に溝を形成することによりマスクパターンを形成する工程と、
酸素アツシングによって前記フォトレジストを除去するフォトレジスト除去工程と、 前記マスクパターンをマスクに用いてドライエッチングにより、前記第一及び第二の 絶縁膜内に配線溝及びビアホールを形成する溝形成工程と、
前記第一及び第二の絶縁膜内の配線溝及びビアホール側面の改質処理を行うこ とにより前記改質層を形成する改質工程と、 前記配線溝及びビアホール内に金属を充填することにより、それぞれ配線及び接 続プラグを形成する工程と、
を有することを特徴とする半導体装置の製造方法。
[0042] 14.前記改質処理が酸ィ匕処理であることを特徴とする上記 12又は 13に記載の半 導体装置の製造方法。
15.前記酸化処理が、酸素プラズマによる処理であることを特徴とする上記 14に記 載の半導体装置の製造方法。
[0043] 16.前記酸素プラズマは、酸素と Arの混合ガスがプラズマ状態となったものである ことを特徴とする上記 15に記載の半導体装置の製造方法。
17.前記酸素プラズマは、酸素を含有するガスに対して基板バイアスを印加すること によりプラズマ状態としたものであることを特徴とする上記 15又は 16に記載の半導体 装置の製造方法。
[0044] 18.前記酸化処理を、 UVオゾン処理で行うことを特徴とする上記 14に記載の半導 体装置の製造方法。
19.前記酸化処理を、酸素ァニールにより行うことを特徴とする上記 14に記載の半 導体装置の製造方法。
[0045] 20.前記改質処理を、窒素プラズマにより行うことを特徴とする上記 12又は 13に記 載の半導体装置の製造方法。
21.前記溝形成工程において、
前記ドライエッチングのエッチングガス力 少なくとも Ar、 N、 O及び CFを含有す
2 2 4 る混合ガスであることを特徴とする上記 12乃至 20の何れか一項に記載の半導体装 置の製造方法。
[0046] 22.上記 12乃至 21の何れか一項に記載の半導体装置の製造方法に用いる半導 体製造装置であって、
前記溝形成工程、前記フォトレジスト除去工程、及び前記改質工程を制御するプロ グラムが記憶されたマイクロコンピュータを備えた制御手段を有することを特徴とする 半導体製造装置。
23.前記制御手段には更に、前記絶縁膜形成工程においてプラズマ処理シーケン スにより第一の絶縁膜を成膜するプログラムが記憶されていることを特徴とする上記 2 2に記載の半導体製造装置。
[0047] 24.前記プラズマ処理シーケンスは、酸素プラズマ処理のシーケンスであることを 特徴とする上記 23に記載の半導体製造装置。
25.前記プラズマ処理シーケンスは、窒素プラズマ処理のシーケンスであることを特 徴とする上記 23に記載の半導体製造装置。
[0048] 本発明の半導体装置の製造方法及び半導体製造装置、並びに半導体装置を用 いること〖こより、層間絶縁膜の低誘電率化と高絶縁信頼ィ匕を同時に達成できる。また 、高密着性を有することで、ひいては配線の性能を向上させ、高速、低消費電力な L SIを高信頼性で形成することが可能となる。
なお、上記「13」においては、「第二の絶縁膜の表面に改質処理を行い、前記改質 層を形成する工程」と改質工程における、改質処理が酸化処理であることが好ましい また、上記「13」においては、「第二の絶縁膜の表面に改質処理を行い、前記改質層 を形成する工程」と改質工程における、改質処理を窒素プラズマにより行うことが好ま しい。
図面の簡単な説明
[0049] [図 1] 3員環状型 SiOCH膜のラマンスペクトルを示す図である。
[図 2]酸ィ匕処理時間による、絶縁膜の比誘電率の変化を示す図である。
[図 3]本発明の実施の形態 1による、半導体装置の製造例を表す図である。
[図 4]改質層有と改質層無の半導体装置の配線間リーク電流の比較結果を示す図で ある。
[図 5]改質層有と改質層無の半導体装置の配線抵抗 配線容量のプロット (R—Cプ ロット)を示す図である。
[図 6]TEM— EELSにより、実施の形態 1と改質層無の半導体装置の組成分析を行 つた結果を示す図である。
[図 7]実施の形態 1のデュアルダマシン配線の構造の各変形例を示す図である。
[図 8]実施の形態 1のデュアルダマシン配線の構造の各変形例を示す図である。 [図 9]実施の形態 1のデュアルダマシン配線の構造の各変形例を示す図である。
[図 10]本発明の実施の形態 2による、半導体装置の製造例を表す図である。
[図 11]実施の形態 2のデュアルダマシン配線の構造の各変形例を示す図である。
[図 12]実施の形態 2のデュアルダマシン配線の構造の各変形例を示す図である。
[図 13]TEM— EELSにより、比較例 1の多層配線の配線間の組成分析を行った結 果を示す図である。
[図 14]本発明の実施例 1による、半導体装置の製造例を表す図である。
[図 15]本発明の実施例 2の半導体装置の一例を示す図である。
符号の説明
113 シリコン酸ィ匕膜
200 MOSFET
201 半導体基板
202aゝ 202b シリコン酸ィ匕膜
210a, 210b 金属配線
211 絶縁性バリア膜
215 ノ リアメタル膜
218a, 218bゝ 218cゝ 218d、 218eゝ 218f、 218g SiCN膜
219a, 219bゝ 219cゝ 219d、 219e 環状型 SiOCH膜
220a, 220b, 220c, 220d、 220e、 220f CuAl
221a, 221bゝ 221cゝ 221d、 221eゝ 22 If Ta/TaN
222 TiN
223 タングステン
224 シリコン酸窒化膜
225a, 225b Ti/TiN
226 AlCu
310a, 310b ノ リアメタル膜
311a, 311b 金属配線
312a, 312b 絶縁性バリア膜 313、 313a、 313b ビア層間絶縁膜
314 配線層間絶縁膜
315、 315a、 315b ノヽード、マスク膜
316 ビアホーノレ
317 ハードマスク溝
318 デュアルダマシン溝
319、 319a, 319b, 319c, 319d、 319e 改質層
320a, 320b エッチストップ膜
321 有機膜
322 低温酸化膜
323 ARC
324 フォトレジスト
発明を実施するための最良の形態
[0051] 本発明を詳細に説明する前に、本願における用語の意味を説明する。
「低誘電率絶縁膜」とは、例えば、配線材を絶縁分離する膜 (層間絶縁膜)であり、半 導体素子を接続する多層配線間の容量を低減するため、シリコン酸化膜 (比誘電率 4. 2)よりも比誘電率の低い材料を指す。特に、多孔質絶縁膜としては、例えば、シリ コン酸ィ匕膜を多孔化して、比誘電率を小さくした材料や、 HSQ (ハイドロゲンシルセ スキォキサン(Hydrogen Silsesquioxane) )膜、あるいは SiOCH、 SiOC (例えば 、 Black Diamond (Trade Mark)、 CORAL (Trade Mark)、 Aurora (Trade Mark) )などを多孔化して、比誘電率を小さくした材料などがある。これらの膜のさら なる低誘電率化を進めるため、環状シロキサン原料を用いた成膜技術などが検討さ れつつあるところである。
[0052] 「シロキサン構造」とは、 Si— O結合を含む化合物のうち、少なくとも、シリコン原子、 酸素原子、炭素原子から構成され、これらの原子によって膜の骨格が形成された構 造を意味する。また、シロキサン構造によっては、この他に水素原子を有しても良い。 シロキサン構造にぉ 、て、この炭素原子数が多すぎるとシロキサン構造としての骨格 を形成することができなくなるため、本発明においては、炭素原子数はシリコン原子 数の 5倍以下であることが好ましい。
[0053] 「環状シロキサン」とは、 Si— Oを 1ユニットとして数えた場合に、複数のユニットから なる環状構造を表す。例えば、 3員環とは、 (SiO)力 なる 6角形分子構造を意味す
3
る。また、 4員環とは、(SiO)力もなる 8角形分子構造を意味する。
4
[0054] 「環状有機シロキサン」とは、前記環状シロキサン構造の側鎖に、炭化水素基を有 する分子構造を意味する。炭化水素基の例としては、例えば、メチル基、ェチル基、 プロピル基、イソプロピル基、ビニル基、などがある。
このような環状シロキサン原料は、多孔質な絶縁膜を形成するのに有効である力 本 発明においては、絶縁膜は必ずしも多孔質膜に限定されるわけではない。尚、絶縁 膜の組成は、単位体積あたりに含まれる原子数の比とする。
[0055] 「プラズマ気相成長法」とは、例えば、気体状の原料を減圧下の反応室に連続的に 供給し、プラズマエネルギーによって、分子を励起状態にし、気相反応、あるいは基 板表面反応などによって基板上に連続膜を形成する手法である。原料分子にあらか じめ、ビニル基のような反応性の高 、側鎖を導入することでモノマーの反応性を向上 させる場合を、プラズマ重合法と呼ぶ場合もある。
[0056] 「ダマシン配線」とは、あらカゝじめ形成された層間絶縁膜の溝に、金属配線を埋め込 み、溝内以外の余剰な金属を、例えば CMPなどにより除去することで形成される埋 め込み配線をさす。 Cuによりダマシン配線を形成する場合には、 Cu配線の側面及 び外周をバリアメタルで覆 、、 Cu配線の上面を絶縁性バリア膜で覆う配線構造が一 般に用いられる。
[0057] 「金属配線」とは、 Cuを主成分とする。金属配線の信頼性を向上させるため、 Cu以 外の金属元素が Cuからなる部材に含まれていても良ぐ Cu以外の金属元素が Cuの 上面や側面などに形成されて ヽても良 ヽ。
[0058] 「CMP (Chemical Mechanical Polishing)法」とは、多層配線形成プロセス中 に生じるウェハ表面の凹凸を、研磨液をウェハ表面に流しながら回転させた研磨パッ ドに接触させて研磨することにより平坦ィ匕する方法である。ダマシン法による配線形 成においては、特に、配線溝あるいはビアホールに対し金属を埋設した後に、余剰 の金属部分を除去し、平坦な配線表面を得るために用いる。 [0059] 「バリアメタル」とは、配線を構成する金属元素が層間絶縁膜や下層へ拡散すること を防止するために、配線の側面及び底面を被覆する、バリア性を有する導電性膜を 示す。例えば、配線が Cuを主成分とする金属元素からなる場合には、タンタル (Ta) 、窒化タンタル (TaN)、窒化チタン (TiN)、タイタン (WTi)、炭窒化タングステン (W CN)のような高融点金属やその窒化物等、またはそれらの積層膜が使用される。
[0060] 「絶縁性バリア膜」とは Cu配線の上面に形成され、 Cuの酸ィ匕ゃ絶縁膜中への Cu の拡散を防ぐ機能、及び加工時にエッチングストップ層としての役割を有する膜を示 す。例えば、 SiC膜、 SiCN膜、 SiN膜などが用いられている。
[0061] 「半導体基板」とは、半導体装置が構成された基板であり、特に単結晶シリコン基板 上に作られたものだけでなぐ SOI (Silicon on Insulator)基板や TFT (Thin fil m transistor)液晶製造用基板などの基板も含む。
[0062] 「ハードマスク」とは、層間絶縁膜の低誘電率ィ匕による強度低下により、直接、 CMP を行うのが困難な場合に、層間絶縁膜上に積層し、低誘電率化された層間絶縁膜を 保護する役割の絶縁膜をさす。
[0063] 「パッシベーシヨン膜」とは、半導体素子の最上層に形成され、外部の水分などから 半導体素子を保護する役割を有する膜のことを表す。本発明ではプラズマ CVD法で 形成したシリコン酸窒素化膜 (SiON)や、ポリイミド膜などが用いられる。
[0064] 「レジストボイズニング」とは、低誘電率絶縁膜内部、ある 、はこれらの絶縁膜の界 面に吸蔵されたァミン系の成分によって、レジストの化学増幅基が失活し、パター- ング不良を引き起こす現象である。
[0065] 「PVD (Physical Vapor Deposition)法」としては、通常のスパッタリング法を用 いることができる。また、埋め込み特性の向上、膜質の向上や、膜厚のウェハ面内均 一性を図るため、例えば、ロングスロースパッタリング法ゃコリメートスパッタリング法、 ィォナイズドスパッタリング法、など指向性の高 、スパッタリング法を用いることができ る。更に、合金をスパッタする場合には、あら力じめ金属ターゲット内に主成分以外の 金属を固溶限以下で含有させることで、成膜された金属膜を合金膜とすることができ る。本発明では、主にダマシン Cu配線を形成する際の Cuシード層や、バリアメタル 層を形成する際に、この方法を使用することができる。 [0066] 「TDDB (Time Dependent Dielectric Breakdown)寿命」とは、絶縁破壊に 至るまでの時間を加速試験によって予測する手法である。例えば、配線間の TDDB 寿命を測定する場合には、櫛形の TEG (Test Element Group)を用い、所定の 温度 (例えば、 125°C)の測定条件で配線間に l〜4MVZcm程度の比較的、高い 電界を印可する。そして、配線間に流れるリーク電流をモニタし、電界印可開始時間 力 絶縁破壊までの時間を計測することで、 TDDB寿命の優劣を比較することができ る。
[0067] 「m—ELT試験」とは、 modified Edge Liftoff Testの略で、試料にエポキシ層 を塗布し、 120°C程度で硬化処理を行った後、試料を冷却する。この際、冷却により 生じたエポキシ層の残留の応力によって試料の各層の端面に引き剥がし力が加わり 、剥離箇所が生じる。この剥離箇所を画像処理で検出して、その時の温度を記録す る。ここで、この m—ELT試験では、剥離時に放出されるエネルギーがエポキシ層に 保存された弾性エネルギーにほぼ等しいと仮定する。そして、剥離時の温度からェポ キシ層の残留応力値がわかるため、テスト薄膜に加えられる応力強度 (剥離強度)を 計算する手法である。この m— ELT試験により得られた剥離時の応力値が大きいほ ど密着性に優れたものと判断できる。
[0068] 上述のように、「シロキサン構造」はラマン分析によって分析することができる。
「改質層の厚さ」は、 XPS (X線光電子分光分析; X— ray Photoelectron Spectr oscopy)の深さ方向分析により、測定することができる。
「改質層の密度」は、 XRR (X—Ray Reflection :X線反射率測定)により、測定する ことができる。
[0069] また、図 6に後述するように、改質層と第一の絶縁膜内部とは、 TEM— EELSによ る分析によって判別することができる。更に、この TEM— EELSによる分析によって、 第一の絶縁膜内部と改質層内の単位体積当たりの組成 (原子数比)を分析すること ができる。
[0070] なお、本発明の半導体装置では、少なくとも第一の絶縁膜と金属との界面、及び第 一の絶縁膜と第二の絶縁膜との界面のうち少なくとも何れか一方に、改質層が形成 されていれば良い。また、第一の絶縁膜と第二の絶縁膜とは連続的に形成されてい ても良ぐ明確な界面を介して形成されていても良い。更に、第二の絶縁膜はシリコ ン、酸素及び炭素を含むシロキサン構造を含んでいても良ぐ第二の絶縁膜と金属と の界面に改質層が形成されて ヽても良 、。
また、本発明の半導体装置では、ハードマスク、ビア層間絶縁膜、配線層間絶縁膜 は、場合によって、第一の絶縁膜となったり、ならなかったりする。
以下、実施の形態及び実施例により、本発明をより詳細に説明する。
[0071] (実施の形態 1)
本実施の形態 1においては、環状有機シロキサン原料を反応室に供給し、層間絶 縁膜として好適な低誘電率絶縁膜を形成する。そして、この環状シロキサン構造を含 む絶縁膜の、金属配線との界面となる部分に対して、プラズマ気相成長法により好適 な改質層を形成することで、配線間容量の低減と、絶縁信頼性確保を両立させること ができる。
本実施の形態にお!ヽて形成した、半導体素子が形成された半導体基板上の多層配 線構造について、以下に詳しく説明する。
[0072] 本実施の形態 1の半導体装置では、図 3 (a)に示すように、半導体素子が形成され た半導体基板(図示略)上にノリアメタル 310a、金属配線 311a、絶縁性バリア膜 31 2b、が積層されており、その上部にビア層間絶縁膜 313、配線層間絶縁膜 314、ハ ードマスク膜 315が形成されている。ここで、本実施の形態では、第一の絶縁膜は配 線層間絶縁膜 314、第二の絶縁膜はビア層間絶縁膜 313となる。
[0073] また、金属配線は Cuを主成分とし、金属配線の信頼性を向上させるために Cu以外 の金属元素が含まれていても良ぐ Cu以外の金属元素が Cuの上面や側面などに形 成されていても良い。この金属配線は、 Cuターゲットを用いたスパッタ法や、 CVD法 、又は、これらの方法で形成した Cu膜を電極として用いた電解めつき法などにより形 成することができる。
[0074] また、主成分以外の金属元素としては、アルミニウム、錫、チタン、タングステン、銀 、ジルコニウム、インジウム、及びマグネシウム力もなる群力 選択された少なくとも一 種の金属を添加することも有効である。また、 Cu以外の金属、例えば、タングステン( W)や、 CoWPなどの化合物を密着層として、 Cu配線と絶縁性バリア膜の間に挿入 することも有効である。ここで、絶縁性バリア膜は、 SiN、 SiCN、 SiC膜などからなり、 その膜厚は 200〜500Aで、プラズマ CVD法により形成される。
[0075] ここで、ノ リアメタルは、スパッタ法、 CVD法又は ALCVD (Atomic Layer Che mical Vapor Deposition)法などを用いて形成することができる。例えば、バリアメ タルには、タンタル(Ta)、窒化タンタル(TaN)、窒化チタン(TiN)、タイタン(WTi)、 窒化タイタン (WTiN)、炭窒化タングステン (WCN)のような高融点金属やその窒化 物等、又は、これらの積層膜を使用できる。特に、ノリアメタルには、 TaZTaN ( =上 層 Z下層)の積層膜を用いることが好まし 、。
[0076] ここで、ビア層間絶縁膜 313は、少なくともシリコン、酸素、炭素及び水素を含有す る絶縁膜であって、その膜厚は 1000〜3000A程度、比誘電率 3. 0以下であること が好ましい。このビア層間絶縁膜 313は、少なくともシリコン、酸素、炭素、及び水素 を含有する、環状シロキサン構造を含む絶縁膜あっても良い。
[0077] このビア層間絶縁膜 313としては、例えば、 HSQ (ノヽイドロゲンシルセスキォキサン ; Hydrogen Silsesquioxane)膜(例えば、 Typel2 (Trade Mark) )、 MSQ (メチ ルシルセスキォキサン; Methyl Silsesquioxane)膜(例えば、 JSR-LKD (Trade Mark)、 ALCAP (Trade Mark)、 NCS (Trade Mark)、 IPS (Trade Mark)、 HOSP (Trade Mark) )、有機ポリマー膜(SiLK (Trade Mark)、 Flare (Trade Mark) )、若しくは SiOCH、 SiOC (例えば、 Black Diamond (Trade Mark)、 C ORAL (Trade Mark)、 AuroraULK (Trade Mark)、 Orion (Trade Mark)な ど、又は、これらに有機物を含んだ絶縁膜を挙げることができる。また、これらの絶縁 膜を複数、積層した膜、又は、これらの絶縁膜の組成や密度を膜厚方向に変化させ た膜、などを、その典型例として挙げることができる。
[0078] ここで、配線層間絶縁膜 314は、少なくともシリコン、酸素、炭素、及び水素を含有 する環状有機シロキサン原料を用いた、低誘電率絶縁膜である。この配線層間絶縁 膜 314の膜厚は 500〜2000A程度が良い。また、プラズマ励起中に原料分圧を変 ィ匕させることで、配線層間絶縁膜 314中に密着性に優れた層を挿入しても良い。更 に、炭素成分の少ない Cu—CMP時に露出しても良い SiOCH層などを上部に挿入 しても良い。 [0079] 配線層間絶縁膜 314中に環状有機シロキサンを含有させる場合、 3員環、又は 4員 環からなるシロキサン構造の原料を用いる。この場合、 He、 Ar、 Ne、 Xe、 Rnなどか らなる不活性キャリアガスを用いて、これらの原料を反応室に供給し、高周波電力を 引加することで成膜を行うことができる。本実施の形態 1では、式(1)の 3員環状有機 シロキサンを用いて、配線層間絶縁膜 314を形成した。
[0080] ここで、ハードマスク膜には、 SiO、 SiON、 SiC、 SiCNなどを用いることができ、そ
2
の膜厚は 500〜2000 A程度とすることが好ま 、。これらのハードマスク膜を成膜す る原料としては、 SiH、 TEOS、トリメチルシラン、又はこれらに酸ィ匕ガスを添カ卩したも
4
のを挙げることができる。
[0081] 続 、て、図 3 (b)に示すように、フォトレジストを用いたパターユングとドライエツチン グによって、ビア層間絶縁膜 313、配線層間絶縁膜 314及びノヽードマスク 315内に ビアホール 316を形成する。
[0082] 続 、て、図 3 (c)に示すように、フォトレジストを用いたパターユングとドライエツチン グによって、ハードマスク 315内に配線溝 317を転写形成する。このとき、フォトレジス トの灰化プロセスには Oプラズマアツシングを用いることにより、効率的かつ、完全に
2
フォトレジストを除去することができる。また、この時点では配線層間絶縁膜 314はェ ツチングされていないため、のちに形成される配線溝の側壁は、 Oプラズマに暴露さ
2
れていない。
[0083] 続いて、図 3 (d)に示すように、ドライエッチングによって、ハードマスク膜 315をマス クに用いて、配線層間絶縁膜 314内に配線溝 318を形成する。このときの配線層間 絶縁膜のドライエッチング方法に関して、以下に詳しく説明する。
[0084] 例えば、テトラフルォロカーボン (CF )とアルゴン (Ar)、窒素(N )、酸素(O )を任
4 2 2 意の割合で混合したものをエッチングガスとして用い、平行平板型のドライエッチング 装置を用いてエッチングを行うことができる。具体的には、平行平板型の 8インチ用ェ ツチング装置を用い、ガス流量比 ArZN /CF /O
2 4 2 =300Zl00Z25Z6sccmと し、基板間距離(GAP) = 35mm、圧力 50mTorr、上部電極周波数 60MHz、上部 電極 powerl000W、下部電極周波数 13. 56MHz、下部電極 powerlOOWとして、 エッチングを行うことができる。 なお、このとき、ハードマスク 315をマスクにエッチングを行うことによって、フォトレジ ストをマスクに用 、てカ卩ェする場合と比べて溝のライン ·エッジ ·ラフネスを改善できる という利点がある。
[0085] 続いて、図 3 (e)に示すように、エッチング後の側壁の酸ィ匕処理を行うことで改質層 319を形成する。なお、この時点では既に溝パターユング用のフォトレジストは完全 に除去されて 、るため、改質に好ま 、条件で改質処理を行うことができる。
[0086] このとき、好ましい改質処理条件としては、 Oプラズマによる酸ィ匕処理を行うのが良
2
い。処理時間はプラズマ条件にもよる力 5〜 15秒程度にすることが好ましぐ過剰な 酸化処理は、溝側壁の酸化を促進するため好ましくな ヽ。
[0087] また、 Oプラズマ照射には、平行平板型の in— situアツシング装置を用いる。具体
2
的な条件としては、基板間距離 (GAP) = 30mm、圧力 10mTorr、上部電極周波数 60MHz,上部電極 power600W、下部電極周波数 13. 56MHz、下部電極 power 100Wにて酸素ガスのみで 5秒間、処理を行うことができる。
[0088] このとき、 Oプラズマ照射を行うガス系として、 O ZArなどの混合ガスを用いても良
2 2
い。この場合のプラズマ照射の処理条件としては、平行平板型の in— situアツシング 装置を用い、ガス流量比 O ZAr= 200Z300sccm、基板間距離(GAP) =40mm
2
、圧力 20mTorr、上部電極周波数 60MHz、上部電極 power500W、下部電極周 波数 13. 56MHz,下部電極 powerlOOWにて酸素ガスのみで 5秒間とした条件を 挙げることができる。
ここで、別の酸ィ匕処理方法としては、オゾンを用いた UV処理や、酸素ガスを用いた ァニール処理などを用いても良 、。
[0089] なお、本実施の形態では、典型的な例として配線層間絶縁膜 314の側壁のみに改 質層が形成される図を例示したが、それ以外の部分に改質層が形成されていても良 い。例えば、溝底のビア層間絶縁膜や、ビアホールの側壁などにも改質層が形成さ れていても良い。
[0090] 本実施の形態 1では、配線層間絶縁膜 314としてシロキサン構造を含む絶縁膜を 用いているため、溝側壁に形成された改質層は密度が高ぐ 20nm以下と、薄く形成 される。これに対して、配線層間絶縁膜 314に、これ以外のシロキサン構造を含まな い絶縁膜を用いた場合には、 30〜50nmと厚い改質層が形成される。この結果から も、第一の絶縁膜を低誘電率で絶縁性の高いものとするためには、配線層絶縁膜 31 4に本発明の第一の絶縁膜を適用するのが好ましいことが分かる。
[0091] また、このように第一の絶縁膜と金属配線との接続界面に改質層が形成されること で、金属配線と、配線層間絶縁膜との密着性を向上させることができる。この理由は、 改質層が無 、構造と比べて、改質層に対するノリアメタルの密着性が向上するため である。また、改質層の組成において、単位体積当たりの酸素原子数が炭素原子数 よりも多いために、ノリアメタルとの密着が向上したためである。なお、改質層は上記 のように形成されるため、フッ素を含んでいない。
[0092] なお、この酸化処理は、ビア層間絶縁膜下の Cuが露出した状態で行う。この際、ビ ァ層間絶縁膜下の Cuの酸ィ匕が懸念される場合は、ビア層間絶縁膜の底の絶縁性バ リア膜を開口しない状態で酸ィ匕処理を行い、その後、エッチバックによる開口を行うこ とも可能である。
[0093] 続いて、図 3 (f)に示すように、デュアルダマシン溝内に、ノリアメタル 310b及び金 属配線 31 lbを埋め込み、 CMP法によって余剰の配線を除去してデュアルダマシン 配線を形成する。
[0094] このようにして作製した、改質層を有する多層配線(図 4 (b);改質層有)と、改質層 を有さない多層配線(図 4 (a);改質層無)の配線間リーク電流を、比較した結果を図 4に示す。具体的には、ライン/スペース = 100nm〜: LOOnm、対向長 5cmの、 T EGにおける室温での配線間リーク電流を比較した。図 4の結果から、「改質層無」と 比較して「改質層有」では約 3桁、配線間のリーク電流が低減できて ヽることが分かる
[0095] このときの配線性能を比較するため、図 5に配線抵抗 配線容量プロット (R—Cプ ロット)を示す。図 5では、「改質層有」と「改質層無」の R—Cプロットがほぼ同一となつ ており、改質層の有無に関わらず、配線性能は同等であることが分かる。すなわち、 シリコン原子数よりも炭素原子数の多い環状型 SiOCH膜を原料に用いることにより、 必然的にリーク電流の低減でき、本発明の改質層の形成によって、配線性能を維持 したまま絶縁信頼性を確保できることが分かる。 [0096] TEM— EELS (Transmission Electron Microscop— Electron Energy L oss Spectroscopy)〖こより、このようにして作製した多層配線の配線間の組成分析 を行った結果を図 6に示す。
[0097] なお、この TEM— EELSでは, Liや Bから Cuなどの 3d元素まで,多くの元素を検 出できる。試料力も放出される EELSスペクトルを TEM断面に対して元素マッピング することで、第一の絶縁膜と配線金属の界面、又は第一の絶縁膜と第二の絶縁膜の 界面に形成された改質層の組成を確認することができる。本実施の形態では、この T EM— EELS測定装置として、電界型放出電子顕微鏡(日本電子製 JEM2100F) EELS : GATAN GIF"Tridiem"を用いた。また、この測定条件としては、加速電 圧 200kV、試料吸収電流 10— 9A、ビームスポットサイズ lnm φとし、 STEM (走査 TEM)モードでのスペクトルイメージング法を用いて分析を実施した。
[0098] 図 6は、ライン Zスペース = 100nm〜100nmの TEGの断面図である。図 6 (a) ( 改質層有)では、 50nm及び—50nmの位置において Oの強度(a. u. )が増加した ピークが認められるのに対して、図 6 (b) (改質層無)では、 50nm及び一 50nmの位 置にこのようなピークが認められない。この結果から、改質層を形成した場合には、側 壁に約 15nmの改質層が形成されて!ヽることが分かる。
[0099] また、シリコン基板上に配線層間絶縁膜を形成し、擬似的に酸化処理を行い、表面 に形成された改質層の評価を、 XRR (X-Ray Reflection :X線反射率測定)を用 いて行ったところ、改質層の密度が 2. OgZcm3以上であることを確認した。
[0100] なお、このときの配線層間絶縁膜の内部は、少なくともシリコン、酸素及び炭素を含 有する環状シロキサン構造を含む絶縁膜であり、 TEM— EELSの結果から、単位体 積当たりの絶縁膜中の炭素原子量が酸素原子量の 2倍以上であることが分力つた。 一方、 TEM— EELSの結果から、本実施の形態 1の改質層では、炭素原子量と酸 素原子量の割合が逆転して 、ることが分力つた。
[0101] したがって、本発明の半導体装置の製造方法、及び半導体装置を用いることにより 、層間絶縁膜の低誘電率化と優れた絶縁信頼ィ匕を同時に達成できることが分力ゝる。 これと同時に、高密着性を有することで、ひいては配線の性能を向上させ、高速'低 消費電力な LSIを高信頼性で形成することが可能となることが分力る。 [0102] このようにして、形成されたデュアルダマシン配線の構造の一例を図 7〜9に示す。 図 7 (a)に示すように、下層金属配線は、丁&7丁&?^ノ リァメタル310&と、 CuAl配線 3 11aからなる。 CuAl配線 311aは、 Cuを主成分とし、内部に 1. 2atm%以下の A1を 含む。下層金属配線の上面は絶縁性バリア膜 312aで覆われており、ここでは、ブラ ズマ CVD法によって形成した、比誘電率 4. 9の SiCN膜を用いている。
[0103] ビア層間絶縁膜 313a、 313bには、プラズマ CVD法で形成した、 Aurora— ULK( Trade Mark)、もしくは Black Diamond (Trade Mark)を用いている。また、配 線層間絶縁膜 314には、本実施の形態 1において形成方法を示した、比誘電率 2. 3 7の環状型 SiOCH膜を用いて 、る。
[0104] 上層配線材は、下層配線材と同様に、 TaZTaNノリアメタル 310bと CuAl配線 31 lb力 なる。この TaZTaNノリアメタルは PVD法によって形成されている。また、 Cu A1配線 3 l ibは Cuを主成分とし、内部に 1. 2atm%以下の A1を含む。
[0105] 上層配線の上面は絶縁性バリア膜 312bで覆われており、ここでは、絶縁性バリア 膜 312b〖こは、プラズマ CVD法によって形成した比誘電率 4. 9の SiCN膜を用いた。 改質層 319は溝側壁に形成されており、その周囲を金属配線で囲むように形成され ている。
[0106] 図 7 (b)に示すのは、図 7 (a)の構造に、更に Cu— CMP時において配線層間絶縁 膜の表面を保護するためのハードマスク膜 315を挿入した構造である。ハードマスク 膜としては、シリコン酸ィ匕膜、シリコン炭化膜、シリコン炭素窒素膜などがあり、配線層 間絶縁膜 214よりも比誘電率が高ぐ機械強度に優れたものが好ましい。このため、 ハードマスク膜 315としては、比誘電率 3. 0程度の SiOCH膜などを用いて良い。な お、これ以外の構造については、図 7 (a)と同一なので省略する。
[0107] 図 7 (c)に示すのは、図 7 (b)の構造に更に、エッチストップ膜 320a、 320bを挿入し た構造である。エッチストップ膜はデュアルダマシン形状の配線溝、及びビアホール の加工性を向上するために設けた膜であり、このようなエッチストップ膜を用いること により配線溝深さのばらつきを低減することができるようになる。
[0108] ここで、エッチストップ膜は、加工した!/、材料に応じて、適宜、材料を変更するのが 良い。例えば、エッチストップ膜としては、 SiO膜、 SiN膜、 SiC膜、 SiCN膜、 SiOC 膜、 SiOCH膜、又はこれらの膜中に有機物を含んだ膜、有機物を主成分とする膜、 有機物を主成分とする膜に SiOを含む膜の少なくとも一つを用いることができる。
[0109] 図 8 (a)に示すのは、図 7 (a)の構造の、層間絶縁膜 314に更に、環状有機シロキ サン原料の分圧依存性を利用して形成した密着層 321a、 321bを挿入した構造であ る。この密着層は、低分圧条件で形成した炭化水素成分の少ない層からなる、シリコ ン、酸素、炭素、及び水素を含有する絶縁膜であって、その膜厚は 500〜3000A程 度、比誘電率 3. 0以下であることが好ましい。また、配線層間絶縁膜 314は、少なくと もシリコン、酸素、炭素、水素を含むシロキサン構造を含む低誘電率絶縁膜となって いる。
[0110] 図 8 (b)に示すのは、図 8 (a)の構造に、更に Cu—CMP時において配線層間絶縁 膜の表面を保護するためのハードマスク膜 315を挿入した構造である。ハードマスク 膜としては、シリコン酸ィ匕膜、シリコン炭化膜、シリコン炭素窒素膜などがあり、配線層 間絶縁膜 314よりも比誘電率が高ぐ機械強度に優れたものが好ましい。このため、 ハードマスク膜 315としては、比誘電率 3. 0程度の SiOCH膜などを用いて良い。な お、これ以外の構造については、図 8 (a)と同一なので省略する。
[0111] 図 8 (c)に示すのは、図 8 (b)の構造に、エッチストップ膜 320a、 320bを挿入した構 造である。エッチストップ膜はデュアルダマシン形状の配線溝、及びビアホールの加 ェ性を向上するために設けた膜である。ここで、エッチストップ膜は、加工したい材料 に応じて、適宜、材料を変更するのが良ぐ例えば、 SiO
2膜、 SiN膜、 SiC膜、 SiCN 膜の少なくとも一つを用いることができる。
[0112] 図 9 (a)に示すのは、ビア層間絶縁膜 313a, bが少なくともシリコン、酸素、炭素及 び水素を含む SiOCH膜であり、ビア層間絶縁膜 313bと配線層間絶縁膜 314とが連 続的に形成された構造を示す。例えば、プラズマ励起中に原料分圧を変化させ、低 分圧条件とすることでビア層間絶縁膜 313bを形成し、高分圧条件とすることで配線 層間絶縁膜 314を形成する。これにより、図 9 (a)のビア層間絶縁膜 313bと配線層間 絶縁膜 314が連続的な構造とすることができる。
[0113] また、ビア層間絶縁膜、配線層間絶縁膜は炭素数 3以上の側鎖とビュル基の双方 を含むシロキサン原料を 2種類以上用い、それぞれの原料の比率を変化させて成膜 する。これにより、ビア層間絶縁膜 313a, bを CZSi比が 1. 4程度で比誘電率 2. 7の 膜、配線層間絶縁膜を CZSi比が 2. 9程度で比誘電率 2. 4の膜とすることができる 。この場合、改質層は、上面を除いて配線の周囲をくまなく囲むように形成される。
[0114] この配線構造の場合、配線層間絶縁膜は、比誘電率を最も低減するため、炭素原 子数を多く含有している。従って、配線層間絶縁膜、ビア層間絶縁膜の何れもが、少 なくともシリコン、酸素、炭素を含むシロキサン構造を含む絶縁膜であり、絶縁層内の 炭素原子数は、配線層間絶縁膜 314、ビア層間絶縁膜 313の順に小さくなつている ことが特徴である。
[0115] 図 9 (b)に示すのは、図 9 (a)の構造に、更に Cu— CMP時において配線層間絶縁 膜の表面を保護するためのハードマスク膜 315を挿入した構造である。ハードマスク 膜としては、シリコン酸ィ匕膜、シリコン炭化膜、シリコン炭素窒素膜などがあり、配線層 間絶縁膜 314よりも比誘電率が高ぐ機械強度に優れたものが好ましい。このため、 ハードマスク膜 315としては、比誘電率 3. 0程度の SiOCH膜などを用いて良い。
[0116] また、ビア層間絶縁膜、配線層間絶縁膜、ハードマスク膜は炭素数 3以上の側鎖と ビニル基の双方を含むシロキサン原料を 2種類以上用い、それぞれの原料の比率を 変化させて成膜する。これにより、ビア層間絶縁膜 313を CZSi比が 1. 4程度で比誘 電率 2. 7の膜、配線層間絶縁膜は CZSi比が 2. 9程度で比誘電率 2. 4の膜とする ことができる。また、ハードマスク膜 315として CZSi比が 1. 2で比誘電率 3. 0とした S iOCH膜を形成することができる。この場合、改質層は、上面を除いて配線の周囲を くまなく囲むように形成される。
[0117] この配線構造の場合、配線層間絶縁膜、ビア層間絶縁膜、ハードマスク膜の何れも 力 少なくともシリコン、酸素、炭素を含むシロキサン構造を含む絶縁膜であり、絶縁 層内の炭素原子数は、配線層間絶縁膜 314、ビア層間絶縁膜 313、ハードマスク膜 315の順に小さくなつていることが特徴である。なお、これ以外の構造については、図 9 (a)と同一なので省略する。
[0118] 図 9 (c)に示すのは、図 9 (b)の構造に、エッチストップ膜 320a、 320bを挿入した構 造である。エッチストップ膜はデュアルダマシン形状の配線溝、及びビアホールの加 ェ性を向上するために設けた膜である。ここで、エッチストップ膜は、加工したい材料 に応じて、適宜、材料を変更するのが良い。例えば、エッチストップ膜としては、 SiO
2 膜、 SiN膜、 SiC膜、 SiCN膜の少なくとも一つを用いることができる。
なお、本実施の形態では、デュアルダマシン構造に関して詳しく説明したが、本発明 はシングルダマシン配線にも同様に適用できることは自明である。
[0119] (実施の形態 2)
本実施の形態においては、少なくとも環状有機シロキサン原料を反応室に供給し、 プラズマ気相成長法によって、環状シロキサン構造を含む絶縁膜を形成する。そして 、この絶縁膜に対して好適な改質層を形成することで、絶縁膜と金属配線との密着性 を改善することができる。
本実施の形態 2にお ヽて形成した、半導体素子が形成された半導体基板上の多層 配線構造について詳しく説明する。
[0120] 図 10 (a)に示すように、半導体素子が形成された半導体基板(図示略)上にバリア メタル 310a、金属配線 311a、絶縁性バリア膜 312を積層し、その上部にビア層間絶 縁膜 313を形成する。
ここで、ノリアメタル膜、金属配線、絶縁性バリア膜は、実施の形態 1の記載と同様な ので、その説明は省略する。また、ビア層間絶縁膜 313は、少なくともシリコン、酸素 、炭素、水素を含む環状有機シロキサン構造を含む絶縁膜である。
[0121] 続いて、図 10 (b)に示すように、ビア層間絶縁膜 313に対して、表面の酸化処理を 行うことで改質層 319aを形成する。このとき、好ましい酸化処理条件として、 Oブラ
2 ズマアツシングを行うのが良い。アツシング時間はプラズマ条件にもよる力 5〜15秒 程度にすることが好ましぐ過剰な酸化処理は、酸化を促進するため好ましくない。
[0122] 具体的には、 Oプラズマ照射は、平行平板型のプラズマ CVD装置を用い、基板間
2
距離(GAP) = 10mm、圧力 2· 7Torr、上部電極周波数 13· 56MHz、上部電極 po wer200Wにて酸素ガスのみで 5秒間、行う。このとき、 Oプラズマアツシングを行うガ
2
ス系は、 Oのみでも良ぐ He、 Ar、 Ne、 Xe、 Rnなどの希ガスと Oの混合ガスを用い
2 2 ても良い。また、これ以外の酸化処理条件は、実施の形態 1に記載と同様の方法を 用いても良い。
[0123] 続いて、図 10 (c)に示すように、ビア層間絶縁膜 313上に配線層間絶縁膜 314、 ハードマスク膜 315を形成する。ここで、配線層間絶縁膜 314としては、少なくともシリ コン、酸素、炭素、水素を含む環状有機シロキサン構造を含む絶縁膜を用い、その 膜厚は 500〜2000A程度が良い。また、プラズマ励起中に原料分圧を変化させるこ とで、配線層間絶縁膜 314中に密着性に優れた層などを挿入しても良い。更に、 Cu CMP時に露出しても良い、炭素成分の少ない SiOCH層などを上部に挿入しても 良い。
[0124] この環状有機シロキサンとしては、 3員環又は 4員環力もなる構造のものを用い、 He 、 Ar、 Ne、 Xe、 Rnなどカゝらなる不活性キャリアガスを用いて、反応室に供給し、高周 波電力を引加することで成膜を行うことができる。本実施の形態 2では、式(1)の 3員 環状有機シロキサンを用いて配線層間絶縁膜 314を形成した。
[0125] ここで、ハードマスク膜には、 SiO、 SiON、 SiC、 SiCN、などを用いることができ、
2
その膜厚は 500〜2000 A程度とすることが好まし 、。
本実施の形態 2では、第一の絶縁膜は配線層間絶縁膜 314、第二の絶縁膜はビア 層間絶縁膜 313となる。また、上記のような改質層 319aを形成することで、ビア層間 絶縁膜 313と配線層間絶縁膜 314の密着性を改善することができるようになる。
[0126] 続いて、図 10 (d)に示すように、フォトレジストを用いたパターユングとドライエツチン グによって、配線層間絶縁膜 314及びビア層間絶縁膜 313内にデュアルダマシン溝 318を形成する。このときのエッチング条件は、実施の形態 1に記載と同等のもので あるため省略する力 改質層 319aが形成されているために、改質層がエッチングスト ップ層としての役割を果たし、配線溝深さのウェハ面内でのバラツキを低減できるよう になる。
[0127] 続いて、図 10 (e)に示すように、エッチング後の側壁の酸ィ匕処理を行うことで改質 層 319bを形成する。なお、現時点ではすでに溝パターニング用のフォトレジストは完 全に除去されているため、改質に好ましい条件で酸ィ匕処理を行うことができる。
[0128] 続いて、図 10 (f)に示すように、デュアルダマシン溝内に、ノリアメタル 310b及び 金属配線 31 lbを埋め込み、 CMP法によって余剰の配線を除去し、デュアルダマシ ン配線が形成される。
[0129] このとき、配線層間絶縁膜 314の内部は、少なくともシリコン、酸素、炭素を含む環 状シロキサン構造を含む絶縁膜となっている。また、 TEM— EELS分析の結果から 、配線層間絶縁膜 314とビア層間絶縁膜 313の界面には改質層 319a、配線層間絶 縁膜 314と金属配線 31 lbとの界面には改質層 319bを確認することができた。また、 改質層 319a, 319b内の単位体積当たりの酸素原子量が炭素原子量の 2倍以上で あることが分かった。本実施の形態 2では、改質層 319a、 319bの厚さが 20nm以下 であった。
[0130] また、このとき、改質層無の構造に比べて、改質層に対するノリアメタルの密着性が 向上することが確認できた。これは、改質層の組成において、酸素原子量が炭素原 子量よりも多いために、バリアメタルとの密着性が向上したためと考えられる。
[0131] 以上より、本発明の半導体装置の製造方法、及び半導体装置を用いることにより、 層間絶縁膜の低誘電率化と高絶縁信頼ィ匕を同時に達成できた。また、高密着性を 有することで配線の性能を向上させ、高速、低消費電力な LSIを高信頼性で形成す ることが可能となった。
[0132] このようにして、形成されるデュアルダマシン配線の構造の一例を図 11〜12に示 す。
図 11 (a)に示すように、下層金属配線は、 TaZTaNバリアメタル 310&と0^1配線3 11aからなる。 CuAl配線 311aは、 Cuを主成分とし、内部に 1. 2atm%以下の A1を 含む。下層金属配線の上面は絶縁性バリア膜 312aで覆われており、ここでは、ブラ ズマ CVD法によって形成した、比誘電率 4. 9の SiCN膜を用いている。
[0133] ビア層間絶縁膜 313a、 313bは、少なくともシリコン、酸素、炭素、水素を含む環状 有機シロキサン構造を含む絶縁膜であって、 3員環又は 4員環カゝらなるシロキサン構 造を含む膜である。ここでは、式(1)のシロキサン構造を原料として使用して形成した 、比誘電率 2. 37の環状型 SiOCH膜を用いている。
[0134] 上層配線材は、下層配線材と同様に、 TaZTaNノリアメタル 3101)と0^1配線31 lb力 なり、 Ta/TaNノリアメタルは PVD法によって形成されている。この CuAl配 線 31 lbは、 Cuを主成分とし、内部に 1. 2atm%以下の A1を含む。
[0135] 上層配線の上面は絶縁性バリア膜 312bで覆われており、ここでは、プラズマ CVD 法によって形成した、比誘電率 4. 9の SiCN膜を用いている。また、改質層 319aは 溝側壁と溝底面に、改質層 319bはビア層間絶縁膜と配線層間絶縁膜との界面に形 成されている。
[0136] 図 11 (b)に示すのは、図 11 (a)の構造に、更に Cu— CMP時において配線層間絶 縁膜の表面を保護するためのハードマスク膜 315を挿入した構造である。ハードマス ク膜としては、シリコン酸ィ匕膜、シリコン炭化膜、シリコン炭素窒素膜などがあり、配線 層間絶縁膜 214よりも比誘電率が高ぐ機械強度に優れたものが好ましい。このため 、ハードマスク膜 315としては、比誘電率 3. 0程度の SiOCH膜などを用いて良い。 なお、これ以外の構造については、図 11 (a)と同一なので省略する。
[0137] 図 12 (a)に示すのは、図 11 (a)の構造の、層間絶縁膜 314に更に、環状有機シロ キサン原料の分圧依存性を利用して形成した密着層 321a、 321bを挿入した構造で ある。密着層は、低分圧条件で形成した炭化水素成分の少ない層からなる、シリコン 、酸素、炭素、及び水素を含む絶縁膜であって、その膜厚は 1000〜3000A程度、 比誘電率 3. 0以下であることが好ましい。
[0138] 図 12 (b)に示すのは、図 12 (a)の構造に、更に Cu— CMP時において配線層間絶 縁膜の表面を保護するためのハードマスク膜 315を挿入した構造である。ハードマス ク膜としては、シリコン酸ィ匕膜、シリコン炭化膜、シリコン炭素窒素膜などがあり、配線 層間絶縁膜 314よりも比誘電率が高ぐ機械強度に優れたものが好ましい。このため 、ハードマスク膜 315としては、比誘電率 3. 0程度の SiOCH膜などを用いて良い。 なお、これ以外の構造については、図 12 (a)と同一なので省略する。
[0139] 以上の配線構造を用いることで、密着性を改善しつつ配線間の容量の低減と絶縁 特性の向上を両立することができるようになる。
尚、本実施の形態 2では、デュアルダマシン構造に関して詳しく説明した力 本発明 は、シングルダマシン配線に関しても同様に適用できることは自明である。
実施例
[0140] (実施例 1)
半導体素子が形成された半導体基板上に多層配線を形成し、酸素プラズマを用い た改質処理を行った実施例を、以下に詳しく説明する。
図 14 (a)に示すように、半導体素子が形成された半導体基板(図示略)上に、ノリア メタル 310a、金属配線 311a、絶縁性バリア膜 312bを積層した。また、絶縁性バリア 膜 312bの上部に、ビア層間絶縁膜 313、配線層間絶縁膜 314、ハードマスク膜 315 a、 315bを形成した。なお、本実施例では、第一の絶縁膜は配線層間絶縁膜 314、 第二の絶縁膜はビア層間絶縁膜 313となる。
[0141] ここで、ノ リアメタル膜 310aは、スパッタ法により TaZTaN ( =上層/下層)の積層 膜として形成し、この厚さはそれぞれ 10Z5nmとした。金属配線 311aは、 CuAl (Al : 1. 2atm%)ターゲットを用いたスパッタでシード層を形成し、電解めつき法にて Cu を埋め込むことで形成した。
[0142] また、絶縁性バリア膜 312bは、プラズマ CVD法で形成した比誘電率 4. 9の SiCN 膜であり、その厚さは 300Aとした。なお、絶縁性バリア膜 312bの成膜前には、銅表 面の還元処理としてプラズマ NH照射、又は SiHガス照射を行った。
3 4
[0143] ここで、ビア層間絶縁膜 313は、少なくともシリコン、酸素、炭素を含む絶縁膜であ つて、その膜厚は 1200Aとした。また、その材料としては、比誘電率 2. 8の高強度化 した AuroraULK (Trade Mark)を用いた。このとき、単位体積当たりのビア層間絶 縁膜の組成は、 Si: O : C= l : 0. 8 : 0. 7であった。
[0144] なお、ビア層間絶縁膜 313には、これ以外にも例えば、 NCS (Trade Mark)、 IP S (Trade Mark)、 HOSP (Trade Mark)、 Black Diamond (Trade Mark)、 C ORAL (Trade Mark)などを用いても良い。さら〖こ、ビア層間絶縁膜の形成時には 、高強度化のために、成膜条件を変更したり、 UVキュア、 EBキュアなどのプロセスを 用いても良い。
[0145] また、配線層間絶縁膜 314は、式(1)のシロキサン構造を有する原料を用いて、 He キャリアガスによるプラズマ CVD法により形成した絶縁膜であり、その膜厚は 1100 A とした。このとき、単位体積当たりの配線層間絶縁膜 314の組成は、 Si: 0 : C= l : l : 3であった。また、原料分圧をプラズマ励起中に変化させることで、下層に 4nmの密 着性を挿入した。この時、密着層形成時の原料分圧は lOPaとしたのに対して、配線 層間絶縁膜 314の形成時は 50Paとした。
[0146] ハードマスク膜 315aは、少なくともシリコン、酸素、炭素を含む絶縁膜であって、そ の膜厚を 300Aとした。本実施例では、具体的にハードマスク膜 315aとして、比誘電 率 3. 0の Black Diamond (Trade Mark)を用いた。なお、ハードマスク膜 315aは これに限定されるわけではなぐこれ以外にも例えば、 NCS (Trade Mark)、 IPS ( Trade Mark)、 HOSP (Trade Mark) , Black Diamond (Trade Mark)、 CO RAL (Trade Mark)ゝ AuroraULK (Trade Mark)などを用いても良い。さらに、 ハードマスク膜の形成時には、高強度化のために成膜条件を変更したり、 UVキュア 、 EBキュアなどのプロセスを用いても良い。
[0147] また、ハードマスク膜 315bは、プラズマ CVD法で形成した SiO膜とし、その膜厚
2
は 800Aとした。各ハードマスク膜 315a、 315bは、下層膜との密着性を向上させる ために、プラズマ He照射を行った。このときのプラズマ He照射条件は、平行平板型 の 8インチ用プラズマ CVD装置により He = 600sccmとし、基板間距離(GAP) = 10 mm、圧力 3. 0Torr、上部電極周波数 13. 56MHz、下部電極 power250Wにて、 50sec、行った。尚、特に記載しないが、絶縁膜間の密着性を向上させるため、適宜 、必要に応じて不活性ガスでのプラズマ処理を行っても良 、。
[0148] 続いて、フォトレジストを用いたパターユングとドライエッチングによって、ビア層間絶 縁膜 313、配線層間絶縁膜 314、ハードマスク膜 315a、 315b内に、ビアホールを形 成した。続いて、ビアホールに対して、有機洗浄プロセスにより洗浄を行った後、 300 °Cにて 1分の熱処理を行った。この理由は、絶縁膜内に取り込まれた有機'アミン成 分を熱処理によって除去し、埋め込まれた有機膜の変質や、レジストボイズニングを 軽減するためである。
[0149] 続、て、図 14 (b)に示すように、ビアホール内に有機膜 5000 Aを埋め込み、一度 、エッチバックによって平坦ィ匕したのち、再度、有機膜 321を 2500A、塗布し、 300 °Cにて 1分の硬化処理を行った。その上に低温酸ィ匕膜 322を形成した。この時、低温 酸ィ匕膜 322はプラズマ CVD法により形成し、その際の基板の温度は 200°C以下とし た。続いて、反射防止膜 (ARC) 323、フォトレジスト 324を形成した。このような構造 とすること〖こよって、 ArF用のフォトレジストを用いた場合にも、レジストボイズニングを 回避できるようになる。
[0150] 続いて、図 14 (c)に示すように、フォトレジストを用いたパターユングとドライエツチン グによって、ハードマスク膜 315内に配線溝 317を転写形成した。このとき、フォトレジ スト 324等は Oプラズマアツシングによる灰化プロセスによって、完全に除去した。な
2
お、この時点では配線層間絶縁膜 314はエッチングされていないため、後に形成さ れる配線溝の側壁は Oプラズマに暴露されて!、な!/、。
2
[0151] 続いて、図 14 (d)に示すように、ハードマスク膜 315をマスクに用いてドライエツチン グによって、配線層間絶縁膜 314内に配線溝 318を形成した。このときの配線層間 絶縁膜のドライエッチング方法は、平行平板型の 8インチ用エッチング装置を用い、 ガス流量比 ArZN /CF /O =300Zl00Z25Z6sccmにて、基板間距離(GA
2 4 2
P) = 35mm、圧力 50mTorr、上部電極周波数 60MHz、上部電極 powerlOOOW 、下部電極周波数 13. 56MHz,下部電極 powerlOOWとした。
[0152] 続いて、図 14 (e)に示すように、エッチング後の側壁の酸ィ匕処理を行うことで改質 層 319を形成した。このときの酸化処理条件は、 Oプラズマ照射によって行った。こ
2
の際の条件としては、平行平板型の in— situアツシング装置を用い、基板間距離 (G AP) = 30mm、圧力 10mTorr、上部電極周波数 60MHz、上部電極 power600W 、下部電極周波数 13. 56MHz,下部電極 powerlOOWとし、酸素ガスのみで 5秒間 、処理を行った。
[0153] このとき、配線層間絶縁膜 314には環状シロキサンを含む絶縁膜を用いているため 、溝側壁の改質層は密度が高ぐ TEM— EELS〖こより、約 lOnmの改質層が形成さ れていることを確認した。また、改質層は、配線層間絶縁膜 314に比べて、単位体積 当たりの炭素原子数が少なぐ且つ酸素原子数が多いことを確認した。一方、配線溝 底部の、ビア層間絶縁膜 313の側壁には、約 40nmの改質層が形成されていること を確認した。
[0154] 続いて、図 14 (f)に示すように、デュアルダマシン溝内に、ノリアメタル 310b及び 金属配線 31 lbを埋め込み、 CMP法によって余剰の配線を除去し、デュアルダマシ ン配線を形成した。
[0155] (実施例 2)
半導体素子が形成された半導体基板上に多層配線を形成し、絶縁膜に対して酸 素プラズマを用いた改質処理を行った実施例を、以下に詳しく説明する。
図 15に示すように、この半導体装置では、半導体素子が形成された半導体基板 (図 示略)上にノリアメタル 221a、金属配線 220a、絶縁性バリア膜 218aが形成され、そ の上部に、配線層間絶縁膜 219aが形成されている。なお、本実施例では、第一の 絶縁膜と第二の絶縁膜が一体となって配線層間絶縁膜 219a〜eとなっている。
[0156] また、配線層間絶縁膜 219aはシリコン、酸素及び炭素を含むシロキサン構造を含 み、このシロキサン構造の炭素原子数は、シリコン原子数よりも多くなつている。更に 、金属配線と接する配線層間絶縁膜 219aとの界面には、配線層間絶縁膜の内部よ りも、単位体積当たりの炭素原子数が少なぐ酸素原子数が多い改質層 319aが形成 されており、その厚さは 10〜20nm程度に制御されている。
[0157] ここで、金属配線材は Cuを主成分とし、金属配線材の信頼性を向上させるため、 C u以外の金属元素が Cuからなる部材に含まれていても良ぐ Cu以外の金属元素が C uの上面や側面などに形成されていても良い。本実施例では、 PVD法により成膜し た厚さ 400Aの Cu層をシード層として、電解めつき法により Cuを形成した。この PVD 法によって形成され Cu層には、内部に 1. 2atm%以下の A1を含んでいる。
[0158] ここで、絶縁性バリア膜は SiCN膜からなり、その膜厚は 300 Aで、プラズマ CVD法 により形成した。また、配線層間絶縁膜 219aは、式(1)の環状有機シロキサン原料を 用いてプラズマ気相成長法により形成した環状型 SiOCH膜であり、その比誘電率は 2. 4で、その厚さはビア層間部分も含めて 2500 Aであった。このような層間絶縁膜 力も構成されるデュアルダマシン溝内に、金属配線 220a及びバリアメタル 221aが埋 め込まれている。
[0159] ここで、ノリアメタル膜 221aには、 PVD法で形成した Ta (15nm) /TaN (5nm) (
=上層 Z下層)の積層膜を用いた。また、金属配線部材としては、 1. 2atm%の A1を 含む Cuターゲットを用いて PVD法により形成し、めっき法により Cuを形成した。
[0160] また、各配線層の高さは、 Ml (各構成部材の符号が a)〜M5 (各構成部材の符号 が e)を 170nm、 M6 (各構成部材の符号が f)を 300nmとした。
なお、図 15に示す半導体装置において、ノリアメタル 221b〜eはバリアメタル 221a と同様の構成であり、金属配線 220b〜eは金属配線 220aと同様の構成である。また 、絶縁性バリア膜 218b〜eは絶縁性バリア膜 218aと同様の構成であり、配線層間絶 縁膜 219b〜eは配線層間絶縁膜 219aと同様の構成であり、改質層 319b〜eは改 質層 319aと同様の構成である。このため、上記ノ リアメタル 221b〜e、金属配線 22 Ob〜e、絶縁性バリア膜 218b〜e、配線層間絶縁膜 219b〜e、改質層 319b〜eに 関する説明は省略する。
[0161] ここで、 Cu— CMP時に、配線層間絶縁膜の表面を保護するために、ハードマスク 膜などを挿入した構造としても良い。このハードマスク膜としては、シリコン酸ィ匕膜、シ リコン炭化膜、シリコン炭素窒素膜などを用いることができ、配線層間絶縁膜 213より も比誘電率が高ぐ機械強度に優れたものを用いることが好ましい。このため、ハード マスク膜には、比誘電率 3. 0程度の SiOCH膜などを用いて良い。
[0162] また、上層の配線には A1を用いており、 PVD法によって Ti/TiN225a、 Al— Cu2 26、 TiZTiN225bを形成した。各金属膜の厚さは、 TiZTiN225力 0. 3 μ m程度、 Al— Cu226力 5 m、 TiZTiN225b力 . 3 μ mとした。このとき、溝状ビアホー ル内には金属を連続的に埋め込んだ。また、その上層はパッシベーシヨン膜によって 覆った。
[0163] 以上の配線構造を用い、改質層を導入することによって、配線間の容量と絶縁信 頼性の両立することができるようになった。
また、配線間隔 70nmの櫛形の TEGを用いて配線間の TDDB試験を行い、 125°C にて 2. 5MV/cm電界を印可したところ、絶縁寿命は 120時間以上となり、十分な T DDB耐性を有することを確認した。
[0164] また、このようなデバイスを形成後、ウェハをダイシングし、チップを切り出したのち、 セラミックパッケージ上にマウントし、榭脂封止を行った。このチップサイズは 25mm X 25mmとし、—65°C〜150°Cの温度サイクル試験を 1000サイクルまで行った。こ の結果、本実施例の半導体装置では、改質層によって密着性が向上し、 50チップ中 、一つも剥がれが観察されな力つた。これに対して、改質層を形成しなかった半導体 装置では、密着性に劣るためチップの角力 若干の剥がれが生じるサンプルは、 2チ ップが発生することを確認した。
なお、本実施例では、デュアルダマシン構造に関して詳しく説明したが、本発明はシ ングルダマシン配線にも同様に適用できることは自明である。
[0165] (実施例 3) 半導体素子が形成された半導体基板上に多層配線を形成し、絶縁膜に対して窒 素プラズマを用いた改質処理を行った実施例を、以下に詳しく説明する。なお、半導 体装置の製造方法については、改質処理条件を除き、実施例 1と同一なので省略す る。なお、本実施例 3では、第一の絶縁膜は配線層間絶縁膜 314、第二の絶縁膜は ビア層間絶縁膜 313となる。
[0166] この時の改質処理は、 Nプラズマ照射によって行った。また、その条件としては、平
2
行平板型の in— situアツシング装置を用い、基板間距離(GAP) = 30mm、圧力 10 mTorr、上部電極周波数 60MHz、上部電極 power600W、下部電極周波数 13. 5 6MHz、下部電極 powerlOOWとし、窒素ガスのみで 5秒間、処理を行った。
[0167] このとき、配線層間絶縁膜 314には環状シロキサンを含む絶縁膜を用いているため 、溝側壁の改質層は密度が高ぐ TEM— EELS〖こより、約 10nmの改質層が形成さ れていることを確認した。また、改質層の組成は、 SiOCN膜であり、配線層間絶縁膜 314よりも単位体積当たりの炭素原子数が少なぐ且つ酸素原子数が多力つたことか ら、 Nプラズマによる改質処理によって炭素量が減少し、窒素置換されたものと判断
2
できる。更に、配線間リーク電流を測定したところ、実施例 1に記載の酸素プラズマ処 理と同等に十分低いリーク電流を有することを確認した。
[0168] (比較例 1)
比較例 1として、酸素による酸ィ匕プラズマを用いたプラズマ気相成長法により、式 (3 )の直鎖型 SiOCHの原料を用いて、半導体装置を形成した場合の側壁の状態につ いて述べる。なお、この半導体装置では、配線層間絶縁膜及びビア層間絶縁膜内部 のシロキサン構造は炭素原子数がシリコン原子数とほぼ同等程度となる。
[0169] この直鎖型 SiOCH膜上に形成した銅多層配線の配線間の組成分析を TEM—E ELSにより行った結果を図 13に示す。図 13は、ライン Zスペース =— 100nm〜10 Onmにおける TEGの断面を示すものである。
[0170] 図 13の結果より、側壁に約 40nmの改質層が形成されており、本発明の改質層に 比べて 2倍以上、厚くなつていることが分かる。この理由は、膜内にビニル基、及び炭 素数 3以上の炭化水素基を含んでいないため、炭素の脱離が急速に進んだためで ある。また、膜構造がランダムな Si— O構造となっているために、緻密かつナノオーダ 一厚の改質層が形成できなカゝつたためである。更に、好適な酸化条件を選択したとし ても高密度な改質層が形成されず、膜内部まで酸ィ匕が進んでしまったためである。
[0171] 上記のように、配線の配線間容量を測定したところ、 40nm厚の改質層の形成によ つて、ライン Zスペース = - 100nm〜100nmにおける対向配線間容量が 5%上昇 することを確認した。
[0172] (参考例 1)
参考例 1として、環状型 SiOCH膜のエッチングガスとして、 N ZO ZC Fの混合
2 2 4 8 ガスを用いて改質層を形成した場合の結果にっ 、て述べる。
具体的には、平行平板型の 8インチ用エッチング装置を用い、ガス流量比 N /O /
2 2
C F = 150Z30Z8sccmにて、基板間距離(GAP) =45mm、圧力 25mTorr、上
4 8
部電極周波数 60MHz、上部電極 powerl000W、下部電極周波数 13. 56MHz、 下部電極 powerl50Wにて、配線溝の形成を行った。
[0173] このようにして形成した配線溝に対して改質層の形成を Oプラズマ処理によって行
2
い、配線間リーク電流を測定した。この結果、ライン Zスペース =— 100nm〜l 00η mの範囲における対向配線間リーク電流が面内で 10%程度の割合で、リーク電流の 高い異常チップが発生した。この理由は、エッチング時のデポ物によって、溝側壁の 改質処理を十分に行うことができていないためである。すなわち、この場合、本発明 の改質層が形成されな力つたためである。従って、エッチング条件を変更した場合に は、適宜、好適な改質条件は変化するため、改質条件を変更することで対応できる。
[0174] (参考例 2)
参考例 2として、実施例 1において、溝レジストをマスクに配線溝を開口し、溝レジス トの灰化処理と、溝側壁の改質処理を Oプラズマによって同時に行うことで配線層を
2
形成した例を示す。
[0175] このときのレジスト灰化処理条件は、 Oプラズマ照射によって行った。具体的には、
2
平行平板型の in— situアツシング装置を用い、基板間距離 (GAP) = 30mm、圧力 1 OmTorr、上部電極周波数 60MHz、上部電極 power600W、下部電極周波数 13. 56MHz,下部電極 powerlOOWにて酸素ガスのみで 40秒間、処理を行った。
すなわち、本参考例では、レジストの灰化を同時に行うために、溝側壁は実施例 1と 比較して、 8倍の処理時間で過剰に酸素プラズマ照射されて 、る。
[0176] 実施の形態 1で作製した配線と、本参考例によって形成した配線を比較したところ、 ライン Zスペース = - 100nm〜100nmにおける対向配線間容量が 3%程度、本参 考例において高いことが確認できた。以上の結果から、レジスト灰化プロセスと、酸ィ匕 処理とを別に行う本発明の優位性が確認できる。
[0177] なお、本発明は、改質層の形成によって、低配線間容量と高絶縁信頼性を両立し 、かつ密着性の向上が必要な多層配線の配線構造とその製造方法に関するもので あれば、あらゆるものに適用することが可能であり、その利用の可能性において何ら 限定するものではない。
[0178] 上記では、幾つかの好適な実施の形態及び実施例に関連付けして本発明を説明 したが、これら実施の形態及び実施例は単に実例を挙げて発明を説明するためのも のであって、限定することを意味するものではな 、ことが理解できる。
[0179] 例えば、本発明者によってなされた発明の背景となった利用分野である CMOS回 路を有する半導体製造装置の技術に関して詳しく説明したが、本発明はこれに限定 されるものではない。例えば、 DRAM (Dynamic Random Access Memory) , SRAM (Static Random Access Memory)、フラッシュメモリ、 FRAM (Ferro Electric Random Access Memory)、 MRAM (Magnetic Random Acces s Memory)、抵抗変化型メモリ等のようなメモリ回路を有する半導体装置、マイクロ プロセッサなどの論理回路を有する半導体装置、又は、それらを同時に掲載した混 載型の半導体装置にも適用することができる。また、本発明は少なくとも一部に埋め 込み型合金配線構造を有する半導体装置、電子回路装置、光回路装置、量子回路 装置、マイクロマシンなどにも適用することができる。
[0180] また、完成した製品からも本発明による改質層を確認することができる。具体的には 、 TEM— EELS測定により、金属配線周囲の配線層間絶縁膜を分析することで、配 線層間膜の改質層の組成を確認することができる。また、同様に TEM— EELS測定 により、ビア層間絶縁膜と配線層間絶縁膜との界面を分析することで確認することが できる。
[0181] 本発明の半導体製造装置は、例えば、第一及び第二絶縁膜、ハードマスク膜等の 膜の形成が可能な膜形成手段、絶縁膜の改質処理が可能な真空チャンバ一、エツ チング処理が可能なエッチング手段、フォトリソグラフィー処理が可能なフォトリソダラ フィ一手段、各工程の制御が可能な制御手段などを有する。
[0182] ここで、この制御手段は、溝形成工程、フォトレジスト除去工程、及び改質工程を制 御するプログラムが記憶されたマイクロコンピュータを備えることが好ましい。
また、制御手段は、更に、絶縁膜形成工程において、プラズマ処理シーケンスにより 第一の絶縁膜を成膜するプログラムが記憶されて 、ることが好ま ヽ。このプラズマ 処理シーケンスは、酸素プラズマ処理のシーケンス又は窒素プラズマ処理のシーケ ンスであることが好ましい。
[0183] 本明細書を読んだ後であれば、当業者にとって等価な構成要素や技術による数多 くの変更及び置換が容易であることが明白であるが、このような変更及び置換は、添 付の請求項の真の範囲及び精神に該当するものであることは明白である。

Claims

請求の範囲
[1] 半導体基板上の絶縁膜に配線溝及びビアホールが形成され、前記配線溝及びビ ァホールにそれぞれ金属を充填させてなる配線及び接続プラグを有し、前記絶縁膜 の少なくとも一部が第一の絶縁膜及び第二の絶縁膜から構成された、多層配線を有 し、
前記第一の絶縁膜は、シリコン、酸素及び炭素を含むシロキサン構造を含む少なく とも 1層以上の絶縁膜であり、
前記第一の絶縁膜内部のシロキサン構造は炭素原子数がシリコン原子数よりも多く 前記第一の絶縁膜と前記金属との界面、及び前記第一の絶縁膜と第二の絶縁膜と の界面のうち少なくとも何れか一方に、第一の絶縁膜内部よりも単位体積当たりの炭 素原子数が少なぐ且つ酸素原子数が多い改質層が形成されていることを特徴とす る半導体装置。
[2] 前記シロキサン構造を含む第一の絶縁膜内部の単位体積当たりの炭素原子数が 酸素原子数よりも多いことを特徴とする請求項 1に記載の半導体装置。
[3] 前記シロキサン構造は、少なくとも炭素数が 3個以上の炭化水素基と不飽和炭化水 素基の双方を含むことを特徴とする請求項 1又は 2に記載の半導体装置。
[4] 前記シロキサン構造は、酸素原子とシリコン原子とを含む環状シロキサン構造を含 むことを特徴とする請求項 1乃至 3の何れか一項に記載の半導体装置。
[5] 前記環状シロキサン構造は、酸素原子とシリコン原子とからなる Si— Oユニットを 3 つ有する 3員環構造力 なることを特徴とする請求項 4に記載の半導体装置。
[6] 前記改質層は、単位体積当たりの炭素原子数よりも酸素原子数が多いことを特徴と する請求項 1乃至 5の何れか一項に記載の半導体装置。
[7] 前記改質層は、単位体積当たりの炭素原子数よりも酸素原子数と窒素原子数の和 数が多いことを特徴とする請求項 1乃至 5の何れか一項に記載の半導体装置。
[8] 前記改質層の厚さが 20nm以下であることを特徴とする請求項 1乃至 7の何れか一 項に記載の半導体装置。
[9] 前記改質層の密度が 2. OgZcm3以上であることを特徴とする請求項 1乃至 8の何 れか一項に記載の半導体装置。
[10] 前記第一の絶縁膜内部の密度が 1. 2g/cm3以下であることを特徴とする請求項 1 乃至 9の何れか一項に記載の半導体装置。
[11] 前記第一の絶縁膜が、半導体基板側から順に積層された、ビアプラグ部位のビア 層間絶縁膜と、配線部位の配線層間絶縁膜と、配線部位のハードマスク膜とから構 成され、
前記ハードマスク膜と、前記配線層間絶縁膜と、前記ビア層間絶縁膜との何れもが 、シリコン、酸素及び炭素を含むシロキサン構造を含む絶縁膜であり、
前記ハードマスク膜、前記配線層間絶縁膜及び前記ビア層間絶縁膜の何れにも、 前記金属との界面に前記改質層が形成され、
前記改質層の組成が、それぞれ対応する前記第一の絶縁膜の内部よりも、単位体 積当たりの炭素原子数が少なぐ且つ酸素原子数が多ぐ
前記改質層内の単位体積当たりの炭素原子数が、配線層間絶縁膜と金属の間の 改質層 >ビア層間絶縁膜と金属の間の改質層 >ハードマスク膜と金属の間の改質 層の順に少ないことを特徴とする請求項 1乃至 10の何れか一項に記載の半導体装 置。
[12] 半導体基板上の絶縁膜に配線溝及びビアホールが形成され、前記配線溝及びビ ァホールにそれぞれ金属を充填させてなる配線及び接続プラグを有し、前記絶縁膜 の少なくとも一部が第一の絶縁膜から構成された、多層配線を有し、
前記第一の絶縁膜は、シリコン、酸素及び炭素を含むシロキサン構造を含む少なく とも 1層以上の絶縁膜であり、
前記第一の絶縁膜内部のシロキサン構造は炭素原子数がシリコン原子数よりも多く 前記第一の絶縁膜と前記金属との界面に、第一の絶縁膜内部よりも単位体積当た りの炭素原子数が少なぐ且つ酸素原子数が多い改質層を有する半導体装置の製 造方法であって、
前記シロキサン構造を含む第一の絶縁膜を形成する絶縁膜形成工程と、 前記第一の絶縁膜上にハードマスク膜を形成する工程と、 前記ハードマスク膜上にフォトレジストを塗布した後、溝パターユングをする工程と、 前記フォトレジストをマスクに用いてドライエッチングによって、前記ハードマスク膜 内に溝を形成することによりマスクパターンを形成する工程と、
酸素アツシングによって前記フォトレジストを除去するフォトレジスト除去工程と、 前記マスクパターンをマスクに用いてドライエッチングにより、前記第一の絶縁膜内 に配線溝及びビアホールを形成する溝形成工程と、
前記第一の絶縁膜内の配線溝及びビアホール側面の改質処理を行うことにより前 記改質層を形成する改質工程と、
前記配線溝及びビアホール内に金属を充填することにより、それぞれ配線及び接 続プラグを形成する工程と、
を有することを特徴とする半導体装置の製造方法。
半導体基板上の絶縁膜に配線溝及びビアホールが形成され、前記配線溝及びビ ァホールにそれぞれ金属を充填させてなる配線及び接続プラグを有し、前記絶縁膜 の少なくとも一部が第一の絶縁膜及び第二の絶縁膜から構成された、多層配線を有 し、
前記第一の絶縁膜は、シリコン、酸素及び炭素を含むシロキサン構造を含む少なく とも 1層以上の絶縁膜であり、
前記第一の絶縁膜内部のシロキサン構造は炭素原子数がシリコン原子数よりも多く 前記第一の絶縁膜と前記金属との界面及び前記第一の絶縁膜と第二の絶縁膜と の界面に、第一の絶縁膜内部よりも単位体積当たりの炭素原子数が少なぐ且つ酸 素原子数が多い改質層を有する半導体装置の製造方法であって、
シリコン、酸素及び炭素を含む環状シロキサン構造を含む第二の絶縁膜を形成す る工程と、
前記第二の絶縁膜の表面に改質処理を行い、前記改質層を形成する工程と、 前記改質層上に、前記第一の絶縁膜を形成する絶縁膜形成工程と、
前記第一の絶縁膜上にハードマスク膜を形成する工程と、
前記ハードマスク膜上にフォトレジストを塗布した後、溝パターユングをする工程と、 前記フォトレジストをマスクに用いてドライエッチングによって、前記ハードマスク膜 内に溝を形成することによりマスクパターンを形成する工程と、
酸素アツシングによって前記フォトレジストを除去するフォトレジスト除去工程と、 前記マスクパターンをマスクに用いてドライエッチングにより、前記第一及び第二の 絶縁膜内に配線溝及びビアホールを形成する溝形成工程と、
前記第一及び第二の絶縁膜内の配線溝及びビアホール側面の改質処理を行うこ とにより前記改質層を形成する改質工程と、
前記配線溝及びビアホール内に金属を充填することにより、それぞれ配線及び接 続プラグを形成する工程と、
を有することを特徴とする半導体装置の製造方法。
[14] 前記改質処理が酸化処理であることを特徴とする請求項 12又は 13に記載の半導 体装置の製造方法。
[15] 前記酸化処理が、酸素プラズマによる処理であることを特徴とする請求項 14に記載 の半導体装置の製造方法。
[16] 前記酸素プラズマは、酸素と Arの混合ガスがプラズマ状態となったものであることを 特徴とする請求項 15に記載の半導体装置の製造方法。
[17] 前記酸素プラズマは、酸素を含有するガスに対して基板バイアスを印加することに よりプラズマ状態としたものであることを特徴とする請求項 15又は 16に記載の半導体 装置の製造方法。
[18] 前記酸化処理を、 UVオゾン処理で行うことを特徴とする請求項 14に記載の半導 体装置の製造方法。
[19] 前記酸化処理を、酸素ァニールにより行うことを特徴とする請求項 14に記載の半導 体装置の製造方法。
[20] 前記改質処理を、窒素プラズマにより行うことを特徴とする請求項 12又は 13に記載 の半導体装置の製造方法。
[21] 前記溝形成工程において、
前記ドライエッチングのエッチングガス力 少なくとも Ar、 N、 O及び CFを含有す
2 2 4 る混合ガスであることを特徴とする請求項 12乃至 20の何れか一項に記載の半導体 装置の製造方法。
[22] 請求項 12乃至 21の何れか一項に記載の半導体装置の製造方法に用 、る半導体 製造装置であって、
前記溝形成工程、前記フォトレジスト除去工程、及び前記改質工程を制御するプロ グラムが記憶されたマイクロコンピュータを備えた制御手段を有することを特徴とする 半導体製造装置。
[23] 前記制御手段には更に、前記絶縁膜形成工程においてプラズマ処理シーケンスに より第一の絶縁膜を成膜するプログラムが記憶されていることを特徴とする請求項 22 に記載の半導体製造装置。
[24] 前記プラズマ処理シーケンスは、酸素プラズマ処理のシーケンスであることを特徴と する請求項 23に記載の半導体製造装置。
[25] 前記プラズマ処理シーケンスは、窒素プラズマ処理のシーケンスであることを特徴と する請求項 23に記載の半導体製造装置。
PCT/JP2007/060012 2006-05-17 2007-05-16 半導体装置、半導体装置の製造方法及び半導体製造装置 WO2007132879A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2008515581A JP5168142B2 (ja) 2006-05-17 2007-05-16 半導体装置
US12/299,375 US8043957B2 (en) 2006-05-17 2007-05-16 Semiconductor device, method for manufacturing semiconductor device and apparatus for manufacturing semiconductor
US13/238,796 US8278763B2 (en) 2006-05-17 2011-09-21 Semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006137457 2006-05-17
JP2006-137457 2006-05-17

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US12/299,375 A-371-Of-International US8043957B2 (en) 2006-05-17 2007-05-16 Semiconductor device, method for manufacturing semiconductor device and apparatus for manufacturing semiconductor
US13/238,796 Division US8278763B2 (en) 2006-05-17 2011-09-21 Semiconductor device

Publications (1)

Publication Number Publication Date
WO2007132879A1 true WO2007132879A1 (ja) 2007-11-22

Family

ID=38693968

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2007/060012 WO2007132879A1 (ja) 2006-05-17 2007-05-16 半導体装置、半導体装置の製造方法及び半導体製造装置

Country Status (3)

Country Link
US (2) US8043957B2 (ja)
JP (2) JP5168142B2 (ja)
WO (1) WO2007132879A1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010134231A1 (ja) * 2009-05-19 2010-11-25 パナソニック株式会社 半導体装置及びその製造方法
US20110083883A1 (en) * 2009-09-30 2011-04-14 Kyocera Corporation Wiring board and mount structure
WO2011108456A1 (ja) * 2010-03-01 2011-09-09 大陽日酸株式会社 低誘電率層間絶縁膜および低誘電率層間絶縁膜の成膜方法
CN102237272A (zh) * 2010-05-07 2011-11-09 瑞萨电子株式会社 半导体装置和半导体装置制造方法
EP2388820A2 (en) 2010-05-19 2011-11-23 Renesas Electronics Corporation Integration of memory cells comprising capacitors with logic circuits comprising interconnects
JP2012038961A (ja) * 2010-08-09 2012-02-23 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
US8367559B2 (en) 2010-01-26 2013-02-05 Renesas Electronics Corporation Method of manufacturing a semiconductor device
US9337093B2 (en) 2010-07-15 2016-05-10 Renesas Electronics Corporation Method of manufacturing semiconductor device
JP2017063235A (ja) * 2012-05-11 2017-03-30 株式会社半導体エネルギー研究所 半導体装置
JP2020021869A (ja) * 2018-08-02 2020-02-06 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP7493400B2 (ja) 2019-09-13 2024-05-31 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び基板処理システム

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5353109B2 (ja) 2008-08-15 2013-11-27 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5554951B2 (ja) * 2008-09-11 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2010182822A (ja) * 2009-02-04 2010-08-19 Renesas Electronics Corp 半導体装置およびその製造方法
US8617986B2 (en) * 2009-11-09 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the integrated circuits
KR101150605B1 (ko) * 2010-04-07 2012-06-12 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
CN102403219B (zh) * 2010-09-14 2015-10-07 中微半导体设备(上海)有限公司 一种铜制程等离子刻蚀方法
TWI440140B (zh) * 2011-01-31 2014-06-01 Inotera Memories Inc 記憶體電容結構與其製作方法
US8779592B2 (en) 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8927420B2 (en) 2013-02-04 2015-01-06 Taiwan Semiconductor Manufacturing Company Limited Mechanism of forming semiconductor device having support structure
US9455135B2 (en) 2014-12-07 2016-09-27 United Microelectronics Corp. Method for fabricating semiconductor device
US9865798B2 (en) 2015-02-24 2018-01-09 Qualcomm Incorporated Electrode structure for resistive memory device
US10083863B1 (en) 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
WO2024010059A1 (ja) * 2022-07-07 2024-01-11 積水化学工業株式会社 硬化性樹脂組成物及び有機el表示素子用封止剤

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001203200A (ja) * 2000-01-18 2001-07-27 Hitachi Ltd 半導体装置及びその製造方法
JP2005166716A (ja) * 2003-11-28 2005-06-23 Tokyo Electron Ltd 絶縁膜の形成方法及び絶縁膜形成システム
JP2005197606A (ja) * 2004-01-09 2005-07-21 Toshiba Corp 半導体装置およびその製造方法
JP2005217371A (ja) * 2004-02-02 2005-08-11 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2006059982A (ja) * 2004-08-19 2006-03-02 Fujitsu Ltd 半導体装置およびその製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6261944B1 (en) * 1998-11-24 2001-07-17 Vantis Corporation Method for forming a semiconductor device having high reliability passivation overlying a multi-level interconnect
JP2002026121A (ja) * 2000-06-30 2002-01-25 Tokyo Electron Ltd 半導体装置およびその製造方法、絶縁膜の形成方法
US6469385B1 (en) * 2001-06-04 2002-10-22 Advanced Micro Devices, Inc. Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
JP3914452B2 (ja) * 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP2003092287A (ja) 2001-09-19 2003-03-28 Nec Corp アッシング方法
JP2003282704A (ja) 2002-03-26 2003-10-03 Nec Electronics Corp デュアルダマシンによる半導体装置の製造方法
JP4177993B2 (ja) 2002-04-18 2008-11-05 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP2004207604A (ja) * 2002-12-26 2004-07-22 Toshiba Corp 半導体装置およびその製造方法
US7057287B2 (en) * 2003-08-21 2006-06-06 International Business Machines Corporation Dual damascene integration of ultra low dielectric constant porous materials
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
JP2006019377A (ja) * 2004-06-30 2006-01-19 Mitsui Chemicals Inc 環状シロキサンを含むcvd用絶縁膜原料組成物およびそれを用いた絶縁膜の形成方法
JP4854938B2 (ja) 2004-07-06 2012-01-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4540415B2 (ja) 2004-07-16 2010-09-08 パナソニック株式会社 音響整合部材の製造方法
JP2007035955A (ja) * 2005-07-27 2007-02-08 Toshiba Corp 半導体装置およびその製造方法
JP2007234719A (ja) * 2006-02-28 2007-09-13 Sanyo Electric Co Ltd 半導体装置およびその製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001203200A (ja) * 2000-01-18 2001-07-27 Hitachi Ltd 半導体装置及びその製造方法
JP2005166716A (ja) * 2003-11-28 2005-06-23 Tokyo Electron Ltd 絶縁膜の形成方法及び絶縁膜形成システム
JP2005197606A (ja) * 2004-01-09 2005-07-21 Toshiba Corp 半導体装置およびその製造方法
JP2005217371A (ja) * 2004-02-02 2005-08-11 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2006059982A (ja) * 2004-08-19 2006-03-02 Fujitsu Ltd 半導体装置およびその製造方法

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010134231A1 (ja) * 2009-05-19 2010-11-25 パナソニック株式会社 半導体装置及びその製造方法
US20110083883A1 (en) * 2009-09-30 2011-04-14 Kyocera Corporation Wiring board and mount structure
US8367559B2 (en) 2010-01-26 2013-02-05 Renesas Electronics Corporation Method of manufacturing a semiconductor device
WO2011108456A1 (ja) * 2010-03-01 2011-09-09 大陽日酸株式会社 低誘電率層間絶縁膜および低誘電率層間絶縁膜の成膜方法
JP2011181672A (ja) * 2010-03-01 2011-09-15 Taiyo Nippon Sanso Corp 低誘電率層間絶縁膜および低誘電率層間絶縁膜の成膜方法
CN102237272A (zh) * 2010-05-07 2011-11-09 瑞萨电子株式会社 半导体装置和半导体装置制造方法
JP2011238704A (ja) * 2010-05-07 2011-11-24 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
US8759212B2 (en) 2010-05-07 2014-06-24 Renesas Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
EP2388820A2 (en) 2010-05-19 2011-11-23 Renesas Electronics Corporation Integration of memory cells comprising capacitors with logic circuits comprising interconnects
US8648441B2 (en) 2010-05-19 2014-02-11 Renesas Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
US9337093B2 (en) 2010-07-15 2016-05-10 Renesas Electronics Corporation Method of manufacturing semiconductor device
JP2012038961A (ja) * 2010-08-09 2012-02-23 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
JP2017063235A (ja) * 2012-05-11 2017-03-30 株式会社半導体エネルギー研究所 半導体装置
JP2020021869A (ja) * 2018-08-02 2020-02-06 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP7116619B2 (ja) 2018-08-02 2022-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP7493400B2 (ja) 2019-09-13 2024-05-31 東京エレクトロン株式会社 エッチング方法、プラズマ処理装置、及び基板処理システム

Also Published As

Publication number Publication date
US8043957B2 (en) 2011-10-25
JP5482881B2 (ja) 2014-05-07
JP5168142B2 (ja) 2013-03-21
JPWO2007132879A1 (ja) 2009-09-24
US8278763B2 (en) 2012-10-02
US20120013023A1 (en) 2012-01-19
US20090267198A1 (en) 2009-10-29
JP2013093602A (ja) 2013-05-16

Similar Documents

Publication Publication Date Title
JP5168142B2 (ja) 半導体装置
US11177167B2 (en) Ultrathin multilayer metal alloy liner for nano Cu interconnects
US7088003B2 (en) Structures and methods for integration of ultralow-k dielectrics with improved reliability
US10854508B2 (en) Interconnection structure and manufacturing method thereof
JP5093479B2 (ja) 多孔質絶縁膜の形成方法
CN102237272B (zh) 半导体装置和半导体装置制造方法
JP5349789B2 (ja) 多層配線の形成方法
JP4656147B2 (ja) 多孔質絶縁膜の形成方法および半導体装置
WO2007091574A1 (ja) 多層配線構造および多層配線の製造方法
JP2008288234A (ja) 半導体装置及び半導体装置の製造方法
JP2004235548A (ja) 半導体装置およびその製造方法
JP2005223012A (ja) 半導体装置
US8390135B2 (en) Semiconductor device
JP4854938B2 (ja) 半導体装置およびその製造方法
JP5679662B2 (ja) 誘電体キャップ層
JPH08306787A (ja) 半導体装置及び半導体装置の製造方法
JP2004253780A (ja) 半導体装置およびその製造方法
JP2011142169A (ja) 半導体装置及びその製造方法
JP2004253781A (ja) 半導体装置およびその製造方法
JP2006049655A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07743447

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 12299375

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 2008515581

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07743447

Country of ref document: EP

Kind code of ref document: A1