JP2020096174A - エッチング処理方法及び基板処理装置 - Google Patents

エッチング処理方法及び基板処理装置 Download PDF

Info

Publication number
JP2020096174A
JP2020096174A JP2019183953A JP2019183953A JP2020096174A JP 2020096174 A JP2020096174 A JP 2020096174A JP 2019183953 A JP2019183953 A JP 2019183953A JP 2019183953 A JP2019183953 A JP 2019183953A JP 2020096174 A JP2020096174 A JP 2020096174A
Authority
JP
Japan
Prior art keywords
etching
film
opening
pattern
etched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019183953A
Other languages
English (en)
Other versions
JP7346218B2 (ja
Inventor
泰光 昆
Yasumitsu Kon
泰光 昆
敦司 宇藤
Atsushi Uto
敦司 宇藤
黎夫 李
Lifu Li
黎夫 李
智典 三輪
Tomonori Miwa
智典 三輪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to TW108143087A priority Critical patent/TW202036714A/zh
Priority to KR1020190158700A priority patent/KR20200069236A/ko
Priority to US16/704,129 priority patent/US11264248B2/en
Priority to CN201911244697.6A priority patent/CN111293041A/zh
Publication of JP2020096174A publication Critical patent/JP2020096174A/ja
Application granted granted Critical
Publication of JP7346218B2 publication Critical patent/JP7346218B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】被エッチング膜をマスクの異なるパターンに同時にエッチングするときのCDを制御する。【解決手段】被エッチング膜の上に第1の開口の凹部及び第2の開口の凹部のパターンを有するマスクが形成された基板をエッチングする処理方法であって、所定の深さまで前記被エッチング膜をエッチングする第1のエッチング工程と、前記第1のエッチング工程の後、前記マスクの上に保護膜を堆積する堆積工程と、前記堆積工程の後、前記被エッチング膜をエッチングする第2のエッチング工程と、を含み、前記第1の開口は、前記第2の開口より小さく、前記堆積工程は、前記第1の開口の凹部を閉塞させ、前記第2の開口の凹部を閉塞させない、エッチング処理方法が提供される。【選択図】図4

Description

本開示は、エッチング処理方法及び基板処理装置に関する。
特許文献1は、酸化層をエッチングする際に、ホールの開口の閉塞を抑制することが可能なプラズマ処理方法及びその装置を提案している。
特許文献2は、基板をエッチャントに曝すことにより、犠牲層を形成してトレンチ構造の上部開口部を閉塞することを提案している。犠牲層を除去すると、変形トレンチ断面形状が露出し、元のトレンチ断面形状と変形トレンチ断面形状とを比較すると、相対的に多くの量の材料がトレンチ構造の上部から除去されて、上部開口部の幅が広くなるため、埋め込み工程で埋め込み易くなることを開示する。
特開2014−090022号公報 特表2012−510164号公報
本開示は、被エッチング膜をマスクの異なるパターンに同時にエッチングするときのCDを制御することが可能なエッチング処理方法及び基板処理装置を提供する。
本開示の一の態様によれば、被エッチング膜の上に第1の開口の凹部及び第2の開口の凹部のパターンを有するマスクが形成された基板をエッチングする処理方法であって、所定の深さまで前記被エッチング膜をエッチングする第1のエッチング工程と、前記第1のエッチング工程の後、前記マスクの上に保護膜を堆積する堆積工程と、前記堆積工程の後、前記被エッチング膜をエッチングする第2のエッチング工程と、を含み、前記第1の開口は、前記第2の開口より小さく、前記堆積工程は、前記第1の開口の凹部を閉塞させ、前記第2の開口の凹部を閉塞させない、エッチング処理方法が提供される。
一の側面によれば、被エッチング膜をマスクの異なるパターンに同時にエッチングするときのCDを制御することが可能なエッチング処理方法及び基板処理装置を提供する。
一実施形態に係る基板処理装置の一例を示す断面模式図。 異なるパターンにエッチングするときの従来のプロセス例を示す図。 異なるパターンに被エッチング膜をエッチングするときの本実施形態と比較例とを比較する図。 一実施形態に係るエッチング処理方法の各工程を示す図。 一実施形態に係る堆積工程を説明するための図。 一実施形態に係る堆積量とパラメータとの関係を示す図。 一実施形態に係るパラメータの調整とオーバーハング形状との関係を示す図。 一実施形態に係るエッチング処理方法の一例を示すフローチャート。 一実施形態に係る側壁堆積量の一例を示す図。 一実施形態に係るエッチング処理方法による処理結果の一例を示す図。 一実施形態の変形例1に係るエッチング処理方法の各工程を示す図。 一実施形態の変形例2に係るエッチング処理方法の各工程を示す図。 一実施形態に係る処理システムの一例の平面模式図。
以下、本開示を実施するための形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の構成については、同一の符号を付することにより重複した説明を省く。
[基板処理装置]
一実施形態に係る基板処理装置1について、図1を用いて説明する。図1は、一実施形態に係る基板処理装置1の一例を示す断面模式図である。ここでは、基板処理装置1の一例として容量結合型プラズマエッチング装置を挙げて説明する。
基板処理装置1は、例えばアルミニウム等の導電性材料からなるチャンバ2と、制御部100とを有する。チャンバ2は電気的に接地されている。チャンバ2の内部には下部電極21と、下部電極21に対向する上部電極22とを有する。下部電極21は、ウェハWを載置する載置台としても機能する。上部電極22は、ガスをシャワー状に供給するシャワーヘッドとしても機能する。下部電極21と上部電極22との間は、ウェハWを処理する処理空間である。
下部電極21は、整合器33を介して高周波電源32と接続する。また、下部電極21は、整合器35を介して高周波電源34と接続する。高周波電源32は、例えば40〜100MHzの周波数のプラズマ生成用の高周波電力HFを下部電極21に印加する。高周波電源34は、高周波電力HFの周波数、例えば40MHzよりも低い、例えば400KHz〜13MHzのイオン引き込み用の高周波電力LFを下部電極21に印加する。
整合器33は、高周波電源32の出力インピーダンスに負荷インピーダンスを整合させる。整合器35は、高周波電源34の出力インピーダンスに負荷インピーダンスを整合させる。これにより、チャンバ2の内部にプラズマが生成されているときには、高周波電源32及び高周波電源34の各々について、出力インピーダンスと負荷インピーダンスとが見かけ上一致するように機能する。
上部電極22は、その周縁部を被覆する絶縁体のシールドリング41を介してチャンバ2の天井部に取り付けられている。上部電極22には、ガス供給源11から導入されたガスを導入するガス導入口45が形成されている。ガス供給源11から出力されたガスは、ガス導入口45を介して拡散室51に供給され、ガス流路55を経て、ガス孔28から処理空間へと供給される。
チャンバ2の底面には排気口64を介して排気装置65が設けられている。排気装置65はチャンバ2の内部が所定の真空度に維持されるように内部を排気する。チャンバ2の側壁には、ゲートバルブGが設けられ、ゲートバルブGの開閉に応じてチャンバ2からウェハWの搬入及び搬出を行う。
基板処理装置1には、装置全体の動作を制御する制御部100が設けられている。制御部100のCPU101は、ROM102及びRAM103等のメモリに格納されたレシピに従ってエッチング等のプラズマ処理を実行する。レシピには、プロセス条件に対する装置の制御情報であるプロセス時間、圧力(ガスの排気)、高周波電力や電圧、各種ガス流量が設定されてもよい。また、レシピには、チャンバ内温度(上部電極温度、チャンバの側壁温度、ウェハW温度、静電チャック温度等)、チラーから出力される冷媒の温度、などが設定されてもよい。なお、これらのプロセスの手順や条件を示すレシピは、ハードディスクや半導体メモリに記憶されてもよい。また、レシピは、CD−ROM、DVD等の可搬性のコンピュータにより読み取り可能な記憶媒体に収容された状態で所定位置にセットされ、読み出されるようにしてもよい。
[従来のプロセス例]
かかる構成の基板処理装置1を用いて被エッチング膜を、異なるサイズのパターン及び異なる深さにエッチングする場合がある。その際の従来のプロセス例について図2を参照して説明する。
まず、図2(a)に示すように、シリコン基板10上に被エッチング膜20が形成され、被エッチング膜20に2種類のエッチングストップ層30、40が設けられ、被エッチング膜20上に所定パターンのマスク60が形成されたウェハWを準備する。
エッチングストップ層40は、エッチングストップ層30よりも浅い位置に設けられ、同じ高さで3つに離隔している。エッチングストップ層30は、エッチングストップ層40よりも深い位置に異なる高さで3層設けられている。エッチングストップ層30の端部は、深い位置に形成されているエッチングストップ層30ほど長くなるように段差になっている。
従来のプロセスでは、図2(a)に示すマスク60によりエッチングストップ層40側の被エッチング膜20をエッチングせずに、図2(b)に示すようにエッチングを行い、各エッチングストップ層30でエッチングを停止させる。これにより、外側から内側に向けて順に浅くなる穴が被エッチング膜20に形成される。
次に、図2(c)に示すようにマスク60をアッシングにより除去し、洗浄する。次に、図2(d)に示すマスク61によりエッチングストップ層40側の被エッチング膜20をエッチングし、図2(e)に示すようにエッチングストップ層40でエッチングを停止させる。次に、図2(f)に示すようにマスク61をアッシングにより除去し、洗浄する。
このように従来のプロセスでは、異なるサイズのパターンのエッチングを別々の工程で行うため、工程数が多く、プロセス時間が長い。このため、プロセス時間の短縮及び工数低減によるコスト削減が望まれる。そこで、プロセス時間を短縮し、工数を低減するために、異なるパターンのエッチングを同時に行うことが考えられる。
例えば、図3(a)に示すように、細穴用のパターン5と太穴用のパターン6を有するマスク50を形成し、同時にパターン5、6に被エッチング膜20をエッチングする。しかし、この方法では、細穴のエッチングがエッチングストップ層40まで達した後、太穴のエッチングがエッチングストップ層30に達し、終了するまで、細穴がオーバーエッチングされる。この結果、比較例に示すように、隣接する細穴が繋がってショートしたり(図3(c)のA参照)、エッチングストップ層40を踏み外して、細穴をエッチングストップ層40の外側から更に深くエッチングしたり(図3(c)のB参照)することがある。この場合、細穴及び太穴のそれぞれのCD(Critical Dimension)を寸法通りに制御できない。
[エッチング処理工程]
そこで、一実施形態に係るエッチング処理方法では、図3(b)に示すように、異なるサイズのパターンであって、異なる深さの細穴及び太穴をそれぞれのCDを寸法通りに制御しながら、細穴及び太穴を同時にエッチングする。かかるエッチング処理工程について、図4を参照しながら説明する。図4は、一実施形態に係るエッチング処理工程の一例を示す図である。
ます、図4(a)に示すように、シリコン基板10上に被エッチング膜20が形成され、被エッチング膜20に2種類のエッチングストップ層30、40が設けられ、被エッチング膜20上に所定パターンのマスク50が形成されたウェハWを準備する。
被エッチング膜20の上には、細穴用のパターン5と太穴用のパターン6を有するマスク50が形成されている。細穴用のパターン5は第1の開口の凹部のパターンの一例であり、太穴用のパターン6は第2の開口の凹部のパターンの一例である。第1の開口は、第2の開口より小さい。第1の開口及び第2の開口は、ホールであってもよいし、ライン状の溝であってもよい。第1の開口及び第2の開口がホールの場合、CDはそれぞれの開口の直径である。第1の開口及び第2の開口が溝の場合、CDはそれぞれの溝の幅である。なお、被エッチング膜20内に形成されたエッチングストップ層30、40の配置は、図3(a)に示したエッチングストップ層30、40の配置と同様であるため、説明を省略する。
マスク50は、有機膜である。ただし、マスク50の材質はこれに限られず、ポリシリコン膜やシリコン酸化膜などのシリコン含有膜でもよいし、チタン窒化膜やタングステンなどの金属含有膜でもよい。被エッチング膜20は、シリコン酸化膜である。ただし、被エッチング膜20の材質はこれに限られず、ポリシリコン膜、シリコン窒化膜、シリコン酸化膜とシリコン窒化膜の積層膜等のシリコン含有膜であってもよい。被エッチング膜20とマスクとは異なる材質であって、マスク選択比が所定以上である材質を組み合わせる。例えば、被エッチング膜20がシリコン酸化膜やシリコン酸化膜とシリコン窒化膜の積層膜等のシリコン含有膜である場合、マスク50は有機膜やポリシリコン膜が選択されることが望ましい。また、被エッチング膜20がポリシリコン膜である場合、マスク50はシリコン酸化膜が選択されることが望ましい。
エッチングストップ層40は、例えば、ポリシリコンから形成されてもよい。エッチングストップ層30は、例えば、タングステンから形成されてもよい。本実施形態では、エッチングストップ層30、40は電極として機能するが、これに限られない。
本実施形態に係るエッチング処理方法は、図4(b)に示す第1のエッチング工程、図4(c)に示す堆積工程、図4(d)に示す第2のエッチング工程の3つの工程を有する。3つの工程について順に説明する。
(第1のエッチング工程)
第1のエッチング工程は、図4(b)に示す細穴用のパターン5と太穴用のパターン6に被エッチング膜20をエッチングする。第1のエッチング工程は、所定の深さまで被エッチング膜20をエッチングする。所定の深さは、第1のエッチング工程にてマスク50の細穴用のパターン5にエッチングされる被エッチング膜のエッチング深さである。第1のエッチング工程は、エッチングストップ層40にて細穴のエッチングが停止するまで被エッチング膜20をエッチングする。第1のエッチング工程のプロセス条件を以下に示す。
<プロセス条件>
高周波電力 HF 40MHz、1500W LF 3.2MHz、1500W
圧力 30mTorr(4.0Pa)
ガス種 Cガス、Oガス、Arガス
(堆積工程)
第1のエッチング工程の後、図4(c)に示すように、マスク50上に保護膜70を堆積する堆積工程を実行する。堆積工程は、細穴用のパターン5を閉塞させ、太穴用のパターン6を閉塞させないように保護膜70を堆積させる。例えば、堆積工程は、マスク50のパターン5,6の側壁に堆積する保護膜70の堆積量をDとしたとき(図5参照)、パターン5、6がホールの場合には式(1)が成り立つように制御される。
細穴用のパターン5の直径<2×D<太穴用のパターン6の直径・・・(1)
また、堆積工程は、パターン5、6が溝の場合には式(2)が成り立つように制御される。
細穴用のパターン5の溝の幅≦2×D<太穴用のパターン6の溝の幅・・・(2)
堆積工程のプロセス条件を以下に示す。
<プロセス条件>
高周波電力 HF 1500W LF 4500W
圧力 15mTorr(2.0Pa)
ガス種 Cガス、Cガス、Oガス、Arガス
ただし、ガス種は、これに限られず、CとFとを含むガスを含んでいればよい。CとFとを含むガスの一例としては、C、C、C、Cなどのフロロカーボンガスや、CH、CH3Fなどのハイドロフロロカーボンガスが挙げられる。堆積工程では、CH、C、C、Cなどのハイドロカーボンガスを供給してもよい。さらに、Oを含むガスを添加してもよい。ガス中のOの流量を制御することにより、パターン5の閉塞の微調整が可能になる。Oを含むガスの一例としては、O、CO、COが挙げられる。
(第2のエッチング工程)
第2のエッチング工程は、図4(d)に示すように、被エッチング膜20をエッチングし、太穴用のパターン6に対するエッチングを深くする。第2のエッチング工程は、被エッチング膜20を各エッチングストップ層30までエッチングする。第2のエッチング工程は、太穴用のパターン6のエッチングを行い、細穴用のパターン5のエッチングを行わない。第2のエッチング工程のプロセス条件を以下に示す。
<プロセス条件>
高周波電力 HF 1500W LF 6000W
圧力 30mTorr(4.0Pa)
ガス種 Cガス、Cガス、Oガス、Arガス
第2のエッチング工程の間、細穴側のパターン5は保護膜70により閉塞されている。これにより、細穴がオーバーエッチングされることで、隣接する細穴が繋がってショートしたり、エッチングストップ層40を踏み外す(図3(c)のA、B)ことを防止し、図4(d)のCに示すように、細穴を適正なCD値に制御することができる。
第2のエッチング工程では、堆積工程にて堆積した保護膜70が第2のエッチング工程の間に除去され、マスク50のパターン5が開口することを防止する必要がある。そこで、堆積工程にてマスク50上に堆積する保護膜70は、第2のエッチング工程において被エッチング膜20との選択性を有する膜であることが重要である。換言すれば、保護膜70は、第2のエッチング工程において被エッチング膜20との選択性を有する膜であれば、有機膜に限られない。例えば、被エッチング膜20がシリコン酸化膜やシリコン酸化膜とシリコン窒化膜の積層膜等のシリコン含有膜である場合、保護膜70として有機膜やシリコン膜が堆積されることが望ましい。被エッチング膜20がポリシリコン膜である場合、保護膜70としてシリコン酸化膜やシリコン窒化膜が堆積されることが望ましい。また、タングステンなどの金属膜が堆積されてもよい。有機膜以外の保護膜70を形成する場合、例えば、堆積工程のプロセス条件のガス種として、シリコン含有ガスと添加ガスを用いることによって、シリコン酸化膜やシリコン窒化膜などのシリコン含有膜が保護膜70として形成される。また、スパッタリング技術を用いてシリコン膜やタングステンなどの金属膜が形成される。
第2のエッチング工程では、太穴用のパターン6のエッチングを行うため、堆積工程にて太穴用のパターン6の底部には保護膜70が形成されない方が望ましい。そのためには堆積工程において形成される保護膜70の形状は、コンフォーマルではなく、図4(c)のようにオーバーハング形状になることが望ましい。すなわち、太穴用のパターン6の上部および上部側壁に堆積する保護膜70の厚みに比べ、太穴用のパターン6の底部には保護膜70が形成されない、もしくは形成される保護膜70は非常に薄いことが望ましい。
堆積工程においてオーバーハング形状の堆積を行うには、比較的吸着係数が高い堆積プリカーサを用いることが有効である。例えば、堆積工程のガス種として例示されるフロロカーボンガスやハイドロカーボンガスのうち、C、C、CH等の高分子ガス、炭素含有比率が高いガス(例えばフッ素含有量に対する炭素含有量の比率が高いガス)、不飽和結合を有するガスのプラズマを用いることが有効である。
なお、プラズマによってガス種から解離してプリカーサが生成されるが、吸着係数がより高いプリカーサを生成するには、より高分子な状態、すなわち解離度が低い状態であることが望ましい。その場合、プラズマ生成用の高周波電力HFを低くする、又はレジデンスタイムが短くなるよう処理空間へと供給されるガスの総流量を多くすることが望ましい。また、生成されたプリカーサがより太穴用のパターン6の上部および上部側壁に吸着しやすくするために、平均自由工程が短くなるプロセス処理条件の圧力を高くすることが望ましい。
もし、太穴用のパターン6の底部に薄い保護膜70が形成された場合、第2のエッチング工程での太穴用のパターン6のエッチングにおいて阻害要因になる。このため、堆積工程と第2のエッチング工程との間に、太穴用のパターン6の底部に形成された保護膜を除去する工程を挿入することとなる。この場合、第2のエッチング工程とは異なる条件を用いてもよいし、同じ条件を用いてもよい。第2のエッチング工程とは異なる条件の一例は、Oガスのプラズマによる有機膜を除去可能な条件である。第2のエッチング工程と同じ条件を用いる場合、第2のエッチング工程の処理条件によって保護膜70も多少エッチングされる。このため、太穴用のパターン6の底部に形成された保護膜70が無くなるまでは、保護膜を除去する工程であり、そのまま連続して、被エッチング膜20をエッチングする第2のエッチング工程を行うこととなる。いずれの場合も、堆積工程で閉塞した細穴用のパターン5が再び開口しない程度に処理することとなる。
なお、太穴用のパターン6の底部に形成された保護膜70を除去する工程を挿入するとその分処理時間の増加に繋がる。よって、処理時間の増加の抑制のためにも、堆積工程において太穴用のパターン6の底部には保護膜70が形成されない、もしくは形成される保護膜70は非常に薄いことが望ましい。
また、第2のエッチング工程の間にマスク50のパターン5が開口することを防止するために、堆積工程と第2のエッチング工程とを所定回数繰り返してもよい。所定回数は、予め設定され、1回であってもよいし複数回であってもよい。
なお、堆積工程では、マスク50のパターン5,6に応じた被エッチング膜20の細穴のCD値及び太穴のCD値の大小関係に関わらず、堆積レートはほぼ同一であるとして考察する。
堆積工程及び第2のエッチング工程を所定回数繰り返すことで、マスク50のパターン5が開口することを防止したり、マスク50のパターン5が開口した場合においてもパターン5を再び閉塞させることができる。
[パラメータの調整]
一実施形態に係るエッチング処理方法では、例えば、図5に示すように、マスク50は、太穴用のパターン6が形成されたマスクパターンの第1領域と、細穴用のパターン5が形成されたマスクパターンの第2領域とを有する。このマスク50を使用して被エッチング膜20を異なるパターンに同時にエッチングし、細穴と太穴とを同時に形成する。このとき、細穴用のパターン5の凹部のCD1と、太穴用のパターン6の凹部のCD2との間に、CD1≦2×D<CD2の関係式が成り立つように、パラメータを調整し、マスク50のパターンの側壁に堆積する保護膜70の堆積量Dを制御する。これにより、堆積工程において、細穴用のパターン5を閉塞させ、太穴用のパターン6を閉塞させないように制御することができる。
CD1≦2×D<CD2の関係式が成り立つようにエッチング処理方法にて使用可能なパラメータの一例を図6に示す。図6は、一実施形態に係る堆積量(側壁堆積量D)とパラメータの関係を示すグラフである。
図6(a)は、堆積工程の処理時間Tに対するマスク50のパターンの側壁堆積量Dの一例を示すグラフである。図6(b)は、ガス全体に対するOガスの分圧PO2又はC/Cの流量比に対する側壁堆積量Dの一例を示すグラフである。図6(c)は、チャンバ内の圧力Pに対する側壁堆積量Dの一例を示すグラフである。
図6(a)の例では、時刻Tのときに図5に示すCD1が閉塞する側壁堆積量Dであり、時刻Tのときに図5に示すCD2が閉塞する側壁堆積量Dであるとする。制御部100は、CD1≦2×D<CD2の関係式が成り立つように、堆積工程の処理時間Tを開始時刻から時刻Tまでの時間又はそれ以上の時間であって、開始時刻から時刻Tまでの時間よりも短い時間に調整する。例えば、堆積工程を、時刻T以上であって時刻Tよりも前の時刻Tに終了するように制御する。これにより、堆積工程において、細穴用のパターン5を閉塞させ、太穴用のパターン6を閉塞させないようにすることができる。エッチング処理方法において使用するパラメータ及びその調整は、堆積工程の処理時間に限られず、全体のガスに対するOガスの分圧、C/Cの流量比、チャンバの圧力の少なくともいずれかであればよい。
次に、堆積工程における堆積後の形状について、パラメータの調整によって保護膜70のオーバーハング形状とコンフォーマル形状とが制御できる様子を、図7を参照しながら説明する。図7は、一実施形態に係るパラメータの調整とオーバーハング形状との関係を示す図である。
図7(a)に示すように、マスク50の上面に堆積される保護膜70の厚さをt1とし、太穴用のパターン6の底部に堆積される保護膜70の厚さをt2とする。太穴用のパターン6の底部の保護膜70が厚くなると、エッチストップが生じる。よって、エッチストップを生じさせないためには、保護膜70がオーバーハング形状になることが望ましい。すなわち、太穴用のパターン6の上部および上部側壁に堆積する保護膜70の厚みに比べ、太穴用のパターン6の底部には保護膜70が形成されない、もしくは形成される保護膜70が非常に薄いことが望ましい。
例えば、保護膜70は、t1に比べt2が大きい(t1>t2)状態で、t1/t2を大きくする程オーバーハング形状になり、t1/t2が小さくなり、t1/t2=1に近くなる程コンフォーマル形状になる。そして、保護膜70をオーバーハング形状にする程エッチストップを回避し、エッチングがスムーズに行われ、エッチング時間を短縮できる。そこで、本実施形態では、パラメータの調整によって保護膜70をオーバーハング形状に制御する。
保護膜70の形状を制御するパラメータとして、図7(b)ではガス種の割合を制御し、図7(c)では基板温度を制御し、図7(d)では高周波電力HFパワーを制御し、図7(e)ではガスのレジデンスタイムを制御する。
また、このときの堆積工程のプロセス条件を以下に示す。
<プロセス条件>
高周波電力 HF 1500W LF 4500W
圧力 15mTorr(2.0Pa)
ガス種 CHガス、Cガス、Arガス
基板温度 10℃
図7(b)に示すように、CH/Cが大きい程t1/t2が大きくなり、保護膜70をオーバーハング形状に制御できる。なお、CHの替わりにCを用いたときも同様であり、C/Cが大きくなる程、t1/t2が大きくなり、保護膜70をオーバーハング形状に制御できる。なお、CH及びCは堆積性の高いガスの一例であり、Cは堆積性の低いガスの一例である。つまり、堆積性の低いガスに対して退席性の高いガスの流量を増やすほど、オーバーハング形状に制御できる。
また、図7(c)に示すように、基板温度が低い程t1/t2が大きくなり、保護膜70をオーバーハング形状に制御できる。また、図7(d)に示すように、HFパワーが低い程t1/t2が大きくなり、保護膜70をオーバーハング形状に制御できる。
また、図7(e)に示すように、レジデンスタイムが短い程t1/t2が大きくなり、保護膜70をオーバーハング形状に制御できる。レジデンスタイムが長い、つまり、ガスがプラズマ生成空間にいる時間が長くなる程ガスの解離が進み、太穴用のパターン6の内部へ移動し易くなり、t1がより下がるためである。
図7(b)〜(e)の各パラメータの制御はプリカーサの吸着係数と関連する。プリカーサの吸着係数が高くなると、太穴用のパターン6の間口(上部や上部側壁)への付着が高くなり、その分、太穴用のパターン6の底部への付着量が少なくなる。すなわち、各パラメータの制御によりプリカーサの吸着係数が大きくなるとt1が上がり、太穴用のパターン6の上部や上部側壁への付着量が多くなり、底部への付着量が少なくなる。逆に、各パラメータの制御によりプリカーサの吸着係数が小さくなるとt1が下がり、太穴用のパターン6の上部や上部側壁への付着量が少なくなり、底部への付着量が多くなる。以上から、プリカーサの吸着係数が大きくなるように、図7(b)〜(e)に示した複数のパラメータのうちの少なくとも一つを制御することで、エッチストップを回避し、エッチングをスムーズに行うことができる。なお、太穴用のパターン6の上部側壁は、パターン6の側壁の中央よりも略上側の側壁である。
以上の制御により、堆積工程において太穴用のパターン6の凹部のパターンの底部に堆積する保護膜70の堆積量は、パターン6の凹部のパターンの上部及び上部側壁に堆積する保護膜70の堆積量より少ない、もしくは堆積されないようにすることができる。
また、以上の制御により、堆積工程において、細穴用のパターン5の凹部のパターンの側壁及び太穴用のパターン6の側壁に堆積する保護膜70の形状を、側壁の中央よりも略上側が中央よりも略下側よりも厚くなる、オーバーハング形状にすることができる。
[エッチング処理方法]
以下では、堆積工程の処理時間を調整する例を挙げて、一実施形態に係るエッチング処理について、図8を参照しながら説明する。図8は、一実施形態に係るエッチング処理方法の一例を示すフローチャートである。図8のエッチング処理は、制御部100により制御される。
本処理が開始されると、ステップS1において、制御部100は、被エッチング膜20の上に細穴のパターン5及び太穴のパターン6を有するマスク50が形成されたウェハWをチャンバ2内に搬入し、準備する。これにより、図4(a)に示す構造を有するウェハWが載置台(下部電極21)に置かれる。
次に、ステップS3において、制御部100は、第1のエッチング工程を実行する。これにより、図4(b)に示すように、被エッチング膜20が細穴のパターン5及び太穴のパターン6にエッチングされ、細穴のパターン5のエッチングはエッチングストップ層40により停止する。
次に、ステップS5において、制御部100は、堆積工程を実行する。次に、ステップS7において、制御部100は、処理時間が経過したかを判定する。制御部100は、図6(a)に一例を示すように、CD1≦2×D<CD2の関係式が成り立つ時刻T〜時刻Tの間の時刻(例えば時刻T)を予め設定し、時刻Tを経過していないと判定すると、ステップS5及びステップS7の処理を繰り返す。一方、制御部100は、時刻Tを経過したと判定すると、ステップS9に進む。これにより、図4(c)に示すように、マスク50上に細穴のパターン5を閉塞させ、太穴のパターン6を閉塞させない保護膜70が形成される。
次に、ステップS9において、制御部100は、第2のエッチング工程を実行する。次に、ステップS11において、制御部100は、所定回数繰り返したかを判定する。所定回数は、予め定められた回数である。制御部100は、所定回数繰り返していないと判定した場合、ステップS5に戻り、ステップS5〜S9の処理(堆積工程及び第2のエッチング工程)を再度実行する。一方、ステップS11において、制御部100は、所定回数繰り返したと判定した場合、本処理を終了する。これにより、図4(d)に示すように、各エッチングストップ層30まで被エッチング膜20が太穴のパターン6にエッチングされる。
なお、堆積工程における保護膜70の堆積レートは、マスク50のパターン5,6に応じた被エッチング膜20の細穴のCD値及び太穴のCD値の大小関係に関わらず、ほぼ同一であるとして考察してきた。しかし、マスク50のパターン5,6の形状が、例えば、垂直形状か、テーパ形状か、によっても異なる場合がある。
この場合、堆積工程は、マスク50のパターン5、6の側壁に堆積する保護膜70の堆積量をD1、D2としたとき、細穴用のパターン5の凹部のCD1及び太穴用のパターン6の凹部のCD2の間に以下の関係式が同時に成り立つように、パラメータを調整する。
CD1≦2×D1、且つ2×D2<CD2
これにより、マスク50のパターンの側壁に堆積する保護膜70の堆積量D1および堆積量D2を制御することとなる。
図9の例では、時刻TのときにCD1が閉塞する側壁堆積量D1であり、時刻TのときにCD2が閉塞する側壁堆積量D2であるとする。制御部100は、CD1≦2×D1、且つ2×D2<CD2の関係式が同時に成り立つように、堆積工程の処理時間Tを開始時刻から時刻Tまでの時間又はそれ以上の時間であって、開始時刻から時刻Tまでの時間よりも短い時間に調整する。
[実験結果]
以上に説明したエッチング処理方法を、基板処理装置1にて実行した結果の一例について、図10を参照しながら説明する。図10は、一実施形態に係るエッチング処理方法を実行したときの実験結果の一例を示す図である。なお、本実験においては、図8のステップS11における予め定められた所定回数は1回である。
図10(a)は、第1のエッチング工程を実行した後のウェハW上の構造を示す縦断面図である。被エッチング膜20の一部が、マスク50の細穴用のパターン5にエッチングされている。なお、図10(a)では、被エッチング膜20の一部が、マスク50の太穴用のパターン6にエッチングされている状態は省略している。
図10(b)は、堆積工程を実行した後のウェハW上の構造を示す縦断面図である。図10(b)の左側では、マスク50の細穴用のパターン5の上部が、保護膜70により閉塞されている。一方、図10(b)の右側では、被エッチング膜20に形成された太穴用のパターン6の上部及び上部側壁に保護膜70が堆積しているが、マスク50の太穴用のパターン6は閉塞されていない。
図10(c)は、第2のエッチング工程を実行した後のウェハW上の構造を示す縦断面図である。図10(c)の左側では、被エッチング膜20に形成された細穴用のパターン5は保護膜70により閉塞された状態を維持している。一方、図10(c)の右側では、被エッチング膜20に形成された太穴用のパターン6は開口しているため、各エッチングストップ層30までエッチングすることができる。太穴をエッチングする間、細穴用のパターン5は保護膜70により閉塞されているため、細穴はオーバーエッチングされない。このため、細穴のCDを維持しながら、太穴をエッチングストップ層30までエッチングできる。
図10(c)が示すように、第2のエッチング工程を実行している間、細穴用のパターン5は閉塞させながら、太穴用のパターン6の開口は維持することが可能な条件を用いることが望ましい。第2のエッチング工程によって保護膜70も多少エッチングされ減少する。しかし、第2のエッチング工程におけるプロセス条件に含まれるCガスなどの堆積性ガスの流量やOガスの分圧などを制御することによって、細穴用のパターン5の閉塞状態が再び開口しない程度に調整可能となる。
また、第2のエッチング工程によって保護膜70がエッチングされ細穴用のパターン5の閉塞状態が再び開口してしまう恐れがある場合は、開口してしまう前に第2のエッチング工程を停止する。そして、堆積工程(ステップS5)および第2のエッチング工程(ステップS9)を繰り返すことが望ましい。
以上、本実施形態に係るエッチング処理方法によれば、第1のエッチング工程、堆積工程及び第2のエッチング工程を実行することで、被エッチング膜20をマスク50の異なるパターン5,6に同時にエッチングするときの細穴及び太穴のCDを制御できる。
[変形例]
図4(b)では、細穴がエッチングストップ層40にてエッチングを停止するまで、エッチング処理を実行したが、これに限られない。
(変形例1)
例えば、変形例1に係るウェハWは、図11(a)に示すように、細穴用のパターン5と太穴用のパターン6のマスク50の下に被エッチング膜20を形成し、その下にエッチングストップ層30を形成する構造を有する。エッチングストップ層30は、細穴用のパターン5と太穴用のパターン6の下方にて同じ高さに一体的に設けられる。かかる変形例1のウェハWの構成においても、上記の3工程を有するエッチング処理方法を使用することができる。
第1のエッチング工程では、図11(b)に示すように、細穴用のパターン5にエッチングされた細穴のエッチングレートが、太穴用のパターン6にエッチングされた太穴のエッチングレートよりも高い。よって、細穴が太穴よりも先にエッチングストップ層30に到達する。細穴がエッチングストップ層30に到達すると、第1のエッチング工程が終了する。
第1のエッチング工程の後の堆積工程では、図11(c)に示すように、保護膜70がマスク50の細穴用のパターン5を閉塞し、太穴用のパターン6を閉塞しないように制御される。
堆積工程の後の第2のエッチング工程では、図11(d)に示すように、太穴がエッチングストップ層30に到達するまでエッチングを実行する。第2のエッチング工程の間、細穴用のパターン5の開口は、保護膜70により閉塞されている。このため、細穴がオーバーエッチングされず、細穴のCDを維持しながら、太穴をエッチングストップ層30までエッチングできる。
(変形例2)
変形例2では、細穴及び太穴でターゲットとするエッチングの深さが異なる。変形例2に係るウェハWは、図12(a)に示すように、図11(a)の変形例1に係るウェハWの構造と同じであるため、ここでは説明を省略する。
第1のエッチング工程では、図12(b)に示すように、細穴用のパターン5にエッチングされた細穴のエッチングレートが、太穴用のパターン6にエッチングされた太穴のエッチングレートと同じである。よって、第1のエッチング工程が終了したとき、細穴と太穴の深さH1は同じになる。
第1のエッチング工程の後の堆積工程では、図12(c)に示すように、保護膜70がマスク50の細穴用のパターン5を閉塞し、太穴用のパターン6を閉塞しない。
堆積工程の後の第2のエッチング工程では、図12(d)に示すように、第2のエッチング工程により太穴をエッチングストップ層30に到達する前の深さH2までエッチングする。細穴用のパターン5の開口は、保護膜70により閉塞されている。このため、細穴はオーバーエッチングされず、深さH1の細穴のCDを維持しながら、太穴を予め設定された深さH2までエッチングすることができる。変形例2では、エッチングストップ層30がなくてもよい。つまり、上記に説明したエッチング処理方法は、エッチングストップ層がない被エッチング膜20をエッチングする際にも使用することができる。
以上、変形例1、2に係るエッチング処理方法によれば、第1のエッチング工程、堆積工程及び第2のエッチング工程を実行することで、被エッチング膜20をマスク50の異なるパターン5,6に同時にエッチングするときのCDを制御することができる。
[処理システム]
上記実施形態及び変形例1,2に係るエッチング処理方法にて実行する第1のエッチング工程、堆積工程及び第2のエッチング工程は、真空を破らず、大気に暴露されることなく、すなわち真空を維持したまま実行することができる。大気に暴露されることなく実行する方法としては、同一のチャンバで実行してもよいし、同一の処理システムで(In−Situで)実行してもよい。ただし、第1のエッチング工程、堆積工程及び第2のエッチング工程は、別々のチャンバで実行してもよい。
第1のエッチング工程、堆積工程及び第2のエッチング工程を大気に暴露されることなく真空を維持したまま、同一のチャンバ、もしくは同一の処理システムで実行することによって、生産性を向上させる。加えて、大気中に含まれる水分によるプロセス特性への影響を抑えることが出来る。
第1のエッチング工程、堆積工程及び第2のエッチング工程を同一のチャンバにて実行する場合、図1の基板処理装置1のチャンバ2で実行してもよい。ただし、これに限られず、本実施形態に係るエッチング処理方法は、Capacitively Coupled Plasma(CCP)、Inductively Coupled Plasma(ICP)、Radial Line Slot Antenna(RLSA)、Electron Cyclotron Resonance Plasma(ECR)、Helicon Wave Plasma(HWP)のどのタイプの基板処理装置で実行してもよい。
第1のエッチング工程、堆積工程及び第2のエッチング工程を同一の処理システムで実行する場合、図13の処理システムにて実行してもよい。処理システムは、処理室111〜114と、真空搬送室120と、ロードロック室131,132と、大気搬送室140と、ロードポート151〜153と、ゲートバルブ161〜168と、制御装置170とを有する。
処理室111〜114は、ウェハWを載置するステージ111a〜114aを有し、ゲートバルブ161〜164を介して真空搬送室120と接続されている。処理室111〜114内は、所定の真空雰囲気に減圧され、その内部にてウェハWに所望の処理(第1のエッチング処理、堆積処理、第2のエッチング処理、アッシング処理等)を施す。
真空搬送室120内は、所定の真空雰囲気に減圧されている。また、真空搬送室120には、搬送機構121が設けられている。搬送機構121は、処理室111〜114、ロードロック室131,132に対して、ウェハWを搬送する。
ロードロック室131、132は、ウェハWを載置するステージ131a、132aを有し、ゲートバルブ165、166を介して真空搬送室120と接続され、ゲートバルブ167、168を介して大気搬送室140と接続されている。ロードロック室131,132内は、大気雰囲気と真空雰囲気とを切り替える機能を有する。
大気搬送室140内は、大気雰囲気となっており、搬送機構141が設けられている。搬送機構141は、ロードロック室131,132、ロードポート151〜153のキャリアCに対して、ウェハWを搬送する。ロードポート151〜153は、ウェハWが収容されたキャリアC又は空のキャリアCが取り付けられる。
制御装置170は、処理室111〜114の動作、搬送機構121,141の動作、ゲートバルブ161〜168の開閉、ロードロック室131,132内の真空雰囲気または大気雰囲気の切り替え等を行うことにより、処理システム全体を制御する。
図13に示す処理システムによれば、処理室111〜114のいずれかにて第1のエッチング工程にかかるエッチング処理を実行し、第1のエッチング工程を実行した処理室と異なる処理室にて堆積工程に係る保護膜形成処理を実行してもよい。第2のエッチング工程は、堆積工程を実行した処理室以外の処理室であって、第1のエッチング工程を実行した処理室又はそれ以外の処理室で実行してもよい。これによれば、ウェハWに第1のエッチング工程、堆積工程、第2のエッチング工程の各処理が施される間、ウェハWを大気に曝露することなく、つまり、真空を破らずにウェハWに所定の処理を施すことができる。
第1のエッチング工程、堆積工程及び第2のエッチング工程を同一のチャンバにて実行する場合、処理室111〜114の何れか一つのチャンバで上記3つの工程が実行される。
第1のエッチング工程、堆積工程及び第2のエッチング工程を別々のチャンバにて実行する場合、第1のエッチング工程及び第2のエッチング工程を処理室111〜114の何れかのチャンバで実行し、ウェハWを異なる処理室111〜114以外のCVD(Chemical Vapor Deposition)装置に搬送し、CVD装置にて実行してもよい。
以上、一実施形態及び変形例に係るエッチング処理方法及び基板処理装置1について説明した。一実施形態に係るエッチング処理方法では、図4に示すように、ウェハWは、被エッチング膜20の下に第1の下地膜と第2の下地膜とを有してもよい。図4の例の場合、第1の下地膜と第2の下地膜とは、別々のエッチングストップ層である。
第1の下地膜は、第1の開口の凹部の一例であるパターン5の下方にあり、第2の下地膜は、第2の開口の凹部の一例であるパターン6の下方にある。第1の開口の凹部と第1の下地膜の間の被エッチング膜20の膜厚は、第2の開口の凹部と第2の下地膜の間の被エッチング膜20の膜厚より薄い。また、第1の開口の凹部にエッチングされる被エッチング膜20のエッチング深さは、第2の開口の凹部と前記第2の下地膜の間の被エッチング膜20の膜厚より浅い。
また、一実施形態の変形例に係るエッチング処理方法では、図11及び図12に示すように、ウェハWは、被エッチング膜20の下に第1の下地膜と第2の下地膜とを有してもよい。図11及び図12の例の場合、第1の下地膜と第2の下地膜とはエッチングストップ層であり、一体となった膜であってもよい。
ウェハWは、被エッチング膜20の下に第1の下地膜と第2の下地膜とを有し、前記第1の下地膜は、第1の開口の凹部の一例であるパターン5の下方にあり、前記第2の下地膜は、第2の開口の凹部の一例であるパターン6の下方にある。前記第1の開口の凹部と前記第1の下地膜の間の被エッチング膜20の膜厚は、前記第2の開口の凹部と前記第2の下地膜の間の被エッチング膜20の膜厚と同じである。
前記第1の開口の凹部にエッチングされる被エッチング膜20のエッチング深さは、図11に示すように、前記第2の開口の凹部と前記第2の下地膜の間の被エッチング膜20の膜厚と同じであってもよい。一方、前記第1の開口の凹部にエッチングされる被エッチング膜20のエッチング深さは、図12に示すように、前記第2の開口の凹部と前記第2の下地膜の間の被エッチング膜20の膜厚と異なっていてもよい。
今回開示された一実施形態に係るエッチング処理方法及び基板処理装置は、すべての点において例示であって制限的なものではないと考えられるべきである。上記の実施形態及び変形例1,2は、添付の請求の範囲及びその主旨を逸脱することなく、様々な形態で変形及び改良が可能である。上記複数の実施形態に記載された事項は、矛盾しない範囲で他の構成も取り得ることができ、また、矛盾しない範囲で組み合わせることができる。
本明細書では、基板の一例としてウェハWを挙げて説明した。しかし、基板は、これに限らず、FPD(Flat Panel Display)に用いられる各種基板、プリント基板等であってもよい。
1 基板処理装置
2 チャンバ
5 細穴用のパターン
6 太穴用のパターン
10 シリコン基板
20 被エッチング膜
21 下部電極
22 上部電極
32、34 高周波電源
30、40 エッチングストップ層
50 マスク
70 保護膜
100 制御部

Claims (18)

  1. 被エッチング膜の上に第1の開口の凹部及び第2の開口の凹部のパターンを有するマスクが形成された基板をエッチングする処理方法であって、
    所定の深さまで前記被エッチング膜をエッチングする第1のエッチング工程と、
    前記第1のエッチング工程の後、前記マスクの上に保護膜を堆積する堆積工程と、
    前記堆積工程の後、前記被エッチング膜をエッチングする第2のエッチング工程と、を含み、
    前記第1の開口は、前記第2の開口より小さく、
    前記堆積工程は、前記第1の開口の凹部を閉塞させ、前記第2の開口の凹部を閉塞させない、
    エッチング処理方法。
  2. 前記第2のエッチング工程は、
    前記第1の開口の凹部を閉塞させながら、前記第2の開口の凹部をエッチングする、
    請求項1に記載のエッチング処理方法。
  3. 前記堆積工程において、前記第1の開口の凹部及び前記第2の開口の凹部のパターンの側壁に堆積する前記保護膜の堆積量をDとしたとき、次式が成り立つように前記保護膜を堆積する、
    前記第1の開口の凹部の直径又は溝の幅≦2×D<前記第2の開口の凹部の直径又は溝の幅
    請求項1又は2に記載のエッチング処理方法。
  4. 前記堆積工程において、前記第1の開口の凹部のパターンの側壁に堆積する前記保護膜の堆積量をD1とし、前記第2の開口の凹部のパターンの側壁に堆積する前記保護膜の堆積量をD2としたとき、次の2式が同時に成り立つように前記保護膜を堆積する、
    前記第1の開口の凹部の直径又は溝の幅≦2×D1、且つ、
    2×D2<前記第2の開口の凹部の直径又は溝の幅
    請求項1又は2に記載のエッチング処理方法。
  5. 前記堆積工程において、前記第2の開口の凹部のパターンの底部に堆積する前記保護膜の堆積量は、前記第2の開口の凹部のパターンの上部および上部側壁に堆積する前記保護膜の堆積量より少ない、もしくは堆積されない、
    請求項1〜4のいずれか一項に記載のエッチング処理方法。
  6. 前記堆積工程において、前記第1の開口の凹部のパターンの側壁及び前記第2の開口の凹部のパターンの側壁に堆積する前記保護膜の形状は、オーバーハング形状である、
    請求項1〜5のいずれか一項に記載のエッチング処理方法。
  7. 前記堆積工程と前記第2のエッチング工程とを1回以上繰り返す、
    請求項1〜6のいずれか一項に記載のエッチング処理方法。
  8. 前記第1のエッチング工程における前記所定の深さは、前記第1のエッチング工程にて前記マスクの前記第1の開口の凹部のパターンにエッチングされる被エッチング膜のエッチング深さである、
    請求項1〜7のいずれか一項に記載のエッチング処理方法。
  9. 前記基板は、前記被エッチング膜の下に第1の下地膜と第2の下地膜とを有し、
    前記第1の下地膜は、第1の開口の凹部のパターンの下方にあり、
    前記第2の下地膜は、第2の開口の凹部のパターンの下方にあり、
    前記第1の開口の凹部と前記第1の下地膜の間の被エッチング膜の膜厚は、
    前記第2の開口の凹部と前記第2の下地膜の間の被エッチング膜の膜厚より薄い、
    請求項1〜8のいずれか一項に記載のエッチング処理方法。
  10. 前記第1の開口の凹部のパターンにエッチングされる前記被エッチング膜のエッチング深さは、前記第2の開口の凹部のパターンと前記第2の下地膜の間の被エッチング膜の膜厚より浅い、
    請求項9に記載のエッチング処理方法。
  11. 前記基板は、前記被エッチング膜の下に第1の下地膜と第2の下地膜とを有し、
    前記第1の下地膜は、第1の開口の凹部のパターンの下方にあり、
    前記第2の下地膜は、第2の開口の凹部のパターンの下方にあり、
    前記第1の開口の凹部と前記第1の下地膜の間の被エッチング膜の膜厚は、
    前記第2の開口の凹部と前記第2の下地膜の間の被エッチング膜の膜厚と同じである、
    請求項1〜8のいずれか一項に記載のエッチング処理方法。
  12. 前記第1の開口の凹部のパターンにエッチングされる前記被エッチング膜のエッチング深さは、前記第2の開口の凹部のパターンと前記第2の下地膜の間の被エッチング膜の膜厚と同じである、
    請求項11に記載のエッチング処理方法。
  13. 前記第1の開口の凹部のパターンにエッチングされる前記被エッチング膜のエッチング深さは、前記第2の開口の凹部のパターンと前記第2の下地膜の間の被エッチング膜の膜厚と異なる、
    請求項11に記載のエッチング処理方法。
  14. 前記第1の下地膜と前記第2の下地膜とは、エッチングストップ層である、
    請求項9〜13のいずれか一項に記載のエッチング処理方法。
  15. 前記堆積工程は、
    前記第2のエッチング工程において、前記被エッチング膜との選択比を有する膜を保護膜として堆積する、
    請求項1〜14のいずれか一項に記載のエッチング処理方法。
  16. 前記第1のエッチング工程、前記堆積工程及び前記第2のエッチング工程は、大気に暴露されることなく実行される、
    請求項1〜15のいずれか一項に記載のエッチング処理方法。
  17. 前記第1のエッチング工程、前記堆積工程及び前記第2のエッチング工程は、同一のチャンバ又は同一の処理システムで実行される、
    請求項16に記載のエッチング処理方法。
  18. 基板のエッチングを行うチャンバと、制御部とを有する基板処理装置であって、
    前記制御部は、
    被エッチング膜の上に第1の開口の凹部及び第2の開口の凹部のパターンを有するマスクが形成された基板を前記チャンバ内に準備し、
    所定の深さまで前記被エッチング膜のエッチングを行い、
    前記エッチングを行った後、前記マスクの上に保護膜を堆積し、
    前記保護膜を堆積した後、前記被エッチング膜のエッチングを行い、
    前記第1の開口は、前記第2の開口より小さく、
    前記保護膜の堆積は、前記第1の開口の凹部を閉塞させ、前記第2の開口の凹部を閉塞させないように制御する、
    基板処理装置。
JP2019183953A 2018-12-06 2019-10-04 エッチング処理方法及び基板処理装置 Active JP7346218B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
TW108143087A TW202036714A (zh) 2018-12-06 2019-11-27 蝕刻處理方法及基板處理裝置
KR1020190158700A KR20200069236A (ko) 2018-12-06 2019-12-03 에칭 처리 방법 및 기판 처리 장치
US16/704,129 US11264248B2 (en) 2018-12-06 2019-12-05 Etching method and substrate processing apparatus
CN201911244697.6A CN111293041A (zh) 2018-12-06 2019-12-06 蚀刻处理方法和基板处理装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018229304 2018-12-06
JP2018229304 2018-12-06

Publications (2)

Publication Number Publication Date
JP2020096174A true JP2020096174A (ja) 2020-06-18
JP7346218B2 JP7346218B2 (ja) 2023-09-19

Family

ID=71084114

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019183953A Active JP7346218B2 (ja) 2018-12-06 2019-10-04 エッチング処理方法及び基板処理装置

Country Status (4)

Country Link
US (1) US11264248B2 (ja)
JP (1) JP7346218B2 (ja)
KR (1) KR20200069236A (ja)
TW (1) TW202036714A (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11824099B2 (en) * 2020-06-15 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drains in semiconductor devices and methods of forming thereof

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0228330A (ja) * 1988-07-18 1990-01-30 Fujitsu Ltd 半導体装置の製造方法
JPH09148270A (ja) * 1995-11-21 1997-06-06 Sony Corp エッチング方法及び半導体装置の製造方法
US6025276A (en) * 1998-09-03 2000-02-15 Micron Technology, Inc. Semiconductor processing methods of forming substrate features, including contact openings
JP2011238704A (ja) * 2010-05-07 2011-11-24 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
JP2012015268A (ja) * 2010-06-30 2012-01-19 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び半導体装置
JP2014090022A (ja) * 2012-10-29 2014-05-15 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218268B1 (en) * 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6368974B1 (en) * 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US20190096751A1 (en) * 2017-09-26 2019-03-28 Microchip Technology Incorporated Dual Damascene Process for Forming Vias and Interconnects in an Integrated Circuit Structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0228330A (ja) * 1988-07-18 1990-01-30 Fujitsu Ltd 半導体装置の製造方法
JPH09148270A (ja) * 1995-11-21 1997-06-06 Sony Corp エッチング方法及び半導体装置の製造方法
US6025276A (en) * 1998-09-03 2000-02-15 Micron Technology, Inc. Semiconductor processing methods of forming substrate features, including contact openings
JP2011238704A (ja) * 2010-05-07 2011-11-24 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
JP2012015268A (ja) * 2010-06-30 2012-01-19 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び半導体装置
JP2014090022A (ja) * 2012-10-29 2014-05-15 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置

Also Published As

Publication number Publication date
US20200185229A1 (en) 2020-06-11
KR20200069236A (ko) 2020-06-16
TW202036714A (zh) 2020-10-01
US11264248B2 (en) 2022-03-01
JP7346218B2 (ja) 2023-09-19

Similar Documents

Publication Publication Date Title
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US7977390B2 (en) Method for plasma etching performance enhancement
US20040072443A1 (en) Method for plasma etching performance enhancement
US8609547B2 (en) Plasma etching method and computer-readable storage medium
US8609549B2 (en) Plasma etching method, plasma etching apparatus, and computer-readable storage medium
KR20190037341A (ko) 원하는 피쳐를 얻기 위해 에칭 프로세싱 중에 ulk 물질을 손상으로부터 보호하기 위한 제조 방법
KR20030087637A (ko) 유기계 절연막의 에칭 방법 및 이중 상감 방법
KR102617192B1 (ko) 질화 실리콘으로 형성된 제 1 영역을 산화 실리콘으로 형성된 제 2 영역에 대하여 선택적으로 에칭하는 방법
JP4008352B2 (ja) 絶縁膜のエッチング方法
KR20040021613A (ko) 드라이 에칭 방법
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
TW202004902A (zh) 基板處理方法及基板處理裝置
CN111223775A (zh) 蚀刻方法和基板处理装置
US20230335409A1 (en) Substrate processing method and substrate processing apparatus
JP7346218B2 (ja) エッチング処理方法及び基板処理装置
US20080014755A1 (en) Plasma etching method and computer-readable storage medium
US11121000B2 (en) Etching method and substrate processing apparatus
JP7158252B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
US20090206053A1 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
JP2022116742A (ja) 基板処理方法および基板処理装置
JP2021028968A (ja) 基板および基板処理方法
CN111293041A (zh) 蚀刻处理方法和基板处理装置
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
US11688609B2 (en) Etching method and plasma processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220706

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230626

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230906

R150 Certificate of patent or registration of utility model

Ref document number: 7346218

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150