KR20040021613A - 드라이 에칭 방법 - Google Patents

드라이 에칭 방법 Download PDF

Info

Publication number
KR20040021613A
KR20040021613A KR10-2003-7016663A KR20037016663A KR20040021613A KR 20040021613 A KR20040021613 A KR 20040021613A KR 20037016663 A KR20037016663 A KR 20037016663A KR 20040021613 A KR20040021613 A KR 20040021613A
Authority
KR
South Korea
Prior art keywords
groove
dry etching
etching
gas
plasma treatment
Prior art date
Application number
KR10-2003-7016663A
Other languages
English (en)
Other versions
KR100595065B1 (ko
Inventor
에츠오 이이지마
아키테루 고
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2001189579A external-priority patent/JP4854874B2/ja
Priority claimed from JP2002012206A external-priority patent/JP4516713B2/ja
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20040021613A publication Critical patent/KR20040021613A/ko
Application granted granted Critical
Publication of KR100595065B1 publication Critical patent/KR100595065B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/978Semiconductor device manufacturing: process forming tapered edges on substrate or adjacent layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭실내에 설치된 한 쌍의 대향 전극중의 한쪽에 기판을 배치하고, 대향 전극의 양쪽에 고주파 전력을 공급하여 플라즈마에 의해서 에칭을 실시하는 장치를 사용하고, 적어도 Cl2와 HBr를 포함하는 가스를 이용한 플라즈마 에칭에 의해서 에칭을 하고, 도 1a의 실리콘 기판(101)에 질화 실리콘층(103) 등의 마스크층을 거쳐서, 도 1b에 도시하는 바와 같이 홈(104a, 104b)을 형성한다. 그리고, 기판이 배치된 측의 대향 전극에 인가하는 고주파 전력을 조정함으로써, 홈(104a, 104b)의 측벽(105a, 105b)의 형상을 제어한다. 이것에 의해서 홈의 폭이 서로 다른 경우 등에 있어서도, 홈의 형상을 소망하는 형상으로 할 수 있다.

Description

드라이 에칭 방법{DRY-ETCHING METHOD}
최근, 반도체 장치의 제조분야에서는 소자 분리 기술로서, 소위 쉘로우 트랜치 아이솔레이션(shallow trench isolation (STI))이 많이 사용되고 있다.
이 STI의 공정은 드라이 에칭에 의해서 실리콘 기판의 Si에 홈(trench)을 파고, CVD 등으로 그 홈 속에 예컨대 SiO2등의 절연물을 매설하고, 마지막에 예컨대 CMP에 의해 평탄화하는 공정이다.
이러한 STI에서는 단결정 실리콘에 이방성 에칭에 의해서 홈(trench)을 형성하는 트랜치 에칭 공정을 필요로 한다. 이 트랜체 에칭 공정에 앞서서, 우선 Si로 이루어지는 실리콘 기판 표면에 산화규소(SiO2) 등의 열산화막과, 예컨대질화규소(SiN)막을 형성하고, 통상 사용되는 포토리소그래피 기술에 의해서 레지스트 패턴을 형성하고, 이것을 마스크로 하여 SiN막 및 열산화막을 패터닝한다.
계속해서, 레지스트 패턴을 제거한 뒤, SiN막 및 열산화막을 마스크로 하고, 이 마스크의 개구부를 드라이 에칭에 의해서 이방성 에칭하는 트랜치 에칭 공정을 실행한다.
이러한 트랜치 에칭 공정은 종래에 Cl2, Cl2와 O2의 혼합 가스, Cl2와 HBr의 혼합 가스, Cl2와 HBr와 O2의 혼합 가스 등을 에칭 가스로서 사용한 플라즈마 에칭 등에 의해서 실행되고 있다.
상술한 STI에서는 단결정 실리콘에 형성한 홈에, 예컨대 SiO2 등의 유전재료를 매설할 필요가 있다. 이 때문에, 이러한 유전재료의 매립을 확실하고 또한 쉽게 하기 위해서, 홈의 측벽을 홈 바닥부로부터 상측 개구부를 향해서 서서히 넓어지는 것 같은 소정 각도의 테이퍼형상으로 형성하는 것이 많다.
그러나, 홈의 측벽형상은, 예컨대 한 장의 웨이퍼 내에서도 중앙부와 가장자리부 등의 위치의 차이나, 홈의 폭의 차이 등에 의해서 변화하는 경향이 있어, 모든 홈의 측벽형상을 소망하는 형상으로 하는 것은 곤란하다고 하는 문제가 있었다.
또한, 최근에는 반도체 장치의 집적도가 비약적으로 향상하고, 그에 따라서 실리콘 기판 상에 형성되는 각종 소자의 미세화도 기술적 요구 항목의 하나로서 거론되고 있다. 이러한 미세화가 진행함에 따라서, 상술한 바와 같은 STI 공정에서의 에칭 처리를 하는 경우에는 에칭 면적이 적어지기 때문에 실리콘 기판 상의 가공 부분은 뾰족해지기 쉬워지고, 소자 분리를 위해서 형성하는 홈도 폭이 더욱 작아지므로 그 홈에 절연물을 매설하기 어려워진다. 이 때문에, 각종 소자의 미세화가 진행함에 따라서 절연물을 매설하기 쉬운 홈의 형상이 요구된다.
또한, 상기 홈의 형상을 절연물을 매설하기 쉬운 형상으로 함으로써, 아이솔레이션의 효율이 오르고, 리크 전류와 매설한 후의 응력이 걸리기 어렵게 할 수 있다. 이러한 홈의 형상으로서는 예컨대 홈의 바닥부분이 가능하면 뾰족하지 않고 둥그스름(round)한 형상이 바람직하다. 또한, 홈의 측벽에 있어서의 SiN막 및 열산화막의 마스크와 Si와의 경계 부분이 둥근 형상인 것도 바람직하다.
그러나, 종래에는 상기한 바와 같이 Cl2등의 처리 가스에 의한 플라즈마 처리에 의해서 한번에 홈을 형성하기 때문에, 그 홈의 바닥 부분과 측벽의 마스크와 Si의 경계 부분을 둥글게 하는 것은 매우 곤란했다.
본 발명은 반도체 장치의 제조에 있어서의 드라이 에칭 방법에 관한 것으로, 특히 쉘로우 트랜치 아이솔레이션(STI:shallow Trench Isolation)에 있어서 단결정 실리콘을 에칭하여, 소망하는 형상의 홈(trench)을 형성하는 드라이 에칭 방법에 관한 것이다.
도 1은 본 발명의 1 실시예를 설명하기 위한 웨이퍼 단면의 구성을 모식적으로 도시한 도면이다.
도 2는 본 발명의 1 실시예에 사용하는 장치의 구성의 예를 나타내는 도면이다.
도 3은 하부 전력과 홈폭이 0.24 ㎛인 홈의 테이퍼 각도의 관계를 나타내는그래프이다.
도 4는 하부 전력과 홈폭이 1.00 ㎛인 홈의 테이퍼 각도의 관계를 나타내는 그래프이다.
도 5는 상부 전력과 홈폭이 0.24 ㎛인 홈의 테이퍼 각도의 관계를 나타내는 그래프이다.
도 6은 상부 전력과 홈폭이 1.00 ㎛인 홈의 테이퍼 각도의 관계를 나타내는 그래프이다.
도 7은 에칭 깊이와 Cl2의 비의 관계를 나타내는 그래프이다.
도 8은 테이퍼각과 Cl2의 비의 관계를 나타내는 그래프이다.
도 9는 에칭 깊이와 에칭 가스의 총 유량과의 관계를 나타내는 그래프이다.
도 10은 테이퍼각과 에칭 가스의 총 유량과의 관계를 나타내는 그래프이다.
도 11은 본 발명의 다른 실시예를 설명하기 위한 웨이퍼 단면의 구성을 모식적으로 도시한 도면이다.
도 12는 종래의 주공정에 의한 플라즈마 처리를 한 경우의 홈의 측벽의 일부를 모식적으로 도시한 도면이다.
도 13은 실시예에 있어서의 전 공정(제 1 공정) 및 주 공정(제 2 공정)에 의한 플라즈마 처리를 한 경우의 홈의 측벽의 일부를 모식적으로 도시한 도면이다.
도 14는 종래의 주 공정에 의한 플라즈마 처리를 한 경우의 홈의 바닥 부분을 모식적으로 도시한 도면이다.
도 15는 실시예에 있어서의 주 공정(제 2 공정) 후에 후속 공정(제 3 공정)에 의한 플라즈마 처리를 한 경우의 홈의 바닥 부분을 모식적으로 도시한 도면이다.
그래서, 본 발명의 목적은 홈의 폭이 서로 다른 경우 등에도 홈의 측벽형상을 소망하는 형상으로 할 수 있고, 절연물을 매설하기 쉬운 형상의 홈을 형성할 수 있는 드라이 에칭 방법을 제공하는 것에 있다. 본 발명은 실리콘 단결정에 대하여, 마스크층을 거쳐서 소망하는 형상의 홈을 형성하는 드라이 에칭 방법으로, 에칭실내에 마련된 한 쌍의 대향 전극 중 한쪽에 기판을 배치하고, 상기 대향 전극의 양쪽에 고주파 전력을 공급하여 플라즈마에 의해서 에칭을 하는 장치를 사용하고, 상기 에칭실내에 에칭 가스를 도입하고, 상기 기판이 배치된 측의 상기 대향 전극에 인가하는 고주파 전력을 조정함으로써, 상기 홈의 측벽형상을 제어하는 것을 특징으로 한다.
또한, 본 발명은 상기 에칭 가스가 적어도 Cl을 포함하는 가스와, Br를 포함하는 가스의 혼합 가스인 것을 특징으로 한다.
또한, 본 발명은 상기 Cl을 포함하는 가스가 Cl2인 것을 특징으로 한다.
또한, 본 발명은 상기 Br를 포함하는 가스가, HBr인 것을 특징으로 한다.
또한, 본 발명은 상기 에칭 가스가 산소를 포함하는 것을 특징으로 한다.
또한, 본 발명은 상기 에칭 가스의 총유량을 조정하여, 상기 홈의 측벽형상을 제어하는 것을 특징으로 한다.
또한, 본 발명은 상기 에칭 가스중의 Cl2의 양을 조정하여, 상기 홈의 측벽형상을 제어하는 것을 특징으로 한다.
또한, 본 발명은 상기 기판이 배치된 측의 상기 대향 전극에 인가하는 고주파 전력이 0.157 내지 1.57 W/㎠의 범위인 것을 특징으로 한다.
또한, 본 발명은 상기 기판에 홈폭이 다른 복수 종류의 상기 홈을 형성하는 것을 특징으로 한다.
또한, 본 발명은 기밀인 처리실내에 처리 가스를 도입하여 실리콘 기판의 실리콘에 대하여 플라즈마 처리를 함으로써, 상기 실리콘 기판 상에 홈을 형성하는 드라이 에칭 방법에 있어서, 상기 처리 가스로서 적어도 HBr와 N2을 포함하는 혼합가스를 도입하여 플라즈마 처리를 실시하는 제 1 공정과, 상기 실리콘 기판의 실리콘에 상기 홈을 형성하는 플라즈마 처리를 실시하는 제 2 공정과, 상기 처리 가스로서 적어도 HBr와 Cl2를 포함하는 혼합 가스를 도입하여 플라즈마 처리를 실시하는 제 3 공정을 포함하는 것을 특징으로 한다.
또한, 본 발명은 상기 제 1 공정이 적어도 상기 처리실내의 압력을 6.7 Pa (50 mTorr) 이하, 상기 처리 가스의 N2의 유량에 대한 HBr의 유량의 비를 3 이상, 플라즈마를 발생시키기 위해서 상기 처리실내에 마련한 전극에 인가하는 바이어스용 고주파 전력을 100 W 이상으로 하는 조건에 의해서 플라즈마 처리를 실시하는 것을 특징으로 한다.
또한, 본 발명은 상기 제 3 공정이 적어도 상기 처리실내의 압력을 20 Pa (150 mTorr) 이상, 상기 처리 가스의 Cl2의 유량에 대한 HBr의 유량의 비를 2 이상, 플라즈마를 발생시키기 위해서 상기 처리실내에 마련한 전극에 인가하는 바이어스용 고주파 전력을 50 W 이상으로 하는 조건에 의해서 플라즈마 처리를 실시하는 것을 특징으로 한다.
또한, 본 발명은 상기 제 1 공정에서의 플라즈마 처리를 실시하는 시간이 상기 제 2 공정에서의 플라즈마 처리를 실시하는 시간보다 짧은 것을 특징으로 한다.
또한, 본 발명은 상기 제 1 공정이 상기 제 2 공정에서의 플라즈마 처리를 실시하는 시간을 1이라고 하면, 그 시간에 대하여 0.15 내지 0.5의 비율의 시간만 플라즈마 처리를 실시하는 것을 특징으로 한다.
또한, 본 발명은 상기 제 3 공정에서의 플라즈마 처리를 실시하는 시간이 상기 제 2 공정에서의 플라즈마 처리를 실시하는 시간보다 짧은 것을 특징으로 한다.
또한, 본 발명은 상기 제 3 공정이 상기 제 2 공정에서의 플라즈마 처리를 실시하는 시간을 1이라고 하면, 그 시간에 대하여 0.3 내지 0.7의 비율의 시간만 플라즈마 처리를 실시하는 것을 특징으로 한다.
또한, 본 발명은 기밀인 처리실내에 처리 가스를 도입하여 실리콘 기판의 실리콘에 대하여 플라즈마 처리를 함으로써, 상기 실리콘 기판 상에 홈을 형성하는 드라이 에칭 방법에 있어서, 상기 실리콘 기판의 실리콘에 상기 홈을 형성하는 공정을 실행하기 전에, 상기 홈의 측벽에 있어서의 에칭용 마스크와 실리콘의 경계 부분을 둥그스름하게 형성하기 위한 에칭 처리를 실시하는 공정과, 상기 실리콘 기판의 실리콘에 상기 홈을 형성하는 공정을 실행한 후에, 상기 홈에 있어서의 바닥 부분을 둥그스름하게 형성하기 위한 에칭 처리를 실시하는 공정을 포함하는 것을 특징으로 한다.
이하, 본 발명을 도면을 참조하여 실시예에 대하여 상세하게 설명한다. 도 1은 본 발명의 1 실시예를 설명하기 위해서, 반도체 웨이퍼(실리콘 기판)의 종단면의 일부를 확대하여 모식적으로 나타낸 것이다.
도 1a에 도시하는 바와 같이, 반도체 웨이퍼(실리콘 기판)(101) 상에는 두께가 예컨대 9 ㎚ 정도인 이산화 실리콘층(102)과, 두께가 예컨대 160 ㎚ 정도인 질화 실리콘층(103)이 형성되어 있고, 이들은 홈을 형성하기 위한 개구부를 갖도록, 소정 형상으로 패터닝되어, 소위 하드 마스크를 구성하고 있다.
그리고, 본 실시예에서는 상기 질화 실리콘층(103) 등으로 이루어지는 하드 마스크을 거쳐서, 단결정 실리콘으로 이루어지는 반도체 웨이퍼(101)를 에칭 가스로서 적어도 Cl2와 HBr을 포함하는 가스를 이용한 플라즈마 에칭에 의해서 에칭하여, 도 1b에 도시하는 바와 같이, 반도체 웨이퍼(101)에 홈(trench)(104a, 104b)을 형성한다.
상기 홈(104a, 104b)은 각각 소정의 폭이 되도록 형성되는데, 도면 중 좌측에 도시하는 홈(104a)은 폭이 예컨대 0.24 ㎛가 되고, 도면 중 우측에 도시하는홈(104b)은 폭이 예컨대 1.00 ㎛가 되어, 그 폭이 다르다. 또한, 이들 홈(104a, 104b)의 측벽(105a, 105b)은 각각 대략 동일한 테이퍼각을 갖도록 형성되고, 깊이도 대략 동일하게 형성된다.
도 2는 본 발명의 실시예에 사용하는 플라즈마 처리 장치의 구성의 일례를 모식적으로 도시하는 것이다. 상기 도면에 도시하는 바와 같이 플라즈마 처리 장치(1)는 전극판이 상하 평행하게 대향하고, 양쪽에 고주파 전원이 접속된 용량 결합형 평행 평판 에칭 장치로서 구성되어 있다.
이 에칭 처리 장치(1)는, 예컨대 표면이 알루마이트 처리(양극 산화 처리)된 알루미늄으로 이루어지는 원통형상으로 성형된 챔버(2)를 갖고 있고, 이 챔버(2)는 접지되어 있다. 챔버(2)내의 바닥부에는 세라믹 등의 절연판(3)을 거쳐서, 웨이퍼(W)를 얹어 놓기 위한 대략 원주형상의 서셉터 지지대(4)가 설치된다. 또한, 이 서셉터 지지대(4)의 위에는 하부 전극을 구성하는 서셉터(5)가 설치된다. 이 서셉터(5)에는 하이 패스 필터(HPF)(6)가 접속되어 있다. 서셉터 지지대(4)의 내부에는 온도 조절 매체실(7)이 설치된다. 이 온도 조절 매체실(7)에 도입관(8)을 거쳐서 온도 조절 매체가 도입, 순환되고, 배출관(9)으로부터 배출되어 서셉터(5)가 소망하는 온도로 제어된다. 서셉터(5)는 그 상부 중앙부가 볼록 형상인 원판형상으로 성형되고, 그 위에 웨이퍼(W)와 대략 동일한 형태의 정전척(11)이 설치된다. 정전척(11)은 절연재의 사이에 전극(12)이 개재된 구성으로 되어있다. 그리고, 전극(12)에 접속된 직류 전원(13)으로부터 예컨대 1.5 kV의 직류 전압이 인가됨으로써, 쿨롱 힘에 의해서 웨이퍼(W)를 정전 흡착한다. 절연판(3), 서셉터지지대(4), 서셉터(5), 또한 정전척(11)에는 피 처리체인 웨이퍼(W)의 이면에 열 전달 매체, 예컨대 He 가스 등을 공급하기 위한 가스통로(14)가 형성되어 있다. 그리고, 이 열 전달 매체를 거쳐서 서셉터(5)와 웨이퍼(W) 사이의 열 전달이 이루어져, 웨이퍼(W)가 소정의 온도로 유지된다.
서셉터(5)의 상단 가장자리부에는 정전 척(11) 상에 탑재된 웨이퍼(W)를 둘러싸도록, 환상(링형상)의 포커스 링(15)이 배치되어 있다. 이 포커스 링(15)은 세라믹 또는 석영 등의 절연성 재료로 이루어져 있으며, 에칭의 균일성을 향상시킨다.
또한, 서셉터(5)의 위쪽에는 이 서셉터(5)와 평행하게 대향하여 상부 전극(21)이 설치된다. 이 상부 전극(21)은 절연재(22)를 거쳐서, 챔버(2)의 내부에 지지되어 있다. 상부 전극(21)은 다수의 토출 구멍(23)을 갖는 전극판(24)(예컨대 석영으로 이루어짐)과, 이 전극판(24)을 지지하는 전극 지지체(25)(도전성재료, 예컨대 표면이 알루마이트 처리된 알루미늄으로 이루어짐)에 의해서 구성되어 있다. 또한, 서셉터(5)와 상부 전극(21)의 간격은 조절 가능하게 되어 있다.
상부 전극(21)에 있어서의 전극 지지체(25)의 중앙에는 가스 도입구(26)가 설치되어 있다. 이 가스 도입구(26)에는 가스 공급관(27)이 접속되어 있다. 또한, 이 가스 공급관(27)에는 밸브(28) 및 질량 흐름 제어기(29)를 거쳐서, 처리 가스 공급기(30)가 접속되어 있다. 그리고, 이 처리 가스 공급기(30)로부터 플라즈마 에칭을 위한 에칭 가스가 공급된다. 또한, 도 2에는 상기 처리 가스 공급기(30) 등으로 이루어지는 처리 가스 공급계를 하나만 도시하고 있지만, 이들처리 가스 공급계는 복수 설치되어 있고, 예컨대 HBr, Cl2, O2, N2등의 가스를 각각 독립적으로 유량 제어하여, 챔버(2)내로 공급할 수 있도록 구성되어 있다.
한편, 챔버(2)의 바닥부에는 배기관(31)이 접속되어 있고, 이 배기관(31)에는 배기 장치(35)가 접속되어 있다. 배기 장치(35)는 터보 분자 펌프 등의 진공 펌프를 구비하고 있고, 이것에 의해서 챔버(2)내를 소정의 감압 분위기, 예컨대 1 Pa (7.5 mTorr) 이하의 소정의 압력까지 진공 배기할 수 있도록 구성되어 있다. 또한, 챔버(2)의 측벽에는 게이트밸브(32)가 설치된다. 그리고, 이 게이트밸브(32)를 개방한 상태에서, 웨이퍼(W)가 챔버(2)와 인접하는 로드록실(도시하지 않음) 사이에서 반송된다.
상부 전극(21)에는 제 1 고주파 전원(40)이 접속되어 있고, 그 급전선에는 정합기(41)가 사이에 삽입되어 있다. 또한, 상부 전극(21)에는 로 패스 필터(LPF)(42)가 접속되어 있다. 이 제 1 고주파 전원(40)은 50 내지 150 MHz의 범위의 주파수를 갖고 있다. 이와 같이 높은 주파수를 인가함으로써 챔버(2)내에 바람직한 해리 상태이며 또한 고밀도인 플라즈마를 형성할 수 있어, 종래보다 저압 조건하의 플라즈마 처리가 가능해진다. 이 제 1 고주파 전원(40)의 주파수는 50 내지 80 MHz가 바람직하고, 전형적으로는 도시한 60 MHz 또는 그 근방의 주파수가 채용된다.
하부 전극으로서의 서셉터(5)에는 제 2 고주파 전원(50)이 접속되어 있고, 그 급전선에는 정합기(51)가 사이에 삽입되어 있다. 이 제 2 고주파 전원(50)은수백 내지 십수 MHz의 범위의 주파수를 갖고 있다. 이러한 범위의 주파수를 인가함으로써, 피 처리체인 웨이퍼(W)에 대하여 손상을 입히지 않고 적절한 이온작용을 부여할 수 있다. 제 2 고주파 전원(50)의 주파수는 전형적으로는 도시한 13.56 MHz 또는 800 KHz 등의 주파수가 채용된다.
다음에, 상기 구성의 플라즈마 처리 장치(1)에 의해서, 실리콘 단결정으로 이루어지는 웨이퍼(W)를 에칭하고, 홈(trench)을 형성하는 공정에 대하여 설명한다.
우선, 상술한 바와 같이, 이산화 실리콘층(102), 질화 실리콘층(103)으로 이루어지는 마스크층이 형성된 웨이퍼(W)를 게이트밸브(32)를 개방하여, 도시하지 않은 로드록실에서 챔버(2)내로 반입하여, 정전척(11)상에 얹어 놓는다. 그리고, 고압 직류 전원(13)으로부터 직류 전압을 인가함으로써, 웨이퍼(W)를 정전척(11) 상에 정전 흡착한다.
이어서, 게이트밸브(32)를 닫고, 배기 장치(35)에 의해서, 챔버(2)내를 소정의 진공도까지 진공 배기한다. 이 후, 밸브(28)를 개방하여, 처리 가스 공급기(30)로부터 메인 에칭용 에칭 가스(예컨대, HBr와 Cl2, 또는 HBr와 Cl2와 O2)를 질량 흐름 제어기(29)에 의해서 그 유량을 조정하면서, 처리 가스 공급관(27), 가스 도입구(26),상부 전극(21)의 중공부, 전극판(24)의 토출 구멍(23)을 통하여, 도 2의 화살표로 도시하는 바와 같이 웨이퍼(W)에 대하여 균일하게 토출시킨다.
이것과 함께, 챔버(2)내의 압력이 소정의 압력, 예컨대 13 Pa (100 mTorr)정도의 압력으로 유지된다. 그리고, 제 1 고주파 전원(40) 및 제 2 고주파 전원(50)으로부터, 상부 전극(21) 및 하부 전극으로서의 서셉터(5)에 고주파 전압을 인가하고, 에칭 가스를 플라즈마화하여, 웨이퍼(W)의 에칭을 한다.
도 3, 4의 그래프(세로축은 테이퍼각, 가로축은 하부 전력(하부 전극으로의 공급 전력)은 상기 에칭 처리 장치(1)를 이용한 8 인치 직경의 웨이퍼(W)의 에칭에 있어서, 제 2 고주파 전원(50)으로부터 하부 전극으로서의 서셉터(5)로 공급하는 전력과, 홈의 측벽의 테이퍼각의 관계를 나타내는 것이다. 도 3은 홈폭이 0.24 ㎛인 경우, 도 4는 홈폭이 1.00 ㎛인 경우를 나타내고 있다.
또한, 도 3, 4에 있어서, 실선 A, C는 웨이퍼(W)의 중앙 부분, 점선 B, D는 웨이퍼(W)의 주변 부분의 홈에 있어서의 테이퍼각을 나타내고 있다.
또한, 에칭 조건은
에칭 가스 : Cl2(유량 15 sccm)+HBr (유량 285 sccm)+O2(유량 2.5 sccm)
압력 : 13 Pa (100 mTorr)
상부 전극 인가 고주파 전력 : 1000 W
전극간 거리 : 80 ㎜
백 He 압력(센터/에지) : 400/400 Pa (3 Torr)
챔버 온도(상부/바닥부/측벽부) : 60/60/50℃
에칭 시간 : 47초
이다.
도 3의 그래프에 표시되는 바와 같이, 홈폭이 0.24 ㎛인 좁은 홈(104a)의 경우, 하부 전력의 상승에 따라서, 테이퍼각이 직선적으로 증대하는 경향을 나타낸다. 한편, 도 4의 그래프에 표시되는 바와 같이, 홈폭이 1.00 ㎛인 넓은 홈(104b)의 경우, 하부 전력을 변화시키더라도, 테이퍼각은 거의 변화하지 않는다.
이 때문에, 도 3, 4에 나타내는 예에서는 하부 전력을 100 W (0.314 W/㎠)로 함으로써, 홈폭이 좁은 홈(104a)과 홈폭이 넓은 홈(104b)의 양쪽의 테이퍼각을 대략 동일하게 제어할 수 있다.
또한, 도 5, 6의 그래프(세로축은 테이퍼각, 가로축은 상부 전력(상부 전극으로의 공급 전력)은 상기 에칭 처리 장치(1)를 이용한 8인치 직경의 웨이퍼(W)의 에칭에 있어서, 제 1 고주파 전원(40)으로부터 상부 전극(21)으로 공급하는 전력과, 홈의 측벽의 테이퍼각의 관계를 나타내는 것이다. 도 5는 홈폭이 0.24 ㎛인 경우, 도 6은 홈폭이 1.00 ㎛인 경우를 나타내고 있다.
또한, 도 5, 6에 있어서, 실선 E, G는 웨이퍼(W)의 중앙 부분, 점선 F, H는 웨이퍼(W)의 주변 부분의 홈에 있어서의 테이퍼각을 나타내고 있다.
이들 도 5, 6의 그래프에 도시되는 바와 같이, 상부 전력을 변경하더라도, 테이퍼각의 현저한 변화는 보이지 않고, 따라서 테이퍼각을 제어하는 경우, 상술한 바와 같이, 하부 전력을 조정함으로써, 그 제어를 하는 것이 유효하다는 것을 알 수 있다.
또한, 하부 전력을 너무 많게 하면, 에칭속도가 상승함과 동시에, 마스크층인 질화 실리콘 등과의 선택비가 저하하기 때문에, 최대로 500 W 정도로 하는 것이바람직하다. 또한, 상술한 100 W를 크게 밑돌아, 50 W 미만으로 하면, 에칭속도가 저하해 버리기 때문에, 하부 전력은 8 인치 직경의 웨이퍼에 대하여 50 내지 500 W정도, 따라서 단위면적당 전력으로서는 0.157 내지 1.57 W/㎠의 범위로 하는 것이 바람직하다.
도 7의 그래프(세로축은 에칭 깊이(따라서 실질적으로 에칭속도), 가로축은 에칭 가스중의 Cl2의 비(Cl2유량/총 유량))는 상기 에칭 처리 장치(1)를 이용한 8 인치 직경의 웨이퍼(W)의 에칭에 있어서, 에칭 깊이와 Cl2의 비의 관계를 나타내는 것으로, 실선 I가 홈폭이 0.24 ㎛인 경우, 점선 J가 홈폭이 1.00 ㎛인 경우를 나타내고 있다.
또한, 도 8의 그래프(세로축은 테이퍼각, 가로축은 에칭 가스중의 Cl2의 비(Cl2유량/총 유량))는 상기 에칭 처리 장치(1)를 이용한 8 인치 직경의 웨이퍼(W)의 에칭에 있어서, 테이퍼각과 Cl2의 비의 관계를 나타내는 것으로, 실선 K가 홈폭이 0.24 ㎛인 경우, 점선 L이 홈폭이 1.00 ㎛인 경우를 나타내고 있다.
또한, 에칭 조건은
에칭 가스 : Cl2+HBr (합계유량 200 sccm)
O2첨가(유량 1.6 sccm)
압력 : 13 Pa (100 mTorr)
상부 전극 인가 고주파 전력 : 1000 W
하부 전극 인가 고주파 전력 : 200 W
전극간 거리 : 80 ㎜
백 He 압력(센터/에지) : 400/400 Pa (3 Torr)
챔버 온도(상부/바닥부/측벽부) : 60/60/50℃
에칭 시간 : 83초
이다.
이들 도 7, 8의 그래프에 도시되는 바와 같이, 에칭 가스중의 Cl2의 비를 변경함으로써 에칭속도가 변화하고, 또한 테이퍼 각도도 변화한다. 또한, 도 8의 그래프에 도시되는 바와 같이, 테이퍼 각도의 변화는 홈폭이 0.24 ㎛인 경우(실선 K)와, 홈폭이 1.00 ㎛인 경우(점선 L)에서는 동일하지 않다.
따라서, 예컨대 필요로 하는 에칭속도와 테이퍼각을 얻을 수 있도록, 에칭 가스중의 Cl2의 비를 변경한 경우, 홈폭이 좁은 홈과, 홈폭이 넓은 홈에서는 다른 테이퍼각으로 될 가능성이 높아진다. 이러한 경우, 상술한 바와 같이, 하부 전력을 조정함으로써, 이러한 테이퍼각의 차이가 없어지도록 제어할 수 있다. 즉, 예컨대 홈폭이 좁은 홈의 테이퍼각이 홈폭이 넓은 홈의 테이퍼각보다 작은 경우, 하부 전력을 보다 크게 한다. 또한, 반대로 홈폭이 좁은 홈의 테이퍼각이 홈폭이 넓은 홈의 테이퍼각보다 큰 경우, 하부 전력을 보다 작게 한다.
도 9의 그래프(세로축은 에칭 깊이(따라서 실질적으로 에칭속도), 가로축은 에칭 가스의 총유량)는 상기 에칭 처리 장치(1)를 이용한 8 인치 직경의 웨이퍼(W)의 에칭에 있어서, 에칭 깊이와 에칭 가스의 총유량의 관계를 나타내는 것으로, 실선 M이 홈폭이 0.24 ㎛인 경우, 점선 N이 홈폭이 1.00 ㎛인 경우를 나타내고 있다. 또한, 도 10의 그래프(세로축은 테이퍼각, 가로축은 에칭 가스의 총유량)는 상기 에칭 처리 장치(1)를 이용한 8 인치 직경의 웨이퍼(W)의 에칭에 있어서, 테이퍼각과 에칭 가스의 총유량의 관계를 나타내는 것으로, 실선 O가 홈폭이 0.24 ㎛인 경우, 점선 P가 홈폭이 1.00 ㎛인 경우를 나타내고 있다.
또한, 에칭 조건은
에칭 가스 : Cl2+HBr+O2
Cl2=25%(대 Cl2/HBr 총유량)
O2=0.8%(대 Cl2/HBr 총유량)
압력 : 13 Pa (100 mTorr)
상부 전극 인가 고주파 전력 : 1000 W
하부 전극 인가 고주파 전력 : 200 W
전극간 거리 : 80 ㎜
백 He 압력(센터/에지) : 400/400 Pa (3 Torr)
챔버 온도(상부/바닥부/측벽부) : 60/60/50℃
에칭 시간 : 83초
이다.
이들 도 9, 10의 그래프에 도시되는 바와 같이, 에칭 가스의 총유량을 변경함으로써, 에칭속도가 변화하고, 또한 테이퍼 각도도 변화한다. 또한, 도 10의 그래프에 도시되는 바와 같이, 테이퍼 각도의 변화는 홈폭이 0.24 ㎛인 경우(실선 O)와, 홈폭이 1.00 ㎛인 경우(점선 P)에서는 동일하지 않다.
따라서, 예컨대 필요로 하는 에칭속도와 테이퍼각을 얻을 수 있도록, 에칭 가스의 총유량을 변경한 경우, 홈폭이 좁은 홈과, 홈폭이 넓은 홈에서는 다른 테이퍼각이 될 가능성이 높아진다. 이러한 경우, 상술한 바와 같이, 하부 전력을 조정함으로써, 이러한 테이퍼각의 차를 없애도록 제어할 수 있다. 즉, 예컨대 홈폭이 좁은 홈의 테이퍼각이 홈폭이 넓은 홈의 테이퍼각보다 작은 경우, 하부 전력을 보다 크게 한다. 또한, 반대로 홈폭이 좁은 홈의 테이퍼각이 홈폭이 넓은 홈의 테이퍼각보다 큰 경우, 하부 전력을 보다 작게 한다.
이상과 같이, 본 실시예의 드라이 에칭 방법에서는 에칭 가스의 총유량, 에칭 가스중의 Cl2의 양(비) 등과 함께 하부 전력을 조정함으로써, 홈폭이 다른 홈이 혼재하는 경우에도, 이들 홈의 측벽형상을, 소망하는 테이퍼각을 갖도록 소정 형상으로 할 수 있다.
따라서, 그 후의 유전체의 매립을 양호하게 실행할 수 있어, STI에 의한 소자 분리를 양호하게 실행하는 것이 가능해진다.
다음에, 본 발명의 다른 실시예에 이러한 STI 공정에서의 Si를 에칭하는 공정에 대하여 도 11을 참조하면서 설명한다. 또한, 도시의 예는 STI 공정에서의 소자 분리를 위해서 SiO2등의 절연물을 매설하기 위한 홈을 형성하는 공정을 나타내고있다.
도 11a에 도시하는 바와 같이 우선 Si로 이루어지는 실리콘 기판(202)의 표면에 예컨대 10 ㎚ 정도의 산화규소(SiO2) 등의 열산화막(204)과 질화규소(SiN)막(206)을 형성하고, 통상 이용되는 포토리소그래피 기술에 의해서 레지스트 패턴을 형성하고, 이것을 마스크로 하여 SiN막(206), 열산화막(204)을 패터닝한다. 그리고, 나머지 포토 레지스트층을 애싱하여 레지스트 패턴을 제거한다.
이어서, SiN막(206), 열산화막(204)을 마스크로 하여 개구부를 드라이 에칭에 의해서 이방성 에칭함으로써, 소자 분리를 위한 절연물을 매설하는 홈을 형성한다.
본 실시예에서는, 예컨대 Cl2와 O2를 혼합한 처리 가스에 의해서 플라즈마 처리를 하는 주 공정(제 2 공정) 전에, 상기 홈의 측벽에 있어서의 상기 마스크와 Si와의 경계 부분을 둥글게(round) 형성하기 위해서 전 공정(제 1 공정)을 함과 동시에, 상기 주 공정(제 2 공정) 후에 상기 홈의 바닥 부분을 둥글게(round) 형성하기 위해서 후속 공정(제 3 공정)을 한다.
상기 전공정은 마스크인 SiN막(206)과 열산화막(204)에 대하여, HBr와 N2을 포함하는 혼합 가스로 이루어지는 처리 가스로 플라즈마 처리함으로써 Si를 에칭한다.
이 경우에는 적어도 챔버(2)내의 압력은 에칭에 의한 반응 생성물(deposits)을 내기 쉽고 둥근 형상을 내기쉬운 정도, 구체적으로는 6.7 Pa (50 mTorr) 이하, 실용적인 관점으로부터 보다 바람직하게는 2.7 Pa (20 mTorr) 이상 또한 6.7 Pa (50 mTorr) 이하, 처리 가스의 HBr와 N2의 유량비는 에칭이 진행하는 정도, 구체적으로는 N2의 유량에 대한 HBr의 유량이 3 이상, 하부 전극으로서의 서셉터(5)로 인가하는 바이어스용 고주파 전력은 에칭이 중지 되지 않는 정도, 구체적으로는 100 W 이상, 바람직하게는 150 W 이상, 더욱 바람직하게는 200 W 이상으로 플라즈마 처리하는 것이 양호하다.
이러한 전 공정의 플라즈마 처리에 의한 에칭을 하면, 도 11b에 도시하는 바와 같이 Si가 얕게 파지고, 그 파진 홈(210)의 측벽은 둥글게 형성된다. 이 상태에서 주공정에 의한 에칭을 하면, 도 11c에 도시하는 바와 같이 홈(210)의 측벽에 있어서의 상측 부분, 예컨대 마스크와 Si의 경계 부분(212)이 둥글게(round) 형성된다.
이 상태에서 주공정의 플라즈마 처리에 의한 에칭을 한다. 이 주공정에서는 마스크인 SiN막(206)과 열산화막(204)에 대하여 종래와 마찬가지로, 예컨대 Cl2와 O2를 포함하는 혼합 가스로 이루어지는 처리 가스 등으로 플라즈마 처리함으로써, Si를 이방성 에칭한다. 이 경우의 에칭할 때의 조건은 종래와 마찬가지이다.
구체적으로는 예컨대 Cl2와 O2포함하는 혼합 가스를 처리 가스로서 에칭하는 경우, 챔버(2)내의 압력이 2.7 Pa (20 mTorr), 상부 전극(21)에 인가하는 고주파전력을 600 W, 하부 전극으로서의 서셉터(5)에 인가하는 고주파 전력을 20 W, 상부 전극(21)과 서셉터(5)의 간격 115 ㎜, 처리 가스의 Cl2와 O2와의 가스 유량비(Cl2의 가스 유량/O2의 가스 유량)는 168 sccm/32 sccm으로 하고, 챔버(2)내의 설정온도에 있어서는 서셉터(5)를 40℃, 상부 전극(21)을 80℃, 측벽부를 60℃로 한다.
이러한 주공정의 플라즈마 처리에 의한 에칭을 하면, 도 11c에 도시하는 바와 같이 홈(210)의 바닥 부분(214)이 더욱 깊게 파지고, 홈(210)의 측벽에 있어서의 마스크와 Si와의 경계 부분(212)에 둥그스름한 모양(round)이 남는다. 또한, 이 주공정의 플라즈마 처리에 의한 에칭을 할 때에, 상술한 실시예와 같이 조건을 설정함으로써, 홈(210)의 테이퍼각을 제어할 수 있다.
계속해서, 후속 공정의 플라즈마 처리에 의한 에칭을 한다. 이 다음 공정은 전 공정 및 주 공정에 의한 에칭을 하더라도 아직 홈의 바닥 부분은 뾰족한 곳이 있기 때문에, 홈(210)의 바닥 부분(214)을 둥글게 하기 위해서 실행하는 것이다.
상기 후속 공정에서는 마스크인 SiN막(206)과 열산화막(204)에 대하여, Cl2와 HBr를 포함하는 혼합 가스로 플라즈마 처리함으로써 Si를 에칭한다. 이 경우, 적어도 챔버(2)내의 압력은 반응생성물이 많아 둥글게 하기 쉬울 정도, 구체적으로는 20 Pa (150 mTorr) 이상으로 하는 것이 양호하다. 처리 가스의 HBr와 Cl2의 유량비로서는 에칭이 진행할 정도, 구체적으로는 Cl2의 유량에 대한 HBr의 유량을 2 이상으로 하는 것이 양호하다. 하부 전극으로서의 서셉터(5)에 인가하는 바이어스용 고주파 전력은 에칭이 중지되지 않는 정도, 구체적으로는 50 W 이상으로 플라즈마 처리하는 것이 양호하다.
이러한 후속 공정의 플라즈마 처리에 의한 에칭을 하면, 도 11d에 도시하는 바와 같이 홈(210)의 바닥 부분이 더욱 파짐과 동시에, 그 파진 홈(210)의 바닥부분(214)에는 동그스름한 모양(round)이 형성된다. 이렇게 해서, 실리콘 기판의 Si에 절연물을 매설하기 쉬운 홈(210)의 형상이 형성된다.
전 공정 및 후속 공정의 플라즈마 처리는 주공정에서의 플라즈마 처리를 실시하는 시간보다 적은 시간만 실행한다. 예컨대 주공정에서의 플라즈마 처리를 실시하는 시간을 1이라고 하면, 전공정에서의 플라즈마 처리는 0.15 내지 0.5의 비율의 시간만 실행하고, 후속 공정에 있어서의 플라즈마 처리는 0.3 내지 0.7의 비율의 시간만 실행한다. 구체적으로는, 예컨대 주공정을 30초 정도 실행하는 경우, 전공정은 5 내지 15초 정도의 시간만 실행하고, 후속 공정은 10 내지 20초만 실행한다.
이것에 의해서 전공정에서는 홈(210)의 측벽에 있어서의 마스크와 Si와의 경계 부분(212)에 둥그스름한 모양(round)이 형성되는 정도만 Si를 파 나갈 수 있고, 또한 후속 공정에서는 홈(210)의 바닥 부분(214)에 둥그스름한 모양(round)이 형성되는 정도로 Si를 파 나갈 수 있다.
여기서, 본 발명에 관한 에칭 처리에 의해서 형성된 홈의 형상에 대하여 종래의 경우와 비교하면서 설명한다. 우선 실제로 종래의 주 공정만에 의해서 에칭을 한 경우와 전 공정 및 주 공정에 의한 에칭을 한 경우의 홈의 측벽 부분의 형상에 대하여 각각 도 12, 도 13에 나타낸다.
도 12는 종래의 주 공정으로서, Cl2와 O2를 포함하는 혼합 가스로 이루어지는 처리 가스를 이용하고, 챔버(2)내의 압력을 2.7 Pa (20 mTorr), 상부 전극(21)에 인가하는 고주파 전력을 600 W, 하부 전극으로서의 서셉터(5)에 인가하는 고주파 전력을 200 W, 상부 전극(21)과 서셉터(5)와의 간격 115 ㎜, 처리 가스 Cl2와 O2의 가스 유량비(Cl2가스 유량/O2의 가스 유량)는 168 sccm/32 sccm으로 하고, 챔버(2)내의 설정온도에 있어서는 서셉터(5)를 40℃, 상부 전극(21)을 80℃, 측벽부를 60℃로 하는 조건에 의해서 플라즈마 처리를 한 것이다.
또한 도 13은 본원 발명에 따른 전공정 및 주공정에 의한 에칭을 한 경우로, 전공정에 대해서는 챔버(2)내의 압력을 2.7Pa(20mTorr), 상부 전극(21)에 인가하는 고주파 전력을 700W, 하부 전극으로서의 서셉터(5)에 인가하는 고주파 전력을 300W, 상부 전극(21)과 서셉터(5)의 간격 115㎜, 처리 가스 HBr와 N2의 가스 유량비(HBr의 가스 유량/N2의 가스 유량)는 300sccm/100sccm으로 하고, 챔버(2)내의 설정온도에 대해서는 서셉터(5)를 50℃, 상부 전극(21)을 60℃, 측벽부를 60℃로 하는 조건에 의해서, 5 내지 15초 정도의 짧은 시간만 플라즈마 처리를 한 것이다. 주공정은 상기 종래와 동일한 조건에 의해서 30초 정도의 시간만 플라즈마 처리를 한 것이다.
이 실험 결과에 의하면, 종래의 주 공정에 의해서 에칭한 경우는 도 12에 도시하는 바와 같이 Si에 형성된 홈(310)의 측벽에 있어서의 마스크(SiN막(206), 열산화막(204))와 Si와의 경계 부분(312)은 직선적으로 되어 있다. 이것에 대하여 본 발명에 따른 전 공정 및 주 공정을 한 경우에는 도 13에 도시하는 바와 같이 Si의 홈(210)의 측벽의 마스크와 Si와의 경계 부분(212)에 동그스름한 모양(round)이 형성되어 있는 것을 알 수 있다.
다음에, 실제로 종래의 주 공정만에 의해서 에칭을 한 경우와 후속 공정에 의한 에칭을 한 경우의 홈의 바닥 부분의 형상에 대하여 각각 도 14, 도 15에 나타낸다.
도 14는 도 12의 경우와 동일한 조건에 의해서 주 공정에 의한 에칭을 하여 형성한 홈(310)의 바닥 부분(314)의 형상이다. 또한, 도 15는 도 13의 경우와 동일한 주 공정을 한 후에 본원 발명에 따른 후속 공정에 의한 에칭을 한 것이다.
이 후속 공정에 대해서는 챔버(2)내의 압력을 20 Pa (150 mTorr), 상부 전극(21)에 인가하는 고주파 전력을 500 W, 하부 전극으로서의 서셉터(5)에 인가하는 고주파 전력을 500 W, 상부 전극(21)과 서셉터(5)와의 간격 140 ㎜, 처리 가스 HBr와 Cl2의 가스 유량비(HBr의 가스 유량/Cl2의 가스 유량)는 225 sccm/75 sccm으로 하고, 챔버(2)내의 설정온도에 있어서는 서셉터(5)를 40℃, 상부 전극(21)을 80℃, 측벽부를 60℃로 하는 조건에 의해서, 10 내지 20초 정도의 짧은 시간만 플라즈마 처리를 한 것이다.
이 실험 결과에 의하면, 종래의 주 공정에 의해서 에칭한 경우는 도 14에 도시하는 바와 같이 Si에 형성된 홈(310)의 바닥 부분(314)은 뾰족한 부분이 존재하고 있다. 이것에 대하여 본 발명과 같이 주공정 후에 후속 공정을 한 경우에는 도 15에 도시하는 바와 같이 Si의 홈(210)의 바닥 부분(214)은 전체적으로 둥그스름한 모양(round)으로 형성되어 있고, 뾰족한 부분이 없어진 것을 알 수 있다.
이와 같이, 종래와 같은 홈(310)의 형상에서는 뾰족한 부분이 있으므로, 홈(310)에 SiO2등의 절연물을 예컨대 성막에 의해서 매설하는 경우, 성막은 홈(310)의 표면에 한층 한층 적층되어 가기 때문에, 각 층이 결합했을 때에 응력이 발생하거나 공극(void)이 생기거나 하여 리크 전류가 발생하거나 한다고 하는 불이익이 있다. 이것에 대하여, 본 발명에 의한 에칭 방법에서는 형성된 홈(210)에 둥그스름한 모양이 형성되고 뾰족한 부분이 없어지기 때문에, 절연물을 매설하기 쉬워진다. 즉, 아이솔레이션의 효율이 높아지고, 리크 전류와 매설한 후의 응력이 걸리기 어려워진다.
이와 같이 본 실시예에 의하면, STI 공정에서 실리콘 기판의 Si에 소자 분리를 위한 절연물을 매설하는 홈(210)을 형성할 때, 주 공정(제 2 공정)에 의한 에칭 전에 짧은 시간만 HBr와 N2을 포함하는 혼합 가스로 이루어지는 처리 가스에 의해서 플라즈마 처리(전 공정 : 제 1 공정)를 함과 동시에, 주 공정에 의한 플라즈마 처리 후에 짧은 시간만 Cl2과 HBr를 포함하는 혼합 가스로 이루어지는 처리 가스에 의해서 플라즈마 처리(후속 공정 : 제 3 공정)를 함으로써, SiO2등의 절연물을 매설하기 쉬운 홈(210)을 형성할 수 있다. 구체적으로는, 홈(210)의 형상으로서 도 11d에 도시하는 바와 같이 홈(210)의 측벽에 있어서의 마스크와 Si와의 경계 부분(홈(210)의 측면의 상측 부분)(212)에 둥그스름한 모양이 형성됨과 동시에, 홈(210)의 바닥 부분(214)에 둥그스름한 모양이 형성되어, 뾰족한 부분이 없는 형상으로 할 수 있다.
이러한 형상의 홈(210)을 형성할 수 있기 때문에, 아이솔레이션의 효율이 높아지고, 리크 전류나 매설한 후의 응력이 걸리기 어렵게 할 수 있다. 이것에 의해서, 각종 소자를 한층 더 미세화할 수 있다. 또한, 본 실시예에서는 상부 전극(21)과, 하부 전극으로서의 서셉터(5)에 각각 고주파 전력을 인가하는 플라즈마 에칭 처리 장치에 대하여 설명했지만, 반드시 이것에 한정되는 것이 아니라, 예컨대 하부 전극에만 고주파 전력을 인가하는 플라즈마 에칭 장치에 적용해도 무방하다.
본 발명에 따른 드라이 에칭 방법은 반도체 장치를 제조하는 반도체 제조 산업 등에서 사용하는 것이 가능하다. 따라서, 산업상의 이용 가능성을 갖는다.

Claims (17)

  1. 실리콘 단결정에 대하여, 마스크층을 거쳐서 소망하는 형상의 홈을 형성하는 드라이 에칭 방법에 있어서,
    에칭실내에 마련된 한 쌍의 대향 전극 중 한쪽에 기판을 배치하고, 상기 대향 전극의 양쪽에 고주파 전력을 공급하여 플라즈마에 의해서 에칭을 하는 장치를 사용하고,
    상기 에칭실내로 에칭 가스를 도입하고,
    상기 기판이 배치된 측의 상기 대향 전극에 인가하는 고주파 전력을 조정함으로써, 상기 홈의 측벽형상을 제어하는 것을 특징으로 하는
    드라이 에칭 방법.
  2. 제 1 항에 있어서,
    상기 에칭 가스가 적어도 Cl를 포함하는 가스와, Br를 포함하는 가스의 혼합 가스인 것을 특징으로 하는
    드라이 에칭 방법.
  3. 제 2 항에 있어서,
    상기 Cl를 포함하는 가스는 Cl2인 것을 특징으로 하는
    드라이 에칭 방법.
  4. 제 2 항에 있어서,
    상기 Br를 포함하는 가스는 HBr인 것을 특징으로 하는
    드라이 에칭 방법.
  5. 제 1 항에 있어서,
    상기 에칭 가스가 산소를 포함하는 것을 특징으로 하는
    드라이 에칭 방법.
  6. 제 1 항에 있어서,
    상기 에칭 가스의 총유량을 조정하여, 상기 홈의 측벽형상을 제어하는 것을 특징으로 하는
    드라이 에칭 방법.
  7. 제 3 항에 있어서,
    상기 에칭 가스중의 Cl2의 양을 조정하여, 상기 홈의 측벽형상을 제어하는 것을 특징으로 하는
    드라이 에칭 방법.
  8. 제 1 항에 있어서,
    상기 기판이 배치된 측의 상기 대향 전극에 인가하는 고주파 전력이 0.157 내지 1.57 W/㎠의 범위인 것을 특징으로 하는
    드라이 에칭 방법.
  9. 제 1 항에 있어서,
    상기 기판에 홈폭이 다른 복수 종류의 상기 홈을 형성하는 것을 특징으로 하는
    드라이 에칭 방법.
  10. 기밀한 처리실내에 처리 가스를 도입하여 실리콘 기판의 실리콘에 대하여 플라즈마 처리를 함으로써, 상기 실리콘 기판 상에 홈을 형성하는 드라이 에칭 방법에 있어서,
    상기 처리 가스로서 적어도 HBr와 N2를 포함하는 혼합 가스를 도입하여 플라즈마 처리를 실시하는 제 1 공정과,
    상기 실리콘 기판의 실리콘에 상기 홈을 형성하는 플라즈마 처리를 실시하는 제 2 공정과,
    상기 처리 가스로서 적어도 HBr와 Cl2를 포함하는 혼합 가스를 도입하여 플라즈마 처리를 실시하는 제 3 공정을 포함하는 것을 특징으로 하는
    드라이 에칭 방법.
  11. 제 10 항에 있어서,
    상기 제 1 공정은 적어도 상기 처리실내의 압력을 6.7 Pa(50 mTorr) 이하, 상기 처리 가스의 N2의 유량에 대한 HBr의 유량의 비를 3 이상, 플라즈마를 발생시키기 위해서 상기 처리실내에 마련한 전극에 인가하는 바이어스용 고주파 전력을 100 W 이상으로 하는 조건에 의해서 플라즈마 처리를 실시하는 것을 특징으로 하는
    드라이 에칭 방법.
  12. 제 10 항에 있어서,
    상기 제 3 공정은 적어도 상기 처리실내의 압력을 20 Pa (150 mTorr) 이상, 상기 처리 가스의 Cl2의 유량에 대한 HBr의 유량의 비를 2 이상, 플라즈마를 발생시키기 위해서 상기 처리실내에 마련한 전극에 인가하는 바이어스용 고주파 전력을 50 W 이상으로 하는 조건에 의해서 플라즈마 처리를 실시하는 것을 특징으로 하는
    드라이 에칭 방법.
  13. 제 10 항에 있어서,
    상기 제 1 공정에서의 플라즈마 처리를 실시하는 시간은 상기 제 2 공정에서의 플라즈마 처리를 실시하는 시간보다 짧은 것을 특징으로 하는
    드라이 에칭 방법.
  14. 제 13 항에 있어서,
    상기 제 1 공정은 상기 제 2 공정에서의 플라즈마 처리를 실시하는 시간을 1이라고 하면, 그 시간에 대하여 0.15 내지 0.5의 비율의 시간만 플라즈마 처리를 실시하는 것을 특징으로 하는
    드라이 에칭 방법.
  15. 제 10 항에 있어서,
    상기 제 3 공정에서의 플라즈마 처리를 실시하는 시간은 상기 제 2 공정에서의 플라즈마 처리를 실시하는 시간보다 짧은 것을 특징으로 하는
    드라이 에칭 방법.
  16. 제 15 항에 있어서,
    상기 제 3 공정은 상기 제 2 공정에서의 플라즈마 처리를 실시하는 시간을 1이라고 하면, 그 시간에 대하여 0.3 내지 0.7의 비율의 시간만 플라즈마 처리를 실시하는 것을 특징으로 하는
    드라이 에칭 방법.
  17. 기밀한 처리실내에 처리 가스를 도입하여 실리콘 기판의 실리콘에 대하여 플라즈마 처리를 함으로써, 상기 실리콘 기판 상에 홈을 형성하는 드라이 에칭 방법에 있어서,
    상기 실리콘 기판의 실리콘에 상기 홈을 형성하는 공정을 하기 전에 상기 홈의 측벽에 있어서의 에칭용 마스크와 실리콘과의 경계 부분에 둥그스름한 모양을 형성하기 위한 에칭 처리를 실시하는 공정과,
    상기 실리콘 기판의 실리콘에 상기 홈을 형성하는 공정을 한 후에, 상기 홈에 있어서의 바닥 부분에 둥그스름한 모양을 형성하기 위한 에칭 처리를 실시하는 공정을 포함하는 것을 특징으로 하는
    드라이 에칭 방법.
KR1020037016663A 2001-06-22 2002-06-07 드라이 에칭 방법 KR100595065B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2001-00189579 2001-06-22
JP2001189579A JP4854874B2 (ja) 2001-06-22 2001-06-22 ドライエッチング方法
JPJP-P-2002-00012206 2002-01-21
JP2002012206A JP4516713B2 (ja) 2002-01-21 2002-01-21 エッチング方法
PCT/JP2002/005636 WO2003001577A1 (en) 2001-06-22 2002-06-07 Dry-etching method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020067004635A Division KR100702723B1 (ko) 2001-06-22 2002-06-07 드라이 에칭 방법

Publications (2)

Publication Number Publication Date
KR20040021613A true KR20040021613A (ko) 2004-03-10
KR100595065B1 KR100595065B1 (ko) 2006-06-30

Family

ID=26617413

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020037016663A KR100595065B1 (ko) 2001-06-22 2002-06-07 드라이 에칭 방법
KR1020067004635A KR100702723B1 (ko) 2001-06-22 2002-06-07 드라이 에칭 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020067004635A KR100702723B1 (ko) 2001-06-22 2002-06-07 드라이 에칭 방법

Country Status (5)

Country Link
US (2) US7183217B2 (ko)
KR (2) KR100595065B1 (ko)
CN (1) CN100336180C (ko)
TW (1) TWI364789B (ko)
WO (1) WO2003001577A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160000434U (ko) 2014-07-28 2016-02-05 오종만 피자 고정구에 착탈되는 캐릭터

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5820841A (en) * 1996-09-19 1998-10-13 Ethicon, Inc. Hydrogen peroxide complexes of inorganic salts and synthesis thereof
KR100595065B1 (ko) * 2001-06-22 2006-06-30 동경 엘렉트론 주식회사 드라이 에칭 방법
JP2007184356A (ja) * 2006-01-05 2007-07-19 Oki Electric Ind Co Ltd エッチング方法
US20070218681A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
KR100806799B1 (ko) * 2006-09-18 2008-02-27 동부일렉트로닉스 주식회사 이미지 센서의 제조 방법
KR100853485B1 (ko) * 2007-03-19 2008-08-21 주식회사 하이닉스반도체 리세스 게이트를 갖는 반도체 소자의 제조 방법
WO2009012122A1 (en) 2007-07-13 2009-01-22 Marvell World Trade Ltd. Method for shallow trench isolation
US7863180B2 (en) * 2008-05-06 2011-01-04 International Business Machines Corporation Through substrate via including variable sidewall profile
JP5235596B2 (ja) * 2008-10-15 2013-07-10 東京エレクトロン株式会社 Siエッチング方法
CN102456610B (zh) * 2010-10-20 2013-11-06 中国科学院微电子研究所 控制背孔剖面形状的方法
CN104217985A (zh) * 2013-05-31 2014-12-17 中芯国际集成电路制造(上海)有限公司 半导体器件和浅沟槽的制作方法
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
CN106298636B (zh) * 2015-05-22 2019-05-14 中芯国际集成电路制造(上海)有限公司 一种超低k介质材料刻蚀深度的控制方法
KR20170023654A (ko) * 2015-08-24 2017-03-06 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9966312B2 (en) 2015-08-25 2018-05-08 Tokyo Electron Limited Method for etching a silicon-containing substrate
US9793164B2 (en) * 2015-11-12 2017-10-17 Qualcomm Incorporated Self-aligned metal cut and via for back-end-of-line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices
JP6556046B2 (ja) * 2015-12-17 2019-08-07 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP6643950B2 (ja) * 2016-05-23 2020-02-12 東京エレクトロン株式会社 プラズマ処理方法
JP6524562B2 (ja) * 2017-02-23 2019-06-05 パナソニックIpマネジメント株式会社 素子チップおよびその製造方法
US11877434B2 (en) * 2020-07-09 2024-01-16 Micron Technology, Inc. Microelectronic devices having features with a fin portion of different sidewall slope than a lower portion, and related methods and electronic systems

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5812347B2 (ja) * 1981-02-09 1983-03-08 日本電信電話株式会社 プラズマエッチング装置
US4855017A (en) * 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
US4729815A (en) * 1986-07-21 1988-03-08 Motorola, Inc. Multiple step trench etching process
US5258332A (en) * 1987-08-28 1993-11-02 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor devices including rounding of corner portions by etching
US5316616A (en) * 1988-02-09 1994-05-31 Fujitsu Limited Dry etching with hydrogen bromide or bromine
JPH0214548A (ja) 1988-07-01 1990-01-18 Hitachi Ltd 半導体装置およびその製造方法
JPH02260424A (ja) * 1989-03-30 1990-10-23 Matsushita Electric Ind Co Ltd ドライエッチング方法
EP0414372A3 (en) * 1989-07-21 1991-04-24 Sony Corporation Dry etching methods
JP2995762B2 (ja) * 1989-10-26 1999-12-27 ソニー株式会社 半導体装置の製造方法
JP2884970B2 (ja) * 1992-11-18 1999-04-19 株式会社デンソー 半導体のドライエッチング方法
TW297919B (ko) * 1995-03-06 1997-02-11 Motorola Inc
TW344118B (en) * 1996-07-16 1998-11-01 Applied Materials Inc Etch process for single crystal silicon
US5843846A (en) * 1996-12-31 1998-12-01 Intel Corporation Etch process to produce rounded top corners for sub-micron silicon trench applications
US5882982A (en) * 1997-01-16 1999-03-16 Vlsi Technology, Inc. Trench isolation method
US5807789A (en) * 1997-03-20 1998-09-15 Taiwan Semiconductor Manufacturing, Co., Ltd. Method for forming a shallow trench with tapered profile and round corners for the application of shallow trench isolation (STI)
US5880004A (en) * 1997-06-10 1999-03-09 Winbond Electronics Corp. Trench isolation process
TW328162B (en) 1997-07-07 1998-03-11 Winbond Electronics Corp The method for rounding the top corner in shallow trench isolation process
US6124212A (en) * 1997-10-08 2000-09-26 Taiwan Semiconductor Manufacturing Co. High density plasma (HDP) etch method for suppressing micro-loading effects when etching polysilicon layers
US6103635A (en) * 1997-10-28 2000-08-15 Fairchild Semiconductor Corp. Trench forming process and integrated circuit device including a trench
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
JPH11145113A (ja) * 1997-11-13 1999-05-28 Nec Corp エッチング方法
US6008131A (en) * 1997-12-22 1999-12-28 Taiwan Semiconductor Manufacturing Company Ltd. Bottom rounding in shallow trench etching using a highly isotropic etching step
JPH11220017A (ja) * 1998-01-30 1999-08-10 Mitsubishi Electric Corp 半導体装置とその製造方法
JPH11243080A (ja) * 1998-02-25 1999-09-07 Nec Corp 半導体基板のエッチング方法
US5945724A (en) * 1998-04-09 1999-08-31 Micron Technology, Inc. Trench isolation region for semiconductor device
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP3062163B2 (ja) * 1998-12-01 2000-07-10 キヤノン販売株式会社 半導体装置及び半導体装置の膜の形成方法
US6225187B1 (en) * 1999-02-12 2001-05-01 Nanya Technology Corporation Method for STI-top rounding control
DE19910886B4 (de) * 1999-03-11 2008-08-14 Infineon Technologies Ag Verfahren zur Herstellung einer flachen Grabenisolation für elektrisch aktive Bauelemente
JP2000294626A (ja) * 1999-04-07 2000-10-20 Sony Corp 半導体装置の製造方法
US6432832B1 (en) * 1999-06-30 2002-08-13 Lam Research Corporation Method of improving the profile angle between narrow and wide features
US6235643B1 (en) * 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
US6180533B1 (en) * 1999-08-10 2001-01-30 Applied Materials, Inc. Method for etching a trench having rounded top corners in a silicon substrate
EP1077475A3 (en) * 1999-08-11 2003-04-02 Applied Materials, Inc. Method of micromachining a multi-part cavity
KR20010045623A (ko) 1999-11-05 2001-06-05 윤종용 반도체 장치의 트렌치 소자분리 방법
KR100358130B1 (ko) * 1999-12-24 2002-10-25 주식회사 하이닉스반도체 트렌치 저면의 스트레스 집중 현상을 완화시킬 수 있는 트렌치형 소자분리막 형성방법
US6544860B1 (en) * 2000-03-06 2003-04-08 Koninklijke Philips Electronics N.V. Shallow trench isolation method for forming rounded bottom trench corners
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6762129B2 (en) * 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2001345375A (ja) * 2000-05-31 2001-12-14 Miyazaki Oki Electric Co Ltd 半導体装置および半導体装置の製造方法
US6821900B2 (en) * 2001-01-09 2004-11-23 Infineon Technologies Ag Method for dry etching deep trenches in a substrate
US6440816B1 (en) * 2001-01-30 2002-08-27 Agere Systems Guardian Corp. Alignment mark fabrication process to limit accumulation of errors in level to level overlay
KR100595065B1 (ko) * 2001-06-22 2006-06-30 동경 엘렉트론 주식회사 드라이 에칭 방법
US6500727B1 (en) * 2001-09-21 2002-12-31 Taiwan Semiconductor Manufacturing Company Silicon shallow trench etching with round top corner by photoresist-free process
US6821901B2 (en) * 2002-02-28 2004-11-23 Seung-Jin Song Method of through-etching substrate
JP3586678B2 (ja) * 2002-04-12 2004-11-10 エルピーダメモリ株式会社 エッチング方法
US6849554B2 (en) * 2002-05-01 2005-02-01 Applied Materials, Inc. Method of etching a deep trench having a tapered profile in silicon
US6709984B2 (en) * 2002-08-13 2004-03-23 Hitachi High-Technologies Corporation Method for manufacturing semiconductor device
US6919259B2 (en) * 2002-10-21 2005-07-19 Taiwan Semiconductor Manufacturing Co., Ltd Method for STI etching using endpoint detection

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160000434U (ko) 2014-07-28 2016-02-05 오종만 피자 고정구에 착탈되는 캐릭터

Also Published As

Publication number Publication date
US20040171254A1 (en) 2004-09-02
KR100595065B1 (ko) 2006-06-30
KR100702723B1 (ko) 2007-04-03
US7531460B2 (en) 2009-05-12
US7183217B2 (en) 2007-02-27
WO2003001577A1 (en) 2003-01-03
TWI364789B (ko) 2012-05-21
KR20060028660A (ko) 2006-03-30
CN100336180C (zh) 2007-09-05
CN1518759A (zh) 2004-08-04
US20060172546A1 (en) 2006-08-03

Similar Documents

Publication Publication Date Title
KR100595065B1 (ko) 드라이 에칭 방법
KR100748477B1 (ko) 반도체장치의 제조방법
JP4652140B2 (ja) プラズマエッチング方法、制御プログラム、コンピュータ記憶媒体
US20060021704A1 (en) Method and apparatus for etching Si
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
KR20010080234A (ko) 플라즈마 에칭 방법
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
TW202004902A (zh) 基板處理方法及基板處理裝置
KR100932763B1 (ko) 시료의 플라즈마 에칭방법
KR100549204B1 (ko) 실리콘 이방성 식각 방법
KR100867174B1 (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치, 제어프로그램 및 컴퓨터 기억 매체
JP2005217240A (ja) ドライエッチング装置およびドライエッチング方法
JP4854874B2 (ja) ドライエッチング方法
JP4128365B2 (ja) エッチング方法及びエッチング装置
JP7202489B2 (ja) プラズマ処理方法
JP4778715B2 (ja) 半導体の製造方法
TWI753413B (zh) 電漿處理方法
WO2023067786A1 (ja) プラズマ処理方法
JP2003017475A (ja) ドライエッチング方法
JP4800077B2 (ja) プラズマエッチング方法
JP2001044173A (ja) エッチング方法
JPH0964017A (ja) 半導体製造装置および半導体装置の製造方法
JP2000156404A (ja) 素子分離エッチング方法
JPH0878515A (ja) 半導体装置の製造方法
KR20020081153A (ko) 포토레지스트에 대해 고선택비의 에칭이 가능한반도체장치 및 그의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
A107 Divisional application of patent
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140603

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150515

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee