KR100748477B1 - 반도체장치의 제조방법 - Google Patents

반도체장치의 제조방법 Download PDF

Info

Publication number
KR100748477B1
KR100748477B1 KR1020050078114A KR20050078114A KR100748477B1 KR 100748477 B1 KR100748477 B1 KR 100748477B1 KR 1020050078114 A KR1020050078114 A KR 1020050078114A KR 20050078114 A KR20050078114 A KR 20050078114A KR 100748477 B1 KR100748477 B1 KR 100748477B1
Authority
KR
South Korea
Prior art keywords
polysilicon
etching
sio
insulating film
sample
Prior art date
Application number
KR1020050078114A
Other languages
English (en)
Other versions
KR20070013971A (ko
Inventor
고 사이토
도시아키 니시다
다카히로 시모무라
다카오 아라세
Original Assignee
가부시키가이샤 히다치 하이테크놀로지즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 하이테크놀로지즈 filed Critical 가부시키가이샤 히다치 하이테크놀로지즈
Publication of KR20070013971A publication Critical patent/KR20070013971A/ko
Application granted granted Critical
Publication of KR100748477B1 publication Critical patent/KR100748477B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 폴리실리콘 또는 SiO2에 대한 Al203 선택성을 가지는 에칭방법을 제공하는 것이다.
Al2O3로 이루어지는 층간 절연막(203)과 상기 Al2O3에 접하는 폴리실리콘층(202, 204) 또는 SiO2(205, 207)를 가지는 시료를 플라즈마처리장치를 사용하여 에칭처리하는 반도체장치의 제조방법에 있어서, Al2O3(203)의 에칭처리를, BCl3과, Ar과, CH4 또는 He의 혼합가스를 사용하여 행한다. 이 때 혼합가스에 Cl2를 첨가할 수 있고, 시료에 고주파 바이어스전압을 시간 변조하여 인가한다. 또한 시료의 온도를 100℃ 내지 200℃로 유지한다.

Description

반도체장치의 제조방법{SEMICONDUCTOR DEVICE MANUFACTURING METHOD}
도 1은 본 발명을 적용한 플라즈마 에칭장치의 개략 구성을 설명하는 도,
도 2는 본 발명에 관한 처리공정을 설명하는 도,
도 3은 본 발명에 관한 처리방법에 있어서의 CH4 함유비와 알루미나 선택비 및 바이어스에 시간변조를 행하였을 때의 효과를 설명하는 도,
도 4는 알루미나를 가지는 플래시 디바이스의 구조를 설명하는 단면도이다.
※ 도면의 주요부분에 대한 부호의 설명
101 : 안테나 102 : UHF 투과판
103 : 솔레노이드 코일 104 : 플라즈마
105 : 반도체웨이퍼(시료) 106 : 직류전원
107 : 처리대 108 : 고주파 전원
201 : 하드 마스크
202 : 컨트롤 게이트(제 2 폴리실리콘층)
203 : 층간 절연막(Al2O3)
204 : 플로팅 게이트(제 1 폴리실리콘층)
205 : 밑바탕 절연막(SiO2) 206 : 실리콘 기판
207 : 소자분리 트렌치(SiO2)
본 발명은 알루미나(Al2O3)의 밑바탕에 폴리실리콘 또는 SiO2를 가지고, 그들에 대하여 선택성을 필요로 하는 공정을 포함하는 반도체장치의 제조방법에 관한 것이다.
반도체장치의 고도의 미세화에 따라 High-K의 재료로서 Al2O3가 사용되고 있다. 특히 플래시 디바이스에 있어서는, 컨트롤 게이트와 플로팅 게이트 사이의 절연막으로서 High-K의 재료로서 Al2O3가 사용되고 있다. 이 2개의 게이트는 각각 폴리실리콘으로 구성되어 있고, 소자 분리구조를 가지고 있다. 이와 같은 디바이스의 제조에 있어서, 폴리실리콘과 Al2O3를 에칭하기 위해서는 플로팅 게이트와 소자분리에 의한 단차구조가 있기 때문에, 폴리실리콘과 Al2O3에 대하여 선택성이 필요하게 된다.
종래에는 상기 2개의 게이트 사이의 절연막은 ONO로 구성되고, CF계의 가스로 에칭하였으나, 디바이스의 고집적화나 고속화에 따라 보다 유전율이 높은 절연막이 요구되게 되어 High-K재로 이동하고 있다.
즉, 도 4에 나타내는 바와 같이 플래시 디바이스의 구조는, SiO2로 이루어지는 소자분리 트렌치(207)가 설치된 실리콘 기판(206) 위에 SiO2로 이루어지는 밑바탕 절연막(205)을 형성하고, 그 위에 제 1 폴리실리콘층(204)을 형성하여, 제 1 폴리실리콘층(204)을 소자분리 트렌치(207)의 표면 및 밑바탕 절연막(205) 위까지 에칭하여 플로팅 게이트를 형성하고, 그 위에 Al2O3로 이루어지는 층간 절연막(203)을 형성한 후, 컨트롤 게이트인 제 2 폴리실리콘층(202)을 형성하고, 그 위에 하드 마스크(201)를 형성한 후, 에칭처리하여 밑바탕 절연막 위에 플래시 디바이스용 웨이퍼(시료)를 형성하고 있다.
도 4의 A-A단면에서는, 제 2 폴리실리콘층(202)이 소자분리 트렌치(207) 위에 접하는 층간 절연막(203)까지 도달하여 있고, B-B 단면에서는 제 2 폴리실리콘층(202)이 밑바탕 절연막(205) 및 제 1 폴리실리콘층(204) 위의 층간 절연막(203)에 접하고 있다.
따라서, B-B단면에서의 에칭은, 제 2 폴리실리콘층(202)과 Al2O3로 이루어지는 층간 절연막(203)과의 선택성이 필요하게 된다.
한편, 콘택트홀 내의 알루미나 퇴적물의 제거에 BCl3를 함유하는 가스를 사용하는 것이 제안되어 있다(예를 들면, 특허문헌 1 참조).
또, PZT를 BCl3 또는 Ar로 에칭하고, 고온에서 하드 마스크와의 선택비를 향상시키는 것도 제안되어 있다(예를 들면, 특허문헌 2 참조).
Al2O3의 에칭에는, Cl2나 BCl3를 함유하는 가스를 사용하는 것이 일반적이다. 그러나 이러한 종류의 가스는 폴리실리콘이나 SiO2에 대한 선택성이 낮고, 단차부의 Al2O3를 제거하는 사이에 제 1 폴리실리콘층(204)과 그 밑의 밑바탕 절연층(게이트 산화막)(205)이 에칭된다는 문제가 있다.
[특허문헌 1]
일본국 특개평5-160084호 공보
[특허문헌 2]
일본국 특개2003-318371호 공보
본 발명은 폴리실리콘 또는 SiO2에 대한 Al2O3 선택성을 가지는 에칭방법을 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위하여, 본 발명은 Al2O3의 밑바탕에 폴리실리콘 및/또는 SiO2를 가지는 반도체 디바이스의 제조방법에 있어서, Al2O3의 에칭에 BCl3와 Ar 및 CH4의 혼합가스를 사용하여 에칭한다.
또한, 본 발명은 상기 혼합가스에 Cl2 가스를 더 첨가할 수 있고, 또 상기 혼합가스 Ar 대신에 He를 사용할 수 있다.
또한 이 에칭에 있어서 시간변조함으로써 바이어스를 인가할 수 있다. 또 100∼200℃의 고온으로 에칭하여도 되고, 폴리실리콘과 Al2O3의 에칭으로 챔버를 구분하여 사용하여도 된다.
상기 과제를 해결하기 위하여, 본 발명은, Al2O3로 이루어지는 층간 절연막과 그 Al2O3에 접하는 폴리실리콘 및/또는 SiO2를 가지는 시료를 플라즈마처리장치를 사용하여 에칭처리하는 반도체장치의 제조방법에 있어서, 상기 Al2O3의 에칭처리를 BCl3와, Ar과, CH4 또는 He의 혼합가스를 사용하여 행하는 것을 특징으로 한다. 또 상기 반도체장치의 제조방법에 있어서, 상기 혼합가스에 Cl2를 첨가할 수 있다.
본 발명은 상기 반도체장치의 제조방법에 있어서, 상기 Al2O3의 에칭처리에 있어서, 상기 시료에 인가하는 고주파 바이어스전압을 시간 변조한다. 또 상기 반도체장치의 제조방법에 있어서, 상기 Al2O3의 에칭처리에 있어서 상기 시료의 온도를 100℃ 내지 200℃로 유지한다. 또한 이 반도체장치의 제조방법에 있어서, 상기 Al2O3의 에칭처리와 폴리실리콘 및/또는 SiO2의 에칭처리를 다른 챔버에서 행한다.
본 발명은, Al2O3로 이루어지는 층간 절연막과 상기 Al2O3에 접하는 폴리실리콘 또는 SiO2를 가지는 시료를 플라즈마처리장치를 사용하여 에칭처리하는 반도체장치의 제조방법에 있어서, 상기 Al2O3의 에칭처리를, BCl3와, Ar과, CH4 또는 He의 혼합가스를 사용하여 행하도록 하였다.
본 발명에 의한 플라즈마처리장치를 사용한 반도체장치의 제조방법을 설명한다. 도 1을 사용하여 본 발명이 적용되는 플라즈마처리장치의 플라즈마생성부에 관한 상세를 설명한다. 예로서 플라즈마를 생성하는 수단으로서는, UHF파와 자계를 이용하기로 한다. UHF 전원으로부터 안테나(101)를 통하여 입사하고, UHF 투과판(102)을 통과하여 처리실 내에 도달한 UHF 파는, 처리실을 둘러싸도록 배치된 솔레노이드 코일(103)이 발생하는 자계와의 작용에 의하여, 프로세스 가스를 수반하여, ECR(Electron cyclotron Resonance : 전자사이클로트론공명)을 야기하여 고밀도 플라즈마(104)가 처리실내에 발생한다.
고밀도 플라즈마가 처리실 내에 발생한 후, 처리대상이 되는 웨이퍼(시료) (105)는 정전흡착 전원(108)에 의하여 직류전압이 인가된 처리대(107) 위에 정전흡착된다. 또 이 처리대(107)는 고주파 전원(106)과도 접속되어 있고, 고주파 바이어스전압이 처리대에 인가되어, 고밀도 플라즈마 중에 치우쳐서 존재하는 이온에 시료방향측(하향)으로 가속전위를 줌으로써 프로세스처리가 개시된다.
또, 프로세스처리 중에는, 진공펌프, 터보분자펌프 및 상기 터보분자펌프와 처리실과의 사이에 설치한 배리어블 밸브로 구성되는 배기구조에 의하여 처리실 내의 압력이 압력조절 가능하게 된다.
도 2를 사용하여, 도 1의 에칭장치를 사용한 본 발명에 관한 반도체의 제조방법을 설명한다. 도 2의 좌측 그림은 도 4의 A-A 단면에서, 도 2의 우측 그림은 도 4의 B-B 단면에서 처리과정을 설명하는 도면이다. 본 발명에 관한 에칭처리의 대상이되는 웨이퍼는 도 4에 나타낸 웨이퍼이고, 상층부터 순서대로, 패터닝된 하드마스크(201)와, 컨트롤 게이트인 제 2 폴리실리콘층(202)과, Al2O3로 이루어지는 층간 절연막(203)과, 플로팅 게이트인 제 1 폴리실리콘층(204)과, SiO2로 이루어지는 밑바탕 절연막(205)과, 예를 들면 SiO2가 매립된 소자분리 트렌치(207)가 형성된 실리콘 기판(206)으로 구성된다[도 2(a)].
도 1에 나타나는 플라즈마처리장치를 사용하여, 패터닝된 하드마스크(201)를, 마스크로서 제 2 폴리실리콘층(202)을 Cl2와 HBr과 O2의 혼합가스에 의해 에칭한다[도 2(b)]. 이 때 B 단면의 제 2 폴리실리콘층(202)의 두께는 얇으나 A 단면의 제 2 폴리실리콘층(202)의 두께는 두껍기 때문에, 층간 절연막(203)에 비하여 폴리실리콘의 선택성이 높은 에칭이 필요하게 된다.
이어서, 층간 절연막(203)을 BCl3와 CH4와 Ar의 혼합가스를 사용하여 에칭한다[도 2(c)]. 이 때, Al2O3의 밑바탕으로서의 폴리실리콘이나 SiO2에 대하여 Al2O3의 선택성이 높은 에칭이 필요하게 된다.
또한 Cl2와 HBr과 O2의 혼합가스로 플로팅 게이트를 구성하는 제 1 폴리실리콘층(204)을 에칭하고, 그 후 HBr과 O2의 혼합가스로 오버에칭하였다[도 2(d)].
도 2(c)의 처리에서는 Al에 대해서는 염소(Cl2)로 에칭하고, Al2O3에 대해서는 B로 Al-O의 결합을 끊고, Al-Cl로 에칭할 수 있는 BCl3를 사용하였다.
BCl3 단독으로는 폴리실리콘의 에칭속도는 Al2O3의 2배이며, SiO2의 1.5배이었 다.
BCl3와 Ar의 혼합가스에서 BCl3의 비율이 30%인 혼합가스를 사용하여, 압력 0.8 Pa에 있어서의 에칭속도는 Al2O3 = 29.8 nm/min, 폴리실리콘 = 44.9 nm/min, SiO2 = 37.3 nm/min 이었다.
본 발명에서는, BCl3와 Ar의 혼합가스에 CH4를 첨가하여, 혼합가스에 대한 CH4의 비율을 4%로 한 혼합가스를 사용하였다(BCl3 : Ar : CH4 = 30 : 66 : 4). BCl3에 Ar 및 CH4를 첨가함으로써, Al2O3와 폴리실리콘 및 SiO2의 각각의 에칭속도가 저하되나, 폴리실리콘의 에칭속도의 저하가 Al2O3의 에칭속도의 저하를 웃돌기 때문에 Al2O3의 폴리실리콘에 대한 선택성이 향상된다.
CH4 첨가비와, Al2O3의 폴리실리콘에 대한 선택비의 관계 및 Al2O3의 SiO2에 대한 선택비의 관계는 도 3과 같았다.
즉, BCl3와 Ar과 CH4의 혼합가스 중, BCl3가 30%, CH4가 2.7% 또는 3.4% 또는 3.6% 이고, 나머지가 Ar일 때, Al2O3의 폴리실리콘에 대한 선택비는 각각 0.7, 0.8, 1.5이었다. 마찬가지로 BCl3와 Ar과 CH4의 혼합가스 중, BCl3가 30%, CH4가 2.7% 또는 3.4% 또는 3.6% 이고, 나머지가 Ar일 때, Al2O3의 SiO2에 대한 선택비는 각각 0.8, 0.9, 1.0이었다.
즉, CH4가 3.6% 이상이면, Al2O3의 폴리실리콘에 대한 선택성은 커지고, Al2O3의 SiO2에 대한 선택성은 대략 같아진다. 이와 같이, CH4의 첨가비가 2.7% 정도일 때에 비하여 3.6%일 때에는 Al2O3의 폴리실리콘 또는 SiO2에 대한 선택비가 향상된다.
또한, RF 바이어스전압을 시간변조하여 시료에 인가함으로써, Al2O3의 폴리실리콘 및 SiO2에 대한 선택비를 향상시킬 수 있다. 시간 변조한 바이어스전압의 시료에 대한 인가조건은, 예를 들면 바이어스주파수 400 KHz에서 출력 50 kW, 인가시간 5 × 10-4초, 비인가시간 5 × 10-4초의 주기이며, 시간변조없음에서는 바이어스전압을 연속 인가하였다.
도 3에 나타내는 바와 같이, 상기 조건으로 CH4를 3.6% 첨가한 경우, RF 바이어스전압을 시간변조하여 인가할 때에는, 폴리실리콘에 대한 선택비는 RF 바이어스 시간변조있음에서 2.9에 대하여 시간변조없음에서 1.5이고, SiO2에 대한 선택비는 RF 바이어스 시간변조있음에서 1.3에 대하여 시간변조없음에서 1.0이었다. 이와 같이, 바이어스전압을 시간변조하여 인가함으로써, Al2O3의 폴리실리콘 또는 SiO2에 대한 선택비가, 시간변조하지 않는 경우에 비하여 크게 향상되어 있다.
그러나, 상기의 조건으로 에칭하면, 측벽에 대한 CH의 퇴적이 많기 때문에, 에칭형상은 순테이퍼형상이 되어 이후의 에칭처리에 부적합함을 주는 것이 염려된다. 이러한 에칭형상의 부적합함은 웨이퍼온도를 고온으로 하여 처리함으로써 선택비를 희생하지 않고 측벽에 대한 퇴적물을 감소시킬 수 있어, 수직으로 에칭하는 것이 가능해진다.
에칭형상에 대한 온도의 기여에 대하여 설명한다. 폴리실리콘에 대한 Al2O3의 선택비는, 웨이퍼온도가 50℃인 경우 0.8이고, 150℃인 경우 1.0이다. 또 SiO2에 대한 Al2O3의 선택비는, 웨이퍼온도가 50℃인 경우 0.8이고, 150℃인 경우 0.9이다. 그리고 에칭형상은 50℃일 때 상승각도 50°인 데 대하여, 150℃일 때 상승각도 88°로 대략 수직으로 에칭할 수 있다. 이와 같이 웨이퍼온도를 150℃로 올림으로써 Al2O3의 폴리실리콘 또는 SiO2에 대한 선택성을 희생하지 않고 에칭의 수직성을 유지할 수 있다.
상기한 바와 같이 폴리실리콘층(202, 204)에 대해서는 저온(50℃) 또는 고온(100℃ 내지 200℃)의 어느 것으로도 에칭이 가능하나, Al2O3막(203)의 경우에는, 수직성을 유지하기 위하여 고온(100℃ 내지 200℃)인 것이 양호한 결과를 얻을 수 있기 때문에, 폴리실리콘막과 Al2O3막의 에칭과정을 다른 챔버로 옮겨 처리함으로써 더욱 양호한 결과를 얻을 수 있다.
본 발명에 의하면, BCl3가스에 Ar과 CH4를 첨가한 혼합가스를 사용하여 Al2O3를 에칭함으로써, 폴리실리콘이나 SiO2를 충분히 남기고 층간 절연막을 제거하는 것 이 가능하게 된다.
BCl3가스에 Ar과 CH4를 첨가한 혼합가스를 사용하여 층간 절연막을 에칭함으로써, 측벽 퇴적물이 증가하여 순테이퍼형상이 되기 쉬우나, 시료를 고온으로 함으로써 Al2O3의 폴리실리콘이나 SiO2에 대한 선택성을 유지한 채로 측벽 퇴적물을 감소시켜 층간 절연막의 가공형상을 개선할 수 있다.

Claims (5)

  1. Al2O3로 이루어지는 층간 절연막과 상기 Al2O3에 접하는 폴리실리콘층을 가지는 시료를 플라즈마처리장치를 사용하여 에칭처리하는 반도체장치의 제조방법에 있어서,
    상기 층간 절연막의 에칭처리를, 30%의 BCl3와, 3.6% ~ 4%의 CH4 또는 He와, 나머지는 Ar로 하는 혼합가스를 사용하여 행하는 것을 특징으로 하는 반도체장치의 제조방법.
  2. 제 1항에 있어서,
    상기 혼합가스에 Cl2를 첨가하는 것을 특징으로 하는 반도체장치의 제조방법.
  3. 제 1항에 있어서,
    상기 층간 절연막의 에칭처리에 있어서, 상기 시료에 인가하는 고주파 바이어스전압을 시간 변조하는 것을 특징으로 하는 반도체장치의 제조방법.
  4. 제 1항에 있어서,
    상기 층간 절연막의 에칭처리에 있어서, 상기 시료의 온도를 100℃ 내지 200℃로 유지하는 것을 특징으로 하는 반도체장치의 제조방법.
  5. 제 4항에 있어서,
    상기 층간 절연막의 에칭처리와 폴리실리콘층의 에칭처리를 다른 챔버에서 행하는 것을 특징으로 하는 반도체장치의 제조방법.
KR1020050078114A 2005-07-26 2005-08-25 반도체장치의 제조방법 KR100748477B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2005-00216084 2005-07-26
JP2005216084A JP4554461B2 (ja) 2005-07-26 2005-07-26 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
KR20070013971A KR20070013971A (ko) 2007-01-31
KR100748477B1 true KR100748477B1 (ko) 2007-08-10

Family

ID=37694903

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050078114A KR100748477B1 (ko) 2005-07-26 2005-08-25 반도체장치의 제조방법

Country Status (4)

Country Link
US (1) US7364956B2 (ko)
JP (1) JP4554461B2 (ko)
KR (1) KR100748477B1 (ko)
TW (1) TWI275143B (ko)

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964512B2 (en) * 2005-08-22 2011-06-21 Applied Materials, Inc. Method for etching high dielectric constant materials
US8722547B2 (en) 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
JP2009021584A (ja) * 2007-06-27 2009-01-29 Applied Materials Inc 高k材料ゲート構造の高温エッチング方法
KR100937818B1 (ko) * 2007-08-20 2010-01-20 주식회사 하이닉스반도체 플래시 메모리 소자 및 그의 제조 방법
JP2009076711A (ja) * 2007-09-21 2009-04-09 Hitachi High-Technologies Corp 半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808562B2 (en) * 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) * 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9814007B2 (en) 2015-09-25 2017-11-07 Intel Corporation Synchronizing time among two or more devices
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7482427B2 (ja) 2020-09-08 2024-05-14 パナソニックIpマネジメント株式会社 プラズマ処理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05160084A (ja) * 1991-12-11 1993-06-25 Fujitsu Ltd 半導体装置の製造方法
JP2000023026A (ja) * 1998-07-03 2000-01-21 Moritex Corp 撮像式マイクロスコープ

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4444618A (en) * 1983-03-03 1984-04-24 General Electric Company Processes and gas mixtures for the reactive ion etching of aluminum and aluminum alloys
JP3540044B2 (ja) * 1995-01-26 2004-07-07 株式会社半導体エネルギー研究所 エッチング方法および半導体装置の作製方法
JP2000091321A (ja) 1998-09-10 2000-03-31 Hitachi Ltd 表面処理方法および装置
JP2000091325A (ja) 1998-09-11 2000-03-31 Hitachi Ltd 表面処理方法および装置
JP2001015479A (ja) * 1999-06-29 2001-01-19 Toshiba Corp 半導体装置の製造方法
US20030143853A1 (en) 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
JP4283017B2 (ja) * 2003-03-25 2009-06-24 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2005268292A (ja) * 2004-03-16 2005-09-29 Toshiba Corp 半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05160084A (ja) * 1991-12-11 1993-06-25 Fujitsu Ltd 半導体装置の製造方法
JP2000023026A (ja) * 1998-07-03 2000-01-21 Moritex Corp 撮像式マイクロスコープ

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
일본공개특허 평5-160084호
한국공개특허 2000-23026호

Also Published As

Publication number Publication date
TW200705566A (en) 2007-02-01
TWI275143B (en) 2007-03-01
US20070026611A1 (en) 2007-02-01
US7364956B2 (en) 2008-04-29
KR20070013971A (ko) 2007-01-31
JP4554461B2 (ja) 2010-09-29
JP2007035860A (ja) 2007-02-08

Similar Documents

Publication Publication Date Title
KR100748477B1 (ko) 반도체장치의 제조방법
KR100792018B1 (ko) 플라즈마에칭방법
KR100702723B1 (ko) 드라이 에칭 방법
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
US6855643B2 (en) Method for fabricating a gate structure
KR102340870B1 (ko) 플라즈마 에칭 방법
TWI810396B (zh) 乾式蝕刻方法
US7008878B2 (en) Plasma treatment and etching process for ultra-thin dielectric films
KR100311487B1 (ko) 산화막식각방법
KR100927691B1 (ko) High-k막의 드라이 에칭방법
KR100932763B1 (ko) 시료의 플라즈마 에칭방법
JP2017050413A (ja) プラズマエッチング方法
JP2011114216A (ja) 半導体装置の製造方法
KR20050035674A (ko) 실리콘 이방성 식각 방법
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
KR102419055B1 (ko) 다른 재료들에 선택적인 컨포멀한 에칭 방법
TWI630655B (zh) Dry etching method
WO2024189714A1 (ja) 半導体装置の製造方法及びプラズマ処理方法
KR100321226B1 (ko) 반도체소자의제조방법
JP7202489B2 (ja) プラズマ処理方法
JP2000156404A (ja) 素子分離エッチング方法
KR100886641B1 (ko) 반도체 소자의 캐패시터 제조방법
KR20020001335A (ko) 다마신 게이트공정에서의 평탄화를 위한 반도체소자의제조 방법
US20090078980A1 (en) Method for Producing an Integrated Circuit, Integrated Circuit, DRAM Device and Memory Module
JPH05299392A (ja) 窒化珪素膜のテーパエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20110630

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee