JP2007035860A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2007035860A
JP2007035860A JP2005216084A JP2005216084A JP2007035860A JP 2007035860 A JP2007035860 A JP 2007035860A JP 2005216084 A JP2005216084 A JP 2005216084A JP 2005216084 A JP2005216084 A JP 2005216084A JP 2007035860 A JP2007035860 A JP 2007035860A
Authority
JP
Japan
Prior art keywords
manufacturing
semiconductor device
sio
etching
poly silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005216084A
Other languages
English (en)
Other versions
JP4554461B2 (ja
Inventor
Takeshi Saito
剛 斉藤
Toshiaki Nishida
敏明 西田
Takahiro Shimomura
隆浩 下村
Takao Arase
高男 荒瀬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2005216084A priority Critical patent/JP4554461B2/ja
Priority to US11/209,653 priority patent/US7364956B2/en
Priority to KR1020050078114A priority patent/KR100748477B1/ko
Priority to TW094129498A priority patent/TWI275143B/zh
Publication of JP2007035860A publication Critical patent/JP2007035860A/ja
Application granted granted Critical
Publication of JP4554461B2 publication Critical patent/JP4554461B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 PolyシリコンまたはSiOに対するAl選択性を有するエッチング方法を提供する。
【解決手段】 Alからなる層間絶縁膜203と該Alに接するPolyシリコン202,204またはSiO205,207を有する試料をプラズマ処理装置を用いてエッチング処理する半導体装置の製造方法において、Al203のエッチング処理を、BCLと、Arと、CHまたはHeの混合ガスを用いて行う。このとき、混合ガスにClを添加することができ、試料に高周波バイアス電圧を時間変調して印加する。さらに、試料の温度を100℃から200℃に維持する。
【選択図面】 図2

Description

本発明は、アルミナ(Al)の下地に、PolyシリコンまたはSiOを有し、それらに対し選択性を必要とする工程を含む半導体装置の製造方法に関する。
半導体装の置高度な微細化に伴なって、High−Kの材料としてAlが用いられている。特にFrashデバイスにおいては、コントロールゲートとフローティングゲートの間の絶縁膜としてHigh−Kの材料としてAlが用いられている。この二つのゲートは、それぞれPolyシリコンで構成されており、素子分離構造を有している。このようなデバイスの製造に当たって、PolyシリコンとAlをエッチングするにフローティングゲートと素子分離による段差構造があることから、PolyシリコンとAlに対して選択性が必要となる。
従来上記2つのゲート間の絶縁膜はONOで構成され、CF系のガスでエッチングしていたが、デバイスの高集積化や高速化に伴ない、より誘電率の高い絶縁膜が求められるようになり、High−K材へとシフトしている。
すなわち、図4に示すように、Frashデバイスの構造は、SiOからなる素子分離トレンチ207が設けられたシリコン基板206上にSiOからなる下地絶縁膜205を形成し、その上にPolyシリコン膜204を形成し、このPolyシリコン膜204を素子分離トレンチ207の表面および下地絶縁膜205上までエッチングしてフローティングゲートを形成し、その上にAlからなる層間絶縁膜203を形成した後、コントロールゲートであるPolyシリコン膜202を形成し、その上にハードマスク201を形成した後、エッチング処理して下地絶縁膜上にFrashデバイス用ウエハ(試料)を形成している。
図4のA−A断面では、コントロールゲート202が素子分離トレンチ207上に接する層間絶縁膜203まで達しており、B−B断面では、コントロールゲート202は下地絶縁膜205およびフローティングゲート204上の層間絶縁膜203に接している。
したがって、B−B断面でのエッチングは、Polyシリコン202とAlからなる層間絶縁膜203との選択性が必要となる。
一方、コンタクトホール内のアルミナ堆積物の除去にBClを含むガスを用いることは、提案されている(例えば、特許文献1参照)。
また、PZTをBClまたはArでエッチングし、高温でハードマスクとの選択比を向上させることも提案されている(例えば、特許文献2参照)。
Alのエッチングには、ClやBClを含むガスを用いるのが一般的である。しかしながら、この種のガスはPolyシリコンやSiOに対する選択性が低く、段差部のAlを除去する間にフローティングゲート204とその下の下地絶縁層(ゲート酸化膜)205がエッチングされるという問題がある。
特開平5−160084号公報 特開2003−318371号公報
本発明は、PolyシリコンまたはSiOに対するAl選択性を有するエッチング方法を提供することを目的とする。
上記課題を解決するために、本発明は、Alの下地にPolyシリコンおよび/またはSiOを有する半導体デバイスの製造方法において、AlのエッチングにBClとArおよびCHの混合ガスを用いてエッチングする。
さらに、本発明は、上記混合ガスにさらに、Clガスを添加することができ、また、上記混合ガスのArに換えてHeを用いることができる。
さらに、このエッチングにおいて時間変調で上はバイアスを印加することができる。また、100〜200℃の高温でエッチングしてもよく、PolyシリコンとAlのエッチングでチャンバーを使い分けてもよい。
上記課題を解決するため、本発明は、Alからなる層間絶縁膜と該Alに接するPolyシリコンおよび/またはSiOを有する試料をプラズマ処理装置を用いてエッチング処理する半導体装置の製造方法において、前記Alのエッチング処理を、BClと、Arと、CHまたはHeの混合ガスを用いて行うことを特徴とする。また、上記半導体装置の製造方法において、前記混合ガスにClを添加することができる。
本発明は、上記半導体装置の製造方法において、前記Alのエッチング処理にあたって、前記試料に印加する高周波バイアス電圧を時間変調する。また、上記半導体装置の製造方法において、前記Alのエッチング処理にあたって、前記試料の温度を100℃から200℃に維持する。さらに、この半導体装置の製造方法において、前記Alのエッチング処理とPolyシリコンおよび/またはSiOのエッチング処理を異なるチャンバーで行う。
本発明によれば、BClガスにArとCHを添加した混合ガスを用いてAlをエッチングすることによって、PolyシリコンやSiOを十分残してAlを除去することが可能となる。
BClガスにArとCHを添加した混合ガスを用いてAlをエッチングすることによって、側壁堆積物が増え、順テーパ形状になりやすいが、ウエハを高温にすることで、AlのPolyシリコンやSiOに対する選択性を維持したまま側壁堆積物を減少させ、Alの加工形状を改善することができる。
本発明は、Alからなる層間絶縁膜と該Alに接するPolyシリコンまたはSiOを有する試料をプラズマ処理装置を用いてエッチング処理する半導体装置の製造方法において、前記Alのエッチング処理を、BCLと、Arと、CHまたはHeの混合ガスを用いて行うようにした。
本発明によるプラズマ処理装置を用いた半導体装置の製造方法を説明する。図1を用いて、本発明が適用されるプラズマ処理装置のプラズマ生成部に関する詳細を説明する。例として、プラズマを生成する手段としては、UHF波と磁界を利用することとする。UHF電源からアンテナ101を通して入射し、UHF透過板102を通過して処理室内に到達したUHF波は、処理室を取り囲むように配置されたソレノイドコイル103が発生する磁界との作用により、プロセスガスを伴なってECR(Electron cycrotrton Resonance:電子サイクロトロン共鳴)を引き起こし、高密度なプラズマ104が処理室内に発生する。
高密度プラズマが処理室内の発生した後、処理対象となるウエハ(試料)105は静電吸着電源108により直流電圧が印加された処理台107上に静電吸着される。また、この処理台107は高周波電源106とも接続しており、高周波バイアス電圧が処理台に印加され、高密度プラズマ中に局在するイオンに試料方向側(下向き)へ加速電位を与えることによりプロセス処理が開始される。
また、プロセス処理中は、真空ポンプ、ターボ分子ポンプおよび該ターボ分子ポンプと処理室との間に設けたバリアブル・バルブから構成される排気構造により、処理室内の圧力は調圧可能とされる。
図2を用いて、図1のエッチング装置を用いた本発明にかかる半導体の製造方法を説明する。図2の左側の図は図4のA−A断面で、図2の右側の図は図4のB−B断面で処理過程を説明する図である。本発明にかかるエッチング処理の対象となるウエハは、図4に示したウエハであり、上層から順に、パターンニングされたハードマスク201と、コントロールゲートであるPolyシリコン膜202と、Alからなる層間絶縁膜203と、フローティングゲートであるPolyシリコン膜204と、SiOからなる下地絶縁膜205と、例えばSiOが埋め込まれた素子分離トレンチ207が形成されたシリコン基板206とから構成される(図2(a))。
図1に示すプラズマ処理装置を用いて、パターンニングされたハードマスク201を、マスクとしてPolyシリコン202をClとHBrとOの混合ガスによりエッチングする(図2(b))。このときB断面では、コントロールゲート202の厚みは薄いがA断面のコントロールゲートの厚みは厚いので、Alに比べてPolyシリコンの選択性の高いエッチングが必要となる。
次いで、層間絶縁膜であるAlをBClとCHとArの混合ガスを用いてエッチングする(図2(c))。このとき、Alの下地としてのPolyシリコンやSiOに対してAlの選択性の高いエッチングが必要となる。
さらに、ClとHBrとOの混合ガスでフローティングゲートを構成するPolyシリコン膜204をエッチングし、その後、HBrとOの混合ガスでオーバーエッチングした(図2(d))。
図2(c)の処理では、Alに対しては塩素(Cl)でエッチングし、Alに対してはBでAl−Oの結合を切り、Al−ClでエッチングできるBClを用いた。
BCl単独では、Polyシリコンのエッチングレートは、Alの2倍であり、SiOの1.5倍であった。
BClとArの混合ガスでBClの比率が30%の混合ガスを用いて、圧力0.8Paにおけるエッチングレートは、Al=29.8nm/min、Polyシリコン=44.9nm/min、SiO=37.3nm/minであった。
本発明では、BClとArの混合ガスにCHを添加し、混合ガスに対するCHの比率を4%とした混合ガスを使用した(BCl:Ar:CH=30:66:4)。BClにArおよびCHを添加することで、AlとPolyシリコンおよびSiOのそれぞれのエッチングレートが低下するが、Polyシリコンのエッチングレートの低下が、Alのエッチングレートの低下を上回ることから、AlのPolyシリコンに対する選択性が向上する。
CH添加比と、AlのPolyシリコンに対する選択比の関係およびAlのSiOに対する選択比の関係は、図3のようであった。
すなわち、BClとArとCHの混合ガスのうち、BClが30%、CHが2.7%または3.4%もしくは3.6%であり、残余Arであるとき、AlのPolyシリコンに対する選択比は、それぞれ0.7、0.8、1.5であった。同様に、BClとArとCHの混合ガスのうち、BClが30%、CHが2.7%または3.4%もしくは3.6%であり、残余Arであるとき、AlのSiOに対する選択比は、それぞれ0.8、0.9、1.0であった。
すなわち、CHが3.6%以上であれば、AlのPolyシリコンに対する選択性は大きくなり、AlのSiOに対する選択性はほぼ等しくなる。このように、CHの添加比が2.7%程度であるときに比べ3.6%であるときには、AlのPolyシリコンまたはSiOに対する選択比が向上する。
さらに、RFバイアス電圧を時間変調して試料に印加することによって、AlのPolyシリコンおよびSiOに対する選択比を向上させることができる。時間変調したバイアス電圧の試料への印加条件は、例えば、バイアス周波数400KHzで出力50W、印加時間5×10−4秒、非印加時間5×10−4秒の周期であり、時間変調なしでは、バイアス電圧を連続印加した。
図3に示すように、上記条件で、CHを3.6%添加した場合、RFバイアス電圧を時間変調して印加するときには、Polyシリコンに対する選択比は、RFバイアス時間変調ありで2.9に対し時間変調なしで1.5であり、SiOに対する選択比は、RFバイアス時間変調ありで1.3に対し時間変調なしで1.0であった。このように、バイアス電圧を時間変調して印加することによって、Al2O3のPolyシリコンまたはSiO2に対する選択比が、時間変調しない場合に比べて大きく向上している。
しかしながら、上記の条件でエッチングすると、側壁へのCHの堆積が多いので、エッチング形状は順テーパ形状となり、以後のエッチング処理に不都合を与えことが懸念される。このエッチング形状の不都合は、ウエハ温度を高温にして処理することで、選択比を犠牲にせず側壁に対する堆積物を減少させることができ、垂直にエッチングすることが可能となる。
エッチング形状に対する温度の寄与について説明する。Polyシリコンに対するAlの選択比は、ウエハ温度が、50℃の場合0.8であり、150℃の場合1.0である。また、SiOに対するAlの選択比は、ウエハ温度が、50℃の場合0.8であり、150℃の場合0.9である。そして、エッチング形状は、50℃のとき立上り角度50°であるのに対し、150℃のとき立上り角度88°とほぼ垂直にエッチングすることができる。このように、ウエハ温度を150℃に上げることにより、AlのPolyシリコンまたはSiOに対する選択性を犠牲にすることなくエッチングの垂直性を保持することができる。
上述のように、Polyシリコン膜202、204については低温(50℃)または高温(100℃から200℃)のいずれでもエッチングが可能であるが、Al膜203の場合には、垂直性を維持するために高温(100℃から200℃)であることが良好な結果を得られるので、Polyシリコン膜とAl膜のエッチング過程を異なるチャンバーに移して処理することによってより良好な結果を得ることができる。
本発明を適用したプラズマエッチング装置の概略構成を説明する図。 本発明にかかる処理工程を説明する図。 本発明にかかる処理方法におけるCH含有比とアルミナ選択比ならびにバイアスに時間変調を行ったときの効果を説明する図。 アルミナを有するFrashデバイスの構造を説明する断面図。
符号の説明
101:アンテナ、102:UHF透過板、103:ソレノイドコイル、104:プラズマ、105:半導体ウエハ(試料)、106:直流電源、107:処理台、108:高周波電源、201:ハードマスク、202:コントロールゲート(Polyシリコン)、203:層間絶縁膜(Al)、204:フローティングゲート(Polyシリコン)、205:下地絶縁膜(SiO)、206:シリコン基板、207:素子分離トレンチ(SiO

Claims (5)

  1. Alからなる層間絶縁膜と該Alに接するPolyシリコンまたはSiOを有する試料をプラズマ処理装置を用いてエッチング処理する半導体装置の製造方法において、
    前記Alのエッチング処理を、BCLと、Arと、CHまたはHeの混合ガスを用いて行うことを特徴とする半導体装置の製造方法。
  2. 請求項1記載の半導体装置の製造方法において、
    前記混合ガスにClを添加することを特徴とする半導体装置の製造方法。
  3. 請求項1記載の半導体装置の製造方法において、
    前記Alのエッチング処理にあたって、前記試料に印加する高周波バイアス電圧を時間変調することを特徴とする半導体装置の製造方法。
  4. 請求項1記載の半導体装置の製造方法において、
    前記Alのエッチング処理にあたって、前記試料の温度を100℃から200℃に維持することを特徴とする半導体装置の製造方法。
  5. 請求項4記載の半導体装置の製造方法において、
    前記Alのエッチング処理とPolyシリコンまたはSiOのエッチング処理を異なるチャンバーで行うことを特徴とする半導体装置の製造方法。
JP2005216084A 2005-07-26 2005-07-26 半導体装置の製造方法 Expired - Fee Related JP4554461B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2005216084A JP4554461B2 (ja) 2005-07-26 2005-07-26 半導体装置の製造方法
US11/209,653 US7364956B2 (en) 2005-07-26 2005-08-24 Method for manufacturing semiconductor devices
KR1020050078114A KR100748477B1 (ko) 2005-07-26 2005-08-25 반도체장치의 제조방법
TW094129498A TWI275143B (en) 2005-07-26 2005-08-29 Method for manufacturing semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005216084A JP4554461B2 (ja) 2005-07-26 2005-07-26 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2007035860A true JP2007035860A (ja) 2007-02-08
JP4554461B2 JP4554461B2 (ja) 2010-09-29

Family

ID=37694903

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005216084A Expired - Fee Related JP4554461B2 (ja) 2005-07-26 2005-07-26 半導体装置の製造方法

Country Status (4)

Country Link
US (1) US7364956B2 (ja)
JP (1) JP4554461B2 (ja)
KR (1) KR100748477B1 (ja)
TW (1) TWI275143B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007073952A (ja) * 2005-08-22 2007-03-22 Applied Materials Inc 高誘電率材料をエッチングする方法
US8722547B2 (en) 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
JP7482427B2 (ja) 2020-09-08 2024-05-14 パナソニックIpマネジメント株式会社 プラズマ処理方法

Families Citing this family (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009021584A (ja) * 2007-06-27 2009-01-29 Applied Materials Inc 高k材料ゲート構造の高温エッチング方法
KR100937818B1 (ko) * 2007-08-20 2010-01-20 주식회사 하이닉스반도체 플래시 메모리 소자 및 그의 제조 방법
JP2009076711A (ja) * 2007-09-21 2009-04-09 Hitachi High-Technologies Corp 半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808562B2 (en) * 2011-09-12 2014-08-19 Tokyo Electron Limited Dry metal etching method
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) * 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9814007B2 (en) 2015-09-25 2017-11-07 Intel Corporation Synchronizing time among two or more devices
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60105235A (ja) * 1983-03-03 1985-06-10 ゼネラル・エレクトリック・カンパニイ アルミニウムおよびアルミニウム合金の反応性イオンエッチング法
JPH08203880A (ja) * 1995-01-26 1996-08-09 Semiconductor Energy Lab Co Ltd エッチング方法および半導体装置の作製方法
JP2004296477A (ja) * 2003-03-25 2004-10-21 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05160084A (ja) 1991-12-11 1993-06-25 Fujitsu Ltd 半導体装置の製造方法
JP2000091321A (ja) 1998-09-10 2000-03-31 Hitachi Ltd 表面処理方法および装置
JP2000023026A (ja) * 1998-07-03 2000-01-21 Moritex Corp 撮像式マイクロスコープ
JP2000091325A (ja) 1998-09-11 2000-03-31 Hitachi Ltd 表面処理方法および装置
JP2001015479A (ja) * 1999-06-29 2001-01-19 Toshiba Corp 半導体装置の製造方法
US20030143853A1 (en) 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
JP2005268292A (ja) * 2004-03-16 2005-09-29 Toshiba Corp 半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60105235A (ja) * 1983-03-03 1985-06-10 ゼネラル・エレクトリック・カンパニイ アルミニウムおよびアルミニウム合金の反応性イオンエッチング法
JPH08203880A (ja) * 1995-01-26 1996-08-09 Semiconductor Energy Lab Co Ltd エッチング方法および半導体装置の作製方法
JP2004296477A (ja) * 2003-03-25 2004-10-21 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007073952A (ja) * 2005-08-22 2007-03-22 Applied Materials Inc 高誘電率材料をエッチングする方法
US7964512B2 (en) 2005-08-22 2011-06-21 Applied Materials, Inc. Method for etching high dielectric constant materials
US8722547B2 (en) 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
JP7482427B2 (ja) 2020-09-08 2024-05-14 パナソニックIpマネジメント株式会社 プラズマ処理方法

Also Published As

Publication number Publication date
TWI275143B (en) 2007-03-01
US20070026611A1 (en) 2007-02-01
TW200705566A (en) 2007-02-01
US7364956B2 (en) 2008-04-29
KR100748477B1 (ko) 2007-08-10
KR20070013971A (ko) 2007-01-31
JP4554461B2 (ja) 2010-09-29

Similar Documents

Publication Publication Date Title
JP4554461B2 (ja) 半導体装置の製造方法
KR101405175B1 (ko) 플라즈마 에칭 방법
JP4488999B2 (ja) エッチング方法およびエッチング装置
KR101880831B1 (ko) 가스 펄싱을 사용하는 딥 실리콘 에칭 방법
JP5214596B2 (ja) プラズマ処理システムのマスクアンダーカットおよびノッチを最小化する方法
JP2007158250A (ja) プラズマエッチング方法
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
KR100595065B1 (ko) 드라이 에칭 방법
CN108321090B (zh) 半导体器件及其形成方法
KR100592841B1 (ko) 고유전율 막의 정확한 패터닝
JP2017112293A (ja) 溝を有するシリコンカーバイド基板の製造方法
US20110171833A1 (en) Dry etching method of high-k film
KR100932763B1 (ko) 시료의 플라즈마 에칭방법
JP6579786B2 (ja) プラズマエッチング方法
JP5579374B2 (ja) 半導体加工方法
JP5171091B2 (ja) プラズマ処理方法
WO2020005394A1 (en) Method of isotropic etching of silicon oxide utilizing fluorocarbon chemistry
JP7296602B2 (ja) SiC基板の製造方法
US7615164B2 (en) Plasma etching methods and contact opening forming methods
JP2013225680A (ja) High−k膜のドライエッチング方法
KR20080088295A (ko) 플라즈마 에칭 방법,플라즈마 처리장치, 제어프로그램 및컴퓨터 판독가능한 기억매체

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080403

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100521

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100713

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100714

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130723

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees